Intel logotipi

Intel Interlaken 2-avlod Agilex 7 FPGA IP dizayni Example

Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-mahsulot

Mahsulot haqida ma'lumot

Interlaken (2-avlod) FPGA IP yadrosi Intel Agilex 7 FPGA xususiyati hisoblanadi. U simulyatsiya test stoli va apparat dizaynini taqdim etadiampkompilyatsiya va apparat sinovini qo'llab-quvvatlaydi. Dizayn sobiqample shuningdek, Interlaken Look-side funksiyasi uchun ham mavjud. IP yadrosi E-plitka qurilmalari uchun NRZ va PAM4 rejimini qo'llab-quvvatlaydi va dizaynni yaratadiampqatorlar soni va ma'lumot uzatish tezligining barcha qo'llab-quvvatlanadigan kombinatsiyalari uchun les.

Uskuna va dasturiy ta'minotga qo'yiladigan talablar
Interlaken (2-avlod) IP-yadrosi dizayni, masalanample Intel Agilex 7 F-Series Transceiver-SoC Development Kit talab qiladi. Qo'shimcha ma'lumot olish uchun ishlab chiqish to'plamining foydalanuvchi qo'llanmasiga qarang.

Katalog tuzilmasi
Yaratilgan Interlaken (2-avlod) example dizayn quyidagi kataloglarni o'z ichiga oladi:

  • example_dizayn: Asosiyni o'z ichiga oladi files dizayn uchun example.
  • ilk_uflex: Tarkibida files Interlaken Look-sided rejimi opsiyasi bilan bog'liq.
  • ila_uflex: Tarkibida files Interlaken chetga qarash rejimi opsiyasi bilan bog'liq (faqat tanlanganda yaratilgan).

Mahsulotdan foydalanish bo'yicha ko'rsatmalar

Interlaken (2-avlod) FPGA IP yadro dizaynidan foydalanish uchun, example, quyidagi amallarni bajaring:

  1. Sizda Intel Agilex 7 F-Series Transceiver-SoC Development Kit mavjudligiga ishonch hosil qiling.
  2. Dizaynni tuzing exampsimulyator yordamida.
  3. Dizaynni tekshirish uchun funktsional simulyatsiyani bajaring.
  4. Eski dizaynni yaratingampparametr muharriri yordamida.
  5. Dizaynni tuzing exampQuartus Prime yordamida.
  6. Dizaynni tasdiqlash uchun apparat sinovini o'tkazing.

Eslatma: Interlaken Look-sided rejimi opsiyasi IP parametr muharririda tanlash uchun mavjud. Tanlangan bo'lsa, qo'shimcha files "ila_uflex" katalogida yaratiladi.

Tez boshlash uchun qo'llanma

  • Interlaken (2-avlod) FPGA IP yadrosi simulyatsiya test stoli va apparat dizaynini taqdim etadi.ampkompilyatsiya va apparat sinovini qo'llab-quvvatlaydi.
  • Dizaynni yaratganingizda example, parametr muharriri avtomatik ravishda yaratadi files dizaynni simulyatsiya qilish, kompilyatsiya qilish va apparatda sinab ko'rish uchun zarur.
  • Dizayn sobiqample shuningdek, Interlaken Look-side funksiyasi uchun ham mavjud.
  • Test dastgohi va dizayn sobiqample E-plitka qurilmalari uchun NRZ va PAM4 rejimini qo'llab-quvvatlaydi.
  • Interlaken (2-avlod) FPGA IP yadrosi dizaynni ishlab chiqaradiampqatorlar soni va ma'lumot uzatish tezligining barcha qo'llab-quvvatlanadigan kombinatsiyalari uchun les.

1-rasm. Dizaynni ishlab chiqish bosqichlari ExampleIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (1)

Interlaken (2-avlod) IP-yadrosi dizayni, masalanample quyidagi xususiyatlarni qo'llab-quvvatlaydi:

  • Ichki TX dan RX ga ketma-ket orqaga qaytish rejimi
  • Ruxsat etilgan o'lchamdagi paketlarni avtomatik ravishda yaratadi
  • Paketlarni tekshirishning asosiy imkoniyatlari
  • Qayta sinovdan o'tkazish maqsadida dizaynni qayta o'rnatish uchun tizim konsolidan foydalanish imkoniyati
  • PMA moslashuvi

Intel korporatsiyasi. Barcha huquqlar himoyalangan. Intel, Intel logotipi va boshqa Intel belgilari Intel korporatsiyasi yoki uning sho'ba korxonalarining savdo belgilaridir. Intel o'zining FPGA va yarimo'tkazgich mahsulotlarining Intel standart kafolatiga muvofiq joriy spetsifikatsiyalarga muvofiq ishlashini kafolatlaydi, lekin istalgan vaqtda ogohlantirmasdan istalgan mahsulot va xizmatlarga o'zgartirish kiritish huquqini o'zida saqlab qoladi. Intel tomonidan yozma ravishda kelishilgan hollar bundan mustasno, bu erda tasvirlangan har qanday ma'lumot, mahsulot yoki xizmatdan foydalanish yoki qo'llash natijasida kelib chiqadigan hech qanday javobgarlik yoki javobgarlikni o'z zimmasiga olmaydi. Intel mijozlariga har qanday nashr etilgan ma'lumotlarga tayanishdan va mahsulot yoki xizmatlarga buyurtma berishdan oldin qurilma texnik xususiyatlarining so'nggi versiyasini olish tavsiya etiladi. *Boshqa nomlar va brendlar boshqalarning mulki sifatida da'vo qilinishi mumkin.

2-rasm. Interlaken (2-avlod) dizayni uchun yuqori darajadagi blok diagrammasi Example

Tegishli ma'lumotlar

  • Interlaken (2-avlod) FPGA IP foydalanuvchi qo'llanmasi
  • Interlaken (2-avlod) Intel FPGA IP relizlar haqida eslatma

Uskuna va dasturiy ta'minot

Uskuna va dasturiy ta'minotga qo'yiladigan talablar
Sobiqni sinab ko'rish uchunampdizayn uchun quyidagi apparat va dasturiy ta'minotdan foydalaning:

  • Intel® Quartus® Prime Pro Edition dasturi
  • Tizim konsoli
  • Qo'llab-quvvatlanadigan simulyatorlar:
    • Siemens* EDA ModelSim* SE yoki QuestaSim*
    • Sinopsis* VCS*
    • Cadence* Xcelium*
  • Intel Agilex® 7 F-Series Transceiver-SoC ishlab chiqish to'plami (AGFB014R24A2E2V)

Tegishli ma'lumotlar
Intel Agilex 7 F-Series Transceiver-SoC Development Kit foydalanuvchi uchun qo'llanma
Katalog tuzilmasi
Interlaken (2-avlod) IP-yadrosi dizayni, masalanample file kataloglarda quyidagi yaratilgan files dizayn uchun example.

3-rasm. Yaratilgan Interlakenning katalog tuzilishi (2-avlod) ExampdizaynIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (3)

Uskuna konfiguratsiyasi, simulyatsiya va sinov filelar joylashganample_installation_dir>/uflex_ilk_0_example_dizayn.
1-jadval. Interlaken (2-avlod) IP asosiy apparat dizayni Example File Ta'riflar Bular filelar ichidaample_installation_dir>/uflex_ilk_0_example_design/ example_design/quartus katalogi.

File Ismlar Tavsif
example_design.qpf Intel Quartus Prime loyihasi file.
example_design.qsf Intel Quartus Prime loyihasi sozlamalari file
example_design.sdc jtag_timing_template.sdc Synopsys dizayn cheklovi file. Siz o'zingizning dizayningiz uchun nusxa ko'chirishingiz va o'zgartirishingiz mumkin.
sysconsole_testbench.tcl Asosiy file tizim konsoliga kirish uchun

2-jadval. Interlaken (2-avlod) IP Core Testbench File Tavsif
Bu file ichida joylashganample_installation_dir>/uflex_ilk_0_example_design/ example_design/rtl katalogi.

File Ism Tavsif
top_tb.sv Yuqori darajadagi sinov dastgohi file.

3-jadval. Interlaken (2-avlod) IP Core Testbench skriptlari
Bular filelar ichidaample_installation_dir>/uflex_ilk_0_example_design/ example_design/testbench katalogi.

File Ism Tavsif
vcstest.sh Testbenchni ishga tushirish uchun VCS skripti.
vlog_pro.do Testbenchni ishga tushirish uchun ModelSim SE yoki QuestaSim skripti.
xcelium.sh Testbenchni ishga tushirish uchun Xcelium skripti.

Uskuna dizayni Example Komponentlar

  • sobiqample dizayn tizim va PLL mos yozuvlar soatlarini va kerakli dizayn komponentlarini bog'laydi. sobiqample dizayn IP yadrosini ichki qayta ishlash rejimida sozlaydi va IP yadrosi TX foydalanuvchi ma'lumotlar uzatish interfeysida paketlarni yaratadi. IP yadrosi ushbu paketlarni qabul qiluvchi orqali ichki orqaga qaytish yo'liga yuboradi.
  • IP-yadro qabul qiluvchisi orqaga aylanish yo'lidagi paketlarni qabul qilgandan so'ng, u qayta ishlaydi
  • Interlaken paketlari va ularni RX foydalanuvchi ma'lumotlarini uzatish interfeysida uzatadi. sobiqample dizayn qabul qilingan va uzatilgan paketlarning mos kelishini tekshiradi.
  • Uskuna sobiqample dizayn tashqi PLLlarni o'z ichiga oladi. Siz aniq matnni tekshirishingiz mumkin files to view samptashqi PLL-larni Interlaken (2-avlod) FPGA IP-ga ulashning mumkin bo'lgan bir usulini amalga oshiradigan kod.
  • Interlaken (2-avlod) apparat dizayni, example quyidagi komponentlarni o'z ichiga oladi:
    • Interlaken (2-avlod) FPGA IP
    • Paket generatori va paket tekshiruvi
    • JTAG Tizim konsoli bilan aloqa o'rnatadigan kontroller. Siz tizim konsoli orqali mijoz mantig'i bilan bog'lanasiz.

4-rasm. Interlaken (2-avlod) Hardware Design Example E-plitka NRZ rejimining o'zgarishi uchun yuqori darajadagi blok diagrammasiIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (4)

Interlaken (2-avlod) apparat dizayni, exampE-plitka PAM4 rejimini o'zgartirishga qaratilgan le, IO PLL yaratadigan qo'shimcha mac_clkin soatini talab qiladi. Ushbu PLL pll_ref_clk ni boshqaradigan bir xil mos yozuvlar soatidan foydalanishi kerak.
5-rasm. Interlaken (2-avlod) Hardware Design Example E-plitka PAM4 rejimining o'zgarishi uchun yuqori darajadagi blok diagrammasiIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (5)

E-tile PAM4 rejimi oʻzgarishlari uchun PAM4 parametri uchun foydalanilmagan qabul qiluvchi kanallarni saqlash funksiyasini yoqsangiz, qoʻshimcha mos yozuvlar soat porti qoʻshiladi (pll_ref_clk [1]). Ushbu port IP parametr muharririda belgilangan chastotada (saqlangan kanallar uchun mos yozuvlar chastotasi) boshqarilishi kerak. PAM4 uchun foydalanilmagan qabul qiluvchi kanallarni saqlash ixtiyoriy. Dizayn yaratish uchun Intel Stratix® 10 yoki Intel Agilex 7 ishlab chiqish to'plamini tanlaganingizda, ushbu soatga tayinlangan pin va tegishli cheklovlar QSFda ko'rinadi.
Eslatma: Dizayn uchun, masalanampSimulyatsiya paytida testbench har doim pll_ref_clk[0] va pll_ref_clk[1] uchun bir xil chastotani belgilaydi.
Tegishli ma'lumotlar
Intel Agilex 7 F-Series Transceiver-SoC Development Kit foydalanuvchi uchun qo'llanma

Dizayn yaratish
6-rasm. JarayonIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (6)

Uskunani yaratish uchun quyidagi amallarni bajaringampdizayn va sinov stoli:

  1. Intel Quartus Prime Pro Edition dasturida ni bosing File ➤ Yangi Intel Quartus Prime loyihasini yaratish uchun yangi loyiha ustasi yoki bosing File ➤ Mavjud Intel Quartus Prime loyihasini ochish uchun loyihani oching. Sehrgar sizga qurilmani ko'rsatishni taklif qiladi.
  2. Intel Agilex 7 qurilmalar oilasini belgilang va dizayningiz uchun qurilmani tanlang.
  3. IP-katalogida Interlaken (2-avlod) Intel FPGA IP manzilini toping va ikki marta bosing. Yangi IP varianti oynasi paydo bo'ladi.
  4. Yuqori darajali nomni belgilang sizning shaxsiy IP-variantingiz uchun. Parametr muharriri IP o'zgarishi sozlamalarini a ichida saqlaydi file nomli .ip.
  5. OK tugmasini bosing. Parametr muharriri paydo bo'ladi.
    7-rasm. ExampInterlaken (2-avlod) Intel FPGA IP parametr muharriridagi Dizayn yorlig'iIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (7)
  6. IP yorlig'ida IP yadro o'zgarishi uchun parametrlarni belgilang.
  7. PMA moslashuvi yorlig'ida, agar siz E-plitka qurilmangiz o'zgarishlari uchun PMA moslashuvidan foydalanishni rejalashtirmoqchi bo'lsangiz, PMA moslashuv parametrlarini belgilang. Bu qadam ixtiyoriy:
    • Moslashuv yukini yumshoq IP-ni yoqish opsiyasini tanlang.
    • Eslatma: PMA moslashuvi yoqilgan bo'lsa, IP yorlig'ida Native PHY Debug Master Endpoint (NPDME) ni yoqishingiz kerak.
    • PMA moslashuvi uchun PMA moslashuvi oldindan o'rnatilganini tanlang Parametrni tanlang.
    • Dastlabki va doimiy moslashish parametrlarini yuklash uchun PMA Adaptation Preload-ni bosing.
    • PMA konfiguratsiyasi soni parametri yordamida bir nechta PMA konfiguratsiyasi yoqilganda qo'llab-quvvatlanadigan PMA konfiguratsiyalar sonini belgilang.
    • Qaysi PMA konfiguratsiyasini yuklash yoki saqlashni tanlang. Yuklash yoki saqlash uchun PMA konfiguratsiyasini tanlang.
    • Tanlangan PMA konfiguratsiya sozlamalarini yuklash uchun tanlangan PMA konfiguratsiyasidan moslashuvni yuklash tugmasini bosing.
    • PMA moslashuv parametrlari haqida ko'proq ma'lumot olish uchun E-plitkaga qarang
      Transceiver PHY foydalanuvchi uchun qo'llanma.
  8. Ex bo'yichaamp"Dizayn" yorlig'ida test stolini yaratish uchun Simulyatsiya opsiyasini tanlang va uskunani yaratish uchun Sintez opsiyasini tanlang.ampdizayn.
    • Eslatma: Ex.ni yaratish uchun Simulyatsiya yoki Sintez opsiyalaridan kamida bittasini tanlashingiz kerakampdizayn Files.
  9. Yaratilgan HDL formati uchun Verilog yoki VHDL ni tanlang.
  10. Target Development Kit uchun mos variantni tanlang.
    • Eslatma: Intel Agilex 7 F-Series Transceiver SoC Development Kit opsiyasi faqat loyihangiz AGFA7 yoki AGFA012 bilan boshlanadigan Intel Agilex 014 qurilma nomini ko'rsatsa mavjud bo'ladi. Rivojlanish to'plami opsiyasini tanlaganingizda, pin tayinlashlari Intel Agilex 7 Development Kit qurilma qismining AGFB014R24A2E2V raqamiga muvofiq o'rnatiladi va siz tanlagan qurilmangizdan farq qilishi mumkin. Agar siz dizaynni boshqa PCBda apparatda sinab ko'rmoqchi bo'lsangiz, "Yo'q" opsiyasini tanlang va .qsf da tegishli pin tayinlarini bajaring. file.
  11. Ex Generate tugmasini bosingample Dizayn. Tanlash Example Design Directory oynasi paydo bo'ladi.
  12. Agar siz dizaynni o'zgartirmoqchi bo'lsangiz, oldingiample katalog yo'li yoki nomi ko'rsatilgan standartlardan (uflex_ilk_0_example_design), yangi yo'lga o'ting va yangi dizaynni yozing example katalog nomi.
  13. OK tugmasini bosing.

Tegishli ma'lumotlar

  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit foydalanuvchi uchun qo'llanma
  • E-tile Transceiver PHY foydalanuvchi qo'llanmasi

Dizaynni simulyatsiya qilish Example Testbench
Interlaken (2-avlod) Hardware Design Example E-plitka NRZ rejimining o'zgarishi va Interlaken (2-avlod) apparat dizayni uchun yuqori darajali blok.ample E-tile PAM4 Mode Variations uchun yuqori darajali blok simulyatsiya test dastgohining blok diagrammalari.
8-rasm. JarayonIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (8)

Test dastgohini simulyatsiya qilish uchun quyidagi amallarni bajaring:

  1. Buyruqning satrida testbench simulyatsiyasi katalogiga o'ting. Katalog shundayample_installation_dir>/exampIntel Agilex 7 qurilmalari uchun le_design/ testbench.
  2. Siz tanlagan qo'llab-quvvatlanadigan simulyator uchun simulyatsiya skriptini ishga tushiring. Skript simulyatorda testbenchni kompilyatsiya qiladi va boshqaradi. Skriptingiz simulyatsiya tugagandan so'ng SOP va EOP hisoblari mos kelishini tekshirishi kerak. Simulyatsiyani ishga tushirish bosqichlari jadvaliga qarang.

4-jadval. Simulyatsiyani ishga tushirish uchun qadamlar

Simulyator Ko'rsatmalar
ModelSim SE yoki QuestaSim Buyruqlar qatoriga -do vlog_pro.do yozing

Agar siz ModelSim GUI-ni ochmasdan simulyatsiya qilishni xohlasangiz, vsim -c -do vlog_pro.do yozing.

VCS Buyruqlar qatoriga sh vcstest.sh yozing
Xcelium Buyruqlar qatoriga sh xcelium.sh yozing

Natijalarni tahlil qiling. Muvaffaqiyatli simulyatsiya paketlarni yuboradi va qabul qiladi va “TEST PASSED” xabarini ko'rsatadi.
Dizayn uchun sinov stoli sobiqample quyidagi vazifalarni bajaradi:

  • Interlaken (2-avlod) Intel FPGA IP-ni ishga tushiradi.
  • PHY holatini chop etadi.
  • Metafram sinxronizatsiyasini (SYNC_LOCK) va so'z (blok) chegaralarini (WORD_LOCK) tekshiradi.
  • Alohida bo'laklarning qulflanishi va hizalanishini kutadi.
  • Paketlarni uzatishni boshlaydi.
  • Paket statistikasini tekshiradi:
    • CRC24 xatolari
    • SOPlar
    • EOPlar

Quyidagi sample chiqishi Interlaken rejimida muvaffaqiyatli simulyatsiya sinovini ko'rsatadi:Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (9)Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (10)

Eslatma: Interlaken dizayni sobiqample simulation testbench 100 ta paketni yuboradi va 100 ta paketni oladi. Quyidagi sample chiqishi Interlaken Look-sides rejimida muvaffaqiyatli simulyatsiya sinovini ko'rsatadi:Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (11)

Eslatma: Interlaken Lookaside dizaynida paketlar soni (SOP va EOP) har bir qatorda farq qiladi.ample simulyatsiya sample chiqish.
Tegishli ma'lumotlar
Uskuna dizayni Example Komponentlar 6-sahifada

Dizaynni kompilyatsiya qilish va sozlash ExampUskunada
9-rasm. JarayonIntel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (12)

Uskunada ko'rgazma testini kompilyatsiya qilish va ishga tushirish uchunampdizayn uchun quyidagi amallarni bajaring:

  1. Uskunaning mavjudligiga ishonch hosil qilingampdizayn yaratish tugallandi.
  2. Intel Quartus Prime Pro Edition dasturida Intel Quartus Prime loyihasini ochingample_installation_dir>/example_design/quartus/ example_design.qpf>.
  3. Qayta ishlash menyusida Kompilyatsiyani boshlash-ni bosing.
  4. Muvaffaqiyatli kompilyatsiyadan so'ng, a .sof file belgilangan katalogingizda mavjud. Uskunani dasturlash uchun quyidagi amallarni bajaringampIntel Agilex 7 qurilmasida dizayn:
    • a. Intel Agilex 7 F-Series Transceiver-SoC Development Kit-ni asosiy kompyuterga ulang.
    • b. Ishlab chiqish to'plamining bir qismi bo'lgan Clock Control ilovasini ishga tushiring va eski dizayn uchun yangi chastotalarni o'rnating.ample. Quyida Clock Control ilovasida chastota sozlamalari keltirilgan:
    • • Si5338 (U37), CLK1- 100 MGts
    • • Si5338 (U36), CLK2- 153.6 MGts
    • • Si549 (Y2), OUT- Dizayn talabingiz bo'yicha pll_ref_clk(1) qiymatini o'rnating.
    • c. Asboblar menyusida Dasturchi-ni bosing.
    • d. Dasturchi oynasida Hardware Setup-ni bosing.
    • e. Dasturlash qurilmasini tanlang.
    • f. Intel Quartus Prime seansingiz ulanishi mumkin bo'lgan Intel Agilex 7 F-Series Transceiver-SoC Development Kit-ni tanlang va qo'shing.
    • g. Mode J ga o'rnatilganligiga ishonch hosil qilingTAG.
    • h. Intel Agilex 7 qurilmasini tanlang va Device qo'shish-ni bosing. Dasturchi sizning platangizdagi qurilmalar orasidagi ulanishlarning blok diagrammasini ko'rsatadi.
    • i. .sof bilan qatorda .sof uchun katakchani belgilang.
    • j. Dastur/Sozlash ustunidagi katakchani belgilang.
    • k. Start tugmasini bosing.

Tegishli ma'lumotlar

  • Intel FPGA qurilmalarini dasturlash 0-betda
  • Tizim konsoli yordamida dizaynlarni tahlil qilish va disk raskadrovka qilish
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit foydalanuvchi uchun qo'llanma

Uskuna dizaynini sinovdan o'tkazish Example
Interlaken (2-avlod) Intel FPGA IP yadro dizaynini tuzganingizdan so'ng, exampQurilmangizni sozlang va tizim konsolidan IP yadrosi va uning o‘rnatilgan Native PHY IP yadro registrlarini dasturlash uchun foydalanishingiz mumkin.

Tizim konsolini ochish va apparat dizaynini sinab ko'rish uchun quyidagi amallarni bajaringampga:

  1. Intel Quartus Prime Pro Edition dasturida Asboblar menyusida Tizim disk raskadrovka vositalari ➤ Tizim konsoli-ni bosing.
  2. ga o'zgartiringample_installation_dir>masalanample_design/ hwtest katalogi.
  3. J.ga ulanishni ochish uchunTAG master, quyidagi buyruqni kiriting: source sysconsole_testbench.tcl
  4. Quyidagi dizayn bilan ichki ketma-ket orqaga qaytish rejimini yoqishingiz mumkinampbuyruqlar:
    • a. stat: Umumiy holat ma'lumotlarini chop etadi.
    • b. sys_reset: tizimni qayta tiklaydi.
    • c. loop_on: Ichki ketma-ket orqaga qaytishni yoqadi.
    • d. run_example_design: Eski dizaynni ishga tushiradiample.
    • Eslatma: run_ex dan oldin loop_on buyrug'ini bajarishingiz kerakample_design buyrug'i. run_example_design quyidagi buyruqlarni ketma-ketlikda bajaradi: sys_reset->stat->gen_on->stat->gen_off.
    • Eslatma: Moslashuv yukini yumshoq IP-ni yoqish opsiyasini tanlaganingizda, run_example_design buyrug'i run_load_PMA_configuration buyrug'ini ishga tushirish orqali RX tomonida dastlabki moslashuv kalibrlashni amalga oshiradi.
  5. Quyidagi dizayn bilan ichki ketma-ket orqaga qaytish rejimini o'chirib qo'yishingiz mumkinampbuyruq:
    • a. loop_off: Ichki ketma-ket aylanishni o'chiradi.
  6. Siz IP yadrosini quyidagi qo'shimcha dizayn bilan dasturlashingiz mumkin exampbuyruqlar:
    • a. gen_on: Paket generatorini yoqadi.
    • b. gen_off: Paket generatorini o'chiradi.
    • c. run_test_loop: testni ishga tushiradi E-plitka NRZ va PAM4 o'zgarishlari uchun vaqt.
    • d. clear_err: Barcha yopishqoq xato bitlarini tozalaydi.
    • e. sinov_rejimini sozlash : Muayyan rejimda ishlash uchun testni sozlaydi.
    • f. get_test_mode: Joriy test rejimini chop etadi.
    • g. o'rnatish_burst_size : baytlarda portlash hajmini o'rnatadi.
    • h. get_burst_size: portlash hajmi haqidagi ma'lumotlarni chop etadi.

Muvaffaqiyatli sinov HW_TEST:PASS xabarini chop etadi. Quyida test sinovidan o'tish mezonlari keltirilgan:

  • CRC32, CRC24 va tekshiruvchi uchun xatolik yo'q.
  • O'tkazilgan SOP va EOP qabul qilingan bilan mos kelishi kerak.

Quyidagi sample chiqish Interlaken rejimida muvaffaqiyatli sinov ishini ko'rsatadi:Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (13)

Muvaffaqiyatli sinov HW_TEST : PASS xabarini chop etadi. Quyida test sinovidan o'tish mezonlari keltirilgan:

  • CRC32, CRC24 va tekshiruvchi uchun xatolik yo'q.
  • O'tkazilgan SOP va EOP qabul qilingan bilan mos kelishi kerak.

Quyidagi sample chiqish Interlaken Lookaside rejimida muvaffaqiyatli sinov ishini ko'rsatadi:Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (14)Intel-Interlaken-2-avlod-Agilex-7-FPGA-IP-dizayn-Example-fig-1 (15)

Dizayn Example Tavsif

Dizayn sobiqample Interlaken IP yadrosining funksiyalarini namoyish etadi.

Tegishli ma'lumotlar
Interlaken (2-avlod) FPGA IP foydalanuvchi qo'llanmasi

Dizayn Example Behavior
Dizaynni apparatda sinab ko'rish uchun tizim konsolida quyidagi buyruqlarni kiriting:

  1. O'rnatish manbasi file:
    • % manbaample>uflex_ilk_0_example_design/example_design/hwtest/ sysconsole_testbench.tcl
  2. Sinovni bajaring:
    • % run_example_dizayn
  3. Interlaken (2-avlod) apparat dizayni, example quyidagi bosqichlarni bajaradi:
    • a. Interlaken (2-avlod) IP-ni tiklaydi.
    • b. Interlaken (2-avlod) IP-ni ichki orqaga qaytarish rejimida sozlaydi.
    • c. IP yadrosining TX foydalanuvchi ma'lumotlarini uzatish interfeysiga foydali yukda oldindan belgilangan ma'lumotlarga ega Interlaken paketlari oqimini yuboradi.
    • d. Qabul qilingan paketlarni tekshiradi va holati haqida xabar beradi. Uskuna dizayniga kiritilgan paket tekshiruvi example quyidagi asosiy paketlarni tekshirish imkoniyatlarini taqdim etadi:
      • Uzatilgan paketlar ketma-ketligi to'g'riligini tekshiradi.
      • Qabul qilingan ma'lumotlarning kutilgan qiymatlarga mos kelishini tekshirib, ma'lumotlarni uzatish va qabul qilish paytida paketning boshlanishi (SOP) va paketning oxiri (EOP) hisoblarining mos kelishini ta'minlaydi.

Interfeys signallari
5-jadval. Dizayn Example Interfeys signallari

Port nomi Yo'nalish Kenglik (bit) Tavsif
 

mgmt_clk

 

Kirish

 

1

Tizim soatini kiritish. Soat chastotasi 100 MGts bo'lishi kerak.
pll_ref_clk /

pll_ref_clk[1:0](2)

 

Kirish

 

1/2

Transceiver mos yozuvlar soati. RX CDR PLL ni boshqaradi.
davom etdi…
Port nomi Yo'nalish Kenglik (bit) Tavsif
      pll_ref_clk[1] faqat siz yoqilganda mavjud Ishlatilmagan holda saqlang

Eslatma: PAM4 uchun qabul qiluvchi kanallar E-plitka PAM4 rejimidagi parametr IP o'zgarishlari.

rx_pin Kirish Bo'laklar soni Qabul qiluvchining SERDES ma'lumotlar pin.
tx_pin Chiqish Bo'laklar soni SERDES ma'lumotlar pinini uzatish.
 

rx_pin_n

 

Kirish

 

Bo'laklar soni

Qabul qiluvchining SERDES ma'lumotlar pin.

Bu signal faqat E-tile PAM4 rejimidagi qurilma o'zgarishlarida mavjud.

 

tx_pin_n

 

Chiqish

 

Bo'laklar soni

SERDES ma'lumotlar pinini uzatish.

Bu signal faqat E-tile PAM4 rejimidagi qurilma o'zgarishlarida mavjud.

 

 

mac_clk_pll_ref

 

 

Kirish

 

 

1

Ushbu signal PLL tomonidan boshqarilishi kerak va pll_ref_clk ni boshqaradigan bir xil soat manbasidan foydalanishi kerak.

Bu signal faqat E-tile PAM4 rejimidagi qurilma o'zgarishlarida mavjud.

usr_pb_reset_n Kirish 1 Tizimni tiklash.

Tegishli ma'lumotlar
Interfeys signallari

Ro'yxatdan o'tish xaritasi
Eslatma: • Dizayn Example registr manzili 0x20** bilan boshlanadi, Interlaken IP asosiy registr manzili esa 0x10** bilan boshlanadi.

  • Kirish kodi: RO—Faqat oʻqish va RW—Oʻqish/Yozish.
  • Tizim konsoli eski dizaynni o'qiydiample ro'yxatdan o'tkazadi va ekranda test holati haqida xabar beradi.

6-jadval. Dizayn Example Ro'yxatdan o'tish xaritasi Interlaken Design Example

Ofset Ism Kirish Tavsif
8:00 Zaxiralangan
8:01 Zaxiralangan
 

 

8:02

 

 

Tizim PLL qayta o'rnatildi

 

 

RO

Quyidagi bitlar tizimning PLL sozlamalarini tiklash so'rovini va qiymatini yoqishni ko'rsatadi:

• Bit [0] – sys_pll_rst_req

• Bit [1] – sys_pll_rst_en

8:03 RX qatori tekislangan RO RX chizig'ining hizalanishini ko'rsatadi.
 

8:04

 

WORD qulflangan

 

RO

[NUM_LANES–1:0] – So‘z (blok) chegaralarini aniqlash.
davom etdi…

PAM4 parametri uchun foydalanilmagan qabul qiluvchi kanallarni saqlash funksiyasini yoqsangiz, foydalanilmagan PAM4 tobe kanalini saqlab qolish uchun qo'shimcha mos yozuvlar soat porti qo'shiladi.

Ofset Ism Kirish Tavsif
8:05 Sinxronlash qulflangan RO [NUM_LANES–1:0] – Metaframe sinxronizatsiyasi.
8:06 - 8:09 CRC32 xatolar soni RO CRC32 xatolik sonini bildiradi.
8'h0A CRC24 xatolar soni RO CRC24 xatolik sonini bildiradi.
 

 

8'h0B

 

 

Haddan tashqari oqim signali

 

 

RO

Quyidagi bitlar quyidagilarni bildiradi:

• Bit [3] – TX past oqim signali

• Bit [2] – TX to‘lib ketish signali

• Bit [1] – RX toshib ketish signali

8'h0C SOP soni RO SOP sonini bildiradi.
8'h0D EOP soni RO EOP sonini bildiradi
 

 

8'h0E

 

 

Xatolar soni

 

 

RO

Quyidagi xatolar sonini ko'rsatadi:

• Chiziqlarni tekislashning yo'qolishi

• Noqonuniy boshqaruv so'zi

• Noqonuniy ramka naqshlari

• SOP yoki EOP ko'rsatkichi yo'q

8'h0F send_data_mm_clk RW Jeneratör signalini yoqish uchun 1 dan bitgacha [0] ga yozing.
 

8:10

 

Tekshiruvchi xato

  Tekshirish xatosini ko'rsatadi. (SOP ma'lumotlar xatosi, kanal raqami xatosi va PLD ma'lumotlar xatosi)
8:11 Tizim PLL blokirovkasi RO Bit [0] PLL blokirovkasini bildiradi.
 

8:14

 

TX SOP soni

 

RO

Paket generatori tomonidan yaratilgan SOP sonini ko'rsatadi.
 

8:15

 

TX EOP soni

 

RO

Paket generatori tomonidan yaratilgan EOP sonini ko'rsatadi.
8:16 Uzluksiz paket RW Uzluksiz paketni yoqish uchun 1 dan bitgacha [0] ga yozing.
8:39 ECC xatolar soni RO ECC xatolar sonini ko'rsatadi.
8:40 ECC tuzatilgan xatolar soni RO Tuzatilgan ECC xatolar sonini ko'rsatadi.

Dizayn Example Register Map for Interlaken Look-side Design Example
Eski dizaynni yaratishda ushbu registr xaritasidan foydalaningample Enable Interlaken Look-sidere rejimi parametri yoqilgan.

Ofset Ism Kirish Tavsif
8:00 Zaxiralangan
8:01 Hisoblagichni tiklash RO TX va RX hisoblagichlarini teng bitni tozalash uchun 1 dan bitgacha [0] ga yozing.
 

 

8:02

 

 

Tizim PLL qayta o'rnatildi

 

 

RO

Quyidagi bitlar tizimning PLL sozlamalarini tiklash so'rovini va qiymatini yoqishni ko'rsatadi:

• Bit [0] – sys_pll_rst_req

• Bit [1] – sys_pll_rst_en

8:03 RX qatori tekislangan RO RX chizig'ining hizalanishini ko'rsatadi.
 

8:04

 

WORD qulflangan

 

RO

[NUM_LANES–1:0] – So‘z (blok) chegaralarini aniqlash.
8:05 Sinxronlash qulflangan RO [NUM_LANES–1:0] – Metaframe sinxronizatsiyasi.
8:06 - 8:09 CRC32 xatolar soni RO CRC32 xatolik sonini bildiradi.
8'h0A CRC24 xatolar soni RO CRC24 xatolik sonini bildiradi.
davom etdi…
Ofset Ism Kirish Tavsif
8'h0B Zaxiralangan
8'h0C SOP soni RO SOP sonini bildiradi.
8'h0D EOP soni RO EOP sonini bildiradi
 

 

8'h0E

 

 

Xatolar soni

 

 

RO

Quyidagi xatolar sonini ko'rsatadi:

• Chiziqlarni tekislashning yo'qolishi

• Noqonuniy boshqaruv so'zi

• Noqonuniy ramka naqshlari

• SOP yoki EOP ko'rsatkichi yo'q

8'h0F send_data_mm_clk RW Jeneratör signalini yoqish uchun 1 dan bitgacha [0] ga yozing.
 

8:10

 

Tekshiruvchi xato

 

RO

Tekshirish xatosini ko'rsatadi. (SOP ma'lumotlar xatosi, kanal raqami xatosi va PLD ma'lumotlar xatosi)
8:11 Tizim PLL blokirovkasi RO Bit [0] PLL blokirovkasini bildiradi.
8:13 Kechikish vaqti RO Kechikishlar sonini bildiradi.
 

8:14

 

TX SOP soni

 

RO

Paket generatori tomonidan yaratilgan SOP sonini ko'rsatadi.
 

8:15

 

TX EOP soni

 

RO

Paket generatori tomonidan yaratilgan EOP sonini ko'rsatadi.
8:16 Uzluksiz paket RO Uzluksiz paketni yoqish uchun 1 dan bitgacha [0] ga yozing.
8:17 TX va RX hisoblagichlari teng RW TX va RX hisoblagichlari teng ekanligini bildiradi.
8:23 Kechikishni yoqish WO Kechikishni o'lchashni yoqish uchun 1 dan bitgacha [0] ga yozing.
8:24 Kechikish tayyor RO Kechikish o'lchovi tayyorligini bildiradi.

Interlaken (2-avlod) Intel Agilex 7 FPGA IP dizayni ExampFoydalanuvchi uchun qo'llanma arxivlari

  • Ushbu foydalanuvchi qoʻllanmasining soʻnggi va oldingi versiyalari uchun Interlaken (2-chi
  • Avlod) Intel Agilex 7 FPGA IP dizayni ExampFoydalanuvchi qo'llanmasining HTML versiyasi. Versiyani tanlang va Yuklab olish tugmasini bosing. Agar IP yoki dasturiy ta'minot versiyasi ro'yxatda bo'lmasa, avvalgi IP yoki dasturiy ta'minot versiyasi uchun foydalanuvchi qo'llanmasi qo'llaniladi.
  • IP versiyalari Intel Quartus Prime Design Suite dasturiy ta'minotining v19.1 gacha bo'lgan versiyalari bilan bir xil. Intel Quartus Prime Design Suite dasturiy ta'minotining 19.2 yoki undan keyingi versiyalaridan boshlab, IP yadrolari yangi IP-versiyalash sxemasiga ega.

Interlaken (2-avlod) Intel Agilex 7 FPGA IP dizayni uchun hujjatlarni qayta ko'rib chiqish tarixiampFoydalanuvchi uchun qo'llanma

Hujjat versiyasi Intel Quartus Prime versiyasi IP versiyasi O'zgarishlar
2023.06.26 23.2 21.1.1 • Sintez va simulyatsiya modeli uchun VHDL qo'llab-quvvatlash qo'shildi.

• Yangilangan mahsulot nomi “Intel Agilex 7” ga.

2022.08.03 21.3 20.0.1 Intel Agilex F-Series Transceiver-SoC Development Kit uchun OPN qurilmasi tuzatildi.
2021.10.04 21.3 20.0.1 • QuestaSim simulyatorini qo‘llab-quvvatlash qo‘shildi.

• NCSim simulyatori uchun yordam olib tashlandi.

2021.02.24 20.4 20.0.1 • Bo'limda PAM4 uchun foydalanilmagan qabul qiluvchi kanalni saqlash haqida ma'lumot qo'shildi: Uskuna dizayni Example Komponentlar.

• Bo'limga pll_ref_clk[1] signal tavsifi qo'shildi: Interfeys signallari.

2020.12.14 20.4 20.0.0 • Yangilangan sampbo'limda Interlaken rejimi va Interlaken Look-sided rejimi uchun le apparat sinov chiqishi Uskuna dizaynini sinovdan o'tkazish Example.

• Interlaken uchun yangilangan registr xaritasi Look-side dizayn exampbo'limda Ro'yxatdan o'tish xaritasi.

• Bo'limda apparat sinovini muvaffaqiyatli o'tkazish uchun o'tish mezonlari qo'shildi Uskuna dizaynini sinovdan o'tkazish Example.

2020.10.16 20.2 19.3.0 RX tomonida dastlabki moslashuv kalibrlashni ishga tushirish buyrug'i tuzatildi Uskuna dizaynini sinovdan o'tkazish Example bo'limi.
2020.06.22 20.2 19.3.0 • Dizayn oldingiample Interlaken chetga qarash rejimi uchun mavjud.

• Dizaynning apparat sinovi example Intel Agilex qurilma o'zgarishlari uchun mavjud.

• Qo'shilgan Rasm: Interlaken (2-avlod) dizayni uchun yuqori darajadagi blok diagrammasi Example.

• Quyidagi bo'limlar yangilandi:

—   Uskuna va dasturiy ta'minotga qo'yiladigan talablar

—   Katalog tuzilmasi

• Interlaken Look-side-ga tegishli yangilanishni kiritish uchun quyidagi raqamlar o'zgartirildi:

—   Rasm: Interlaken (2-avlod) apparat dizayni Example Elektron plitka NRZ rejimining o'zgarishi uchun yuqori darajadagi blok diagrammasi

—   Rasm: Interlaken (2-avlod) apparat dizayni Example Elektron PAM4 rejimining o'zgarishi uchun yuqori darajadagi blok diagrammasi

• Yangilangan Rasm: IP parametr muharriri.

davom etdi…
Hujjat versiyasi Intel Quartus Prime versiyasi IP versiyasi O'zgarishlar
      • Bo'limdagi soatni boshqarish ilovasida chastota sozlamalari haqida ma'lumot qo'shildi Dizaynni kompilyatsiya qilish va sozlash ExampUskunada.

• Quyidagi bo'limlarda Interlaken Lookside uchun sinov natijalari qo'shildi:

—   Dizaynni simulyatsiya qilish Example Testbench

—   Uskuna dizaynini sinovdan o'tkazish Example

• Quyidagi yangi signallar qo'shildi Interfeys signallari

bo'lim:

— mgmt_clk

— rx_pin_n

— tx_pin_n

— mac_clk_pll_ref

• Interlaken Look-side dizayn uchun qo'shilgan registr xaritasi example in bo'lim: Ro'yxatdan o'tish xaritasi.

2019.09.30 19.3 19.2.1 Clk100 olib tashlandi. mgmt_clk quyidagi hollarda IO PLL ga mos yozuvlar soati sifatida xizmat qiladi:

•    Rasm: Interlaken (2-avlod) apparat dizayni Example E-plitka NRZ rejimining o'zgarishi uchun yuqori darajadagi blok diagrammasi.

•    Rasm: Interlaken (2-avlod) apparat dizayni Example E-plitka PAM4 rejimining o'zgarishi uchun yuqori darajadagi blok diagrammasi.

2019.07.01 19.2 19.2 Dastlabki nashr.

Interlaken (2-avlod) Intel Agilex® 7 FPGA IP dizayni ExampFoydalanuvchi uchun qo'llanma

Hujjatlar / manbalar

Intel Interlaken 2-avlod Agilex 7 FPGA IP dizayni Example [pdf] Foydalanuvchi uchun qoʻllanma
Interlaken 2-avlod Agilex 7 FPGA IP dizayni Example, Interlaken, 2-avlod Agilex 7 FPGA IP dizayni Example, FPGA IP Design Example, IP Design Example, Dizayn Example

Ma'lumotnomalar

Fikr qoldiring

Sizning elektron pochta manzilingiz nashr etilmaydi. Majburiy maydonlar belgilangan *