intel ਲੋਗੋFPGA IP
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ
F-Tile 25G ਈਥਰਨੈੱਟ Intel®
Intel® Quartus® ਲਈ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ
ਪ੍ਰਾਈਮ ਡਿਜ਼ਾਈਨ ਸੂਟ: 22.3
IP ਸੰਸਕਰਣ: 1.0.0

ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ

Intel Agilex™ ਡਿਵਾਈਸਾਂ ਲਈ F-tile 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਡਿਜ਼ਾਇਨ ਐਕਸ ਜਨਰੇਟ ਕਰਨ ਦੀ ਸਮਰੱਥਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈampਚੁਣੀਆਂ ਗਈਆਂ ਸੰਰਚਨਾਵਾਂ ਲਈ les.
ਚਿੱਤਰ 1. ਡਿਜ਼ਾਈਨ ਐਕਸample ਵਰਤੋਂ

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 1

ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ

ਚਿੱਤਰ 2. 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 2

  • ਸਿਮੂਲੇਸ਼ਨ files (ਸਿਰਫ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਟੈਸਟਬੈਂਚ) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/example_testbench.
  • ਸੰਕਲਨ-ਸਿਰਫ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ ਸਥਿਤ ਹੈample_dir>/ compilation_test_design।
  • ਹਾਰਡਵੇਅਰ ਸੰਰਚਨਾ ਅਤੇ ਟੈਸਟ files (ਡਿਜ਼ਾਇਨ ਸਾਬਕਾample in hardware) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/ਹਾਰਡਵੇਅਰ_ਟੈਸਟ_ਡਿਜ਼ਾਈਨ।

ਸਾਰਣੀ 1. ਡਾਇਰੈਕਟਰੀ ਅਤੇ File ਵਰਣਨ

File ਨਾਮ ਵਰਣਨ
eth_ex_25g.qpf Intel Quartus® Prime ਪ੍ਰੋਜੈਕਟ file.
eth_ex_25g.qsf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗਾਂ file.
eth_ex_25g.sdc Synopsys ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ file. ਤੁਸੀਂ ਇਸਨੂੰ ਕਾਪੀ ਅਤੇ ਸੋਧ ਸਕਦੇ ਹੋ file ਤੁਹਾਡੇ ਆਪਣੇ 25GbE Intel FPGA IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਲਈ।
eth_ex_25g.v ਉੱਚ-ਪੱਧਰੀ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file. ਸਿੰਗਲ-ਚੈਨਲ ਡਿਜ਼ਾਈਨ ਵੇਰੀਲੌਗ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ file.
ਆਮ/ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਸਹਿਯੋਗ files.
hwtest/main.tcl ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਐਕਸੈਸ ਕਰਨ ਲਈ।

ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਐਕਸample

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 3

ਚਿੱਤਰ 4. ExampF-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬ

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 4

ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ testbench:

  1. Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਨਵਾਂ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਵਿਜ਼ਾਰਡ, ਜਾਂ File ➤ ਇੱਕ ਮੌਜੂਦਾ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ ਪ੍ਰੋਜੈਕਟ ਖੋਲ੍ਹੋ। ਵਿਜ਼ਾਰਡ ਤੁਹਾਨੂੰ ਇੱਕ ਡਿਵਾਈਸ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪੁੱਛਦਾ ਹੈ।
  2. IP ਕੈਟਾਲਾਗ ਵਿੱਚ, Agilex ਲਈ 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਲੱਭੋ ਅਤੇ ਚੁਣੋ। ਨਵੀਂ IP ਪਰਿਵਰਤਨ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  3. ਆਪਣੇ IP ਪਰਿਵਰਤਨ ਲਈ ਇੱਕ ਉੱਚ-ਪੱਧਰ ਦਾ ਨਾਮ ਦਿਓ ਅਤੇ ਠੀਕ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਉੱਚ ਪੱਧਰੀ .ip ਨੂੰ ਜੋੜਦਾ ਹੈ file ਆਪਣੇ ਆਪ ਮੌਜੂਦਾ ਪ੍ਰੋਜੈਕਟ ਲਈ। ਜੇਕਰ ਤੁਹਾਨੂੰ ਦਸਤੀ .ip ਸ਼ਾਮਲ ਕਰਨ ਲਈ ਕਿਹਾ ਜਾਂਦਾ ਹੈ file ਪ੍ਰੋਜੈਕਟ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਜੋੜੋ/ਹਟਾਓ 'ਤੇ ਕਲਿੱਕ ਕਰੋ Fileਨੂੰ ਜੋੜਨ ਲਈ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ s file.
  4. Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, ਤੁਹਾਨੂੰ ਡਿਵਾਈਸ ਖੇਤਰ ਵਿੱਚ ਇੱਕ ਖਾਸ Intel Agilex ਡਿਵਾਈਸ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ, ਜਾਂ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਪ੍ਰਸਤਾਵਿਤ ਡਿਫੌਲਟ ਡਿਵਾਈਸ ਨੂੰ ਰੱਖਣਾ ਚਾਹੀਦਾ ਹੈ।
    ਨੋਟ: ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਟੀਚੇ ਦੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸ ਨਾਲ ਚੋਣ ਨੂੰ ਓਵਰਰਾਈਟ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਮੇਨੂ ਤੋਂ ਟੀਚਾ ਬੋਰਡ ਨਿਸ਼ਚਿਤ ਕਰਦੇ ਹੋampਸਾਬਕਾ ਵਿੱਚ le ਵਿਕਲਪample ਡਿਜ਼ਾਈਨ ਟੈਬ.
  5. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦਿਸਦਾ ਹੈ।
  6. IP ਟੈਬ 'ਤੇ, ਆਪਣੇ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰੋ।
  7. ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਸਾਬਕਾ ਲਈampਲੇ ਡਿਜ਼ਾਇਨ Files, ਟੈਸਟਬੈਂਚ ਬਣਾਉਣ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋ, ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਚੁਣੋ।ample. ਸਿਰਫ਼ Verilog HDL files ਤਿਆਰ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।
    ਨੋਟ: ਇੱਕ ਕਾਰਜਸ਼ੀਲ VHDL IP ਕੋਰ ਉਪਲਬਧ ਨਹੀਂ ਹੈ। ਤੁਹਾਡੇ IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ, ਸਿਰਫ਼ ਵੇਰੀਲੌਗ HDL ਨਿਸ਼ਚਿਤ ਕਰੋample.
  8. ਟਾਰਗੇਟ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਲਈ, Agilex I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਦੇਵ ਕਿੱਟ ਦੀ ਚੋਣ ਕਰੋ।
  9. ਜਨਰੇਟ ਐਕਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋample ਡਿਜ਼ਾਈਨ ਬਟਨ. ਸਾਬਕਾ ਚੁਣੋample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  10. ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੋਧਣਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਜਾਂ ਡਿਸਪਲੇ ਕੀਤੇ ਡਿਫੌਲਟ ਤੋਂ ਨਾਮ (alt_e25_f_0_example_design), ਨਵੇਂ ਮਾਰਗ 'ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ ਅਤੇ ਨਵਾਂ ਡਿਜ਼ਾਈਨ ਐਕਸ ਟਾਈਪ ਕਰੋample ਡਾਇਰੈਕਟਰੀ ਦਾ ਨਾਮ (ample_dir>).
  11. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ.

1.2.1. ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਪੈਰਾਮੀਟਰ
ਸਾਰਣੀ 2. ਸਾਬਕਾ ਵਿੱਚ ਮਾਪਦੰਡample ਡਿਜ਼ਾਈਨ ਟੈਬ

ਪੈਰਾਮੀਟਰ ਵਰਣਨ
Exampਲੇ ਡਿਜ਼ਾਇਨ ਉਪਲਬਧ ਸਾਬਕਾample IP ਪੈਰਾਮੀਟਰ ਸੈਟਿੰਗਾਂ ਲਈ ਡਿਜ਼ਾਈਨ ਕਰਦਾ ਹੈ। ਸਿਰਫ਼ ਸਿੰਗਲ-ਚੈਨਲ ਸਾਬਕਾample ਡਿਜ਼ਾਈਨ ਇਸ IP ਲਈ ਸਮਰਥਿਤ ਹੈ।
Exampਲੇ ਡਿਜ਼ਾਇਨ Files ਦ files ਵੱਖ-ਵੱਖ ਵਿਕਾਸ ਪੜਾਅ ਲਈ ਪੈਦਾ ਕਰਨ ਲਈ.
• ਸਿਮੂਲੇਸ਼ਨ—ਲੋੜੀਂਦੀ ਬਣਾਉਂਦੀ ਹੈ fileਸਾਬਕਾ ਦੀ ਨਕਲ ਕਰਨ ਲਈ sample ਡਿਜ਼ਾਈਨ.
• ਸੰਸਲੇਸ਼ਣ—ਸਿੰਥੇਸਿਸ ਪੈਦਾ ਕਰਦਾ ਹੈ fileਐੱਸ. ਇਹਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ fileਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਸਥਿਰ ਸਮੇਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਹੈ।
ਪੈਦਾ ਕਰੋ File ਫਾਰਮੈਟ RTL ਦਾ ਫਾਰਮੈਟ fileਸਿਮੂਲੇਸ਼ਨ ਲਈ s — ਵੇਰੀਲੋਗ।
ਬੋਰਡ ਚੁਣੋ ਡਿਜ਼ਾਈਨ ਲਾਗੂ ਕਰਨ ਲਈ ਸਮਰਥਿਤ ਹਾਰਡਵੇਅਰ। ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ Intel FPGA ਵਿਕਾਸ ਬੋਰਡ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ, ਤਾਂ ਡਿਜ਼ਾਇਨ ਐਕਸ ਲਈ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਦੇ ਤੌਰ 'ਤੇ ਡਿਵਾਈਸ AGIB027R31B1E2VRO ਦੀ ਵਰਤੋਂ ਕਰੋample ਪੀੜ੍ਹੀ.
Agilex I-series Transceiver-SoC Dev Kit: ਇਹ ਵਿਕਲਪ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈampਚੁਣੀ ਗਈ Intel FPGA IP ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ le. ਇਹ ਵਿਕਲਪ AGIB027R31B1E2VRO ਦੇ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਨੂੰ ਆਪਣੇ ਆਪ ਚੁਣਦਾ ਹੈ। ਜੇਕਰ ਤੁਹਾਡੇ ਬੋਰਡ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਇੱਕ ਵੱਖਰਾ ਡਿਵਾਈਸ ਗ੍ਰੇਡ ਹੈ, ਤਾਂ ਤੁਸੀਂ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਨੂੰ ਬਦਲ ਸਕਦੇ ਹੋ।
ਕੋਈ ਨਹੀਂ: ਇਹ ਵਿਕਲਪ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਹਾਰਡਵੇਅਰ ਪਹਿਲੂਆਂ ਨੂੰ ਸ਼ਾਮਲ ਨਹੀਂ ਕਰਦਾ ਹੈample.

1.3 ਟਾਈਲ ਤਿਆਰ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ Files

ਸਪੋਰਟ-ਲੌਜਿਕ ਜਨਰੇਸ਼ਨ ਟਾਇਲ-ਸਬੰਧਤ ਬਣਾਉਣ ਲਈ ਵਰਤਿਆ ਜਾਣ ਵਾਲਾ ਪੂਰਵ-ਸਿੰਥੇਸਿਸ ਕਦਮ ਹੈ files ਸਿਮੂਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਲਈ ਲੋੜੀਂਦਾ ਹੈ। ਟਾਇਲ ਪੀੜ੍ਹੀ ਸਭ ਲਈ ਜ਼ਰੂਰੀ ਹੈ
F-ਟਾਈਲ ਆਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਸਿਮੂਲੇਸ਼ਨ। ਤੁਹਾਨੂੰ ਸਿਮੂਲੇਸ਼ਨ ਤੋਂ ਪਹਿਲਾਂ ਇਸ ਪੜਾਅ ਨੂੰ ਪੂਰਾ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।

  1. ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ 'ਤੇ, ਆਪਣੇ ਸਾਬਕਾ ਵਿੱਚ compilation_test_design ਫੋਲਡਰ 'ਤੇ ਨੈਵੀਗੇਟ ਕਰੋample ਡਿਜ਼ਾਈਨ: ਸੀਡੀ /compilation_test_design.
  2. ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਚਲਾਓ: quartus_tlg alt_eth_25g

1.4 F-tile 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ 
Exampਲੇ ਟੈਸਟਬੈਂਚ
ਤੁਸੀਂ ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ ਤੋਂ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾ ਕੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਸਿਮੂਲੇਟ ਕਰ ਸਕਦੇ ਹੋ।

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 5

  1. ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ 'ਤੇ, ਟੈਸਟਬੈਂਚ ਸਿਮੂਲੇਟਿੰਗ ਵਰਕਿੰਗ ਡਾਇਰੈਕਟਰੀ ਨੂੰ ਬਦਲੋ: cdample_dir>/ex_25g/sim।
  2. IP ਸੈੱਟਅੱਪ ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਓ: ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf

ਸਾਰਣੀ 3. ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ

ਸਿਮੂਲੇਟਰ ਹਦਾਇਤਾਂ
VCS* ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_vcs.sh
QuestaSim* ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ vsim -do run_vsim.do -logfile vsim.log
ਜੇਕਰ ਤੁਸੀਂ QuestaSim GUI ਨੂੰ ਪੇਸ਼ ਕੀਤੇ ਬਿਨਾਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਈਪ ਕਰੋ vsim -c -do run_vsim.do -logfile vsim.log
ਕੈਡੈਂਸ -ਐਕਸਸੀਲੀਅਮ* ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_xcelium.sh

ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਹੇਠਾਂ ਦਿੱਤੇ ਸੰਦੇਸ਼ ਨਾਲ ਖਤਮ ਹੁੰਦਾ ਹੈ:
ਸਿਮੂਲੇਸ਼ਨ ਪਾਸ। ਜਾਂ ਟੈਸਟਬੈਂਚ ਪੂਰਾ।
ਸਫਲਤਾਪੂਰਵਕ ਮੁਕੰਮਲ ਹੋਣ ਤੋਂ ਬਾਅਦ, ਤੁਸੀਂ ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰ ਸਕਦੇ ਹੋ.
1.5 ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਹਾਰਡਵੇਅਰ ਵਿੱਚ le
25G ਈਥਰਨੈੱਟ Intel FPGA IP ਕੋਰ ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈampਟੀਚਾ ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ.

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 6

ਇੱਕ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਲਈ ਸਾਬਕਾampਹਾਰਡਵੇਅਰ 'ਤੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਲਾਂਚ ਕਰੋ ਅਤੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਸਟਾਰਟ ਕੰਪਾਈਲੇਸ਼ਨ ਚੁਣੋ।
  2. ਤੁਹਾਡੇ ਦੁਆਰਾ ਇੱਕ SRAM ਆਬਜੈਕਟ ਬਣਾਉਣ ਤੋਂ ਬਾਅਦ file .sof, ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋampIntel Agilex ਡਿਵਾਈਸ 'ਤੇ le:
    a ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਪ੍ਰੋਗਰਾਮਰ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
    ਬੀ. ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
    c. ਇੱਕ ਪ੍ਰੋਗਰਾਮਿੰਗ ਡਿਵਾਈਸ ਚੁਣੋ।
    d. ਆਪਣੇ Intel Quartus Prime Pro Edition ਸੈਸ਼ਨ ਵਿੱਚ Intel Agilex ਬੋਰਡ ਨੂੰ ਚੁਣੋ ਅਤੇ ਸ਼ਾਮਲ ਕਰੋ।
    ਈ. ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਮੋਡ ਜੇ 'ਤੇ ਸੈੱਟ ਹੈTAG.
    f. Intel Agilex ਡਿਵਾਈਸ ਦੀ ਚੋਣ ਕਰੋ ਅਤੇ ਡਿਵਾਈਸ ਜੋੜੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪ੍ਰੋਗਰਾਮਰ ਡਿਸਪਲੇ ਕਰਦਾ ਹੈ
    ਤੁਹਾਡੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸਾਂ ਵਿਚਕਾਰ ਕਨੈਕਸ਼ਨਾਂ ਦਾ ਇੱਕ ਬਲਾਕ ਚਿੱਤਰ।
    g ਤੁਹਾਡੇ .sof ਦੇ ਨਾਲ ਕਤਾਰ ਵਿੱਚ, .sof ਲਈ ਬਾਕਸ 'ਤੇ ਨਿਸ਼ਾਨ ਲਗਾਓ।
    h. ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਕਾਲਮ ਵਿੱਚ ਬਾਕਸ ਨੂੰ ਚੈੱਕ ਕਰੋ।
    i. ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।

1.6 F-tile 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰ ਰਿਹਾ ਹੈample
ਤੁਹਾਡੇ ਦੁਆਰਾ ਐਫ-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ ਐਫਪੀਜੀਏ ਆਈਪੀ ਕੋਰ ਡਿਜ਼ਾਈਨ ਐਕਸample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel Agilex ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਤੁਸੀਂ IP ਕੋਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ।
ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਚਾਲੂ ਕਰਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਸਾਬਕਾampਲੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, Tools ➤ System ਦੀ ਚੋਣ ਕਰੋ
    ਡੀਬੱਗਿੰਗ ਟੂਲ ➤ ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਲਾਂਚ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ।
  2. Tcl ਕੰਸੋਲ ਪੈਨ ਵਿੱਚ, ਡਾਇਰੈਕਟਰੀ ਨੂੰ / hardware_test_design/hwtest ਵਿੱਚ ਬਦਲਣ ਲਈ cd hwtest ਟਾਈਪ ਕਰੋ।
  3. ਜੇ ਨਾਲ ਕੁਨੈਕਸ਼ਨ ਖੋਲ੍ਹਣ ਲਈ ਸਰੋਤ main.tcl ਟਾਈਪ ਕਰੋTAG ਮਾਸਟਰ

ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਭਾਗ ਵਿੱਚ ਟੈਸਟ ਪ੍ਰਕਿਰਿਆ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ ਟੈਸਟ ਦੇ ਨਤੀਜੇ ਵੇਖੋ।

F-tile 25G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampIntel Agilex ਡਿਵਾਈਸਾਂ ਲਈ le

F-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਕੋਰ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ Intel Agilex ਡਿਵਾਈਸਾਂ ਲਈ ਇੱਕ ਈਥਰਨੈੱਟ ਹੱਲ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰੋ ਸਾਬਕਾampਸਾਬਕਾ ਤੋਂ ਲੈamp25G ਈਥਰਨੈੱਟ Intel FPGA IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਦੀ ਡਿਜ਼ਾਈਨ ਟੈਬ। ਤੁਸੀਂ ਇਸ ਦੇ ਨਾਲ ਜਾਂ ਬਿਨਾਂ ਡਿਜ਼ਾਈਨ ਬਣਾਉਣ ਦੀ ਚੋਣ ਵੀ ਕਰ ਸਕਦੇ ਹੋ
ਰੀਡ-ਸੋਲੋਮਨ ਫਾਰਵਰਡ ਐਰਰ ਸੁਧਾਰ (RS-FEC) ਵਿਸ਼ੇਸ਼ਤਾ।
2.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ

  • 25G 'ਤੇ ਕੰਮ ਕਰਨ ਵਾਲੇ ਸਿੰਗਲ ਈਥਰਨੈੱਟ ਚੈਨਲ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
  • ਡਿਜ਼ਾਈਨ ਐਕਸampRS-FEC ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ le.
  • ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
  • ਆਈਪੀ ਸੰਰਚਨਾ ਦੇ ਆਧਾਰ 'ਤੇ F-ਟਾਈਲ ਸੰਦਰਭ ਅਤੇ ਸਿਸਟਮ PLL ਘੜੀਆਂ Intel FPGA IP ਨੂੰ ਇੰਸਟੈਂਟੀਟ ਕਰਦਾ ਹੈ।

2.2 ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਲੋੜਾਂ
ਇੰਟੇਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈampਲੀਨਕਸ ਸਿਸਟਮ ਵਿੱਚ:

  • Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ।
  • Siemens* EDA QuestaSim, Synopsys* VCS, ਅਤੇ Cadence Xcelium ਸਿਮੂਲੇਟਰ।
  • ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਲਈ Intel Agilex I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ (AGIB027R31B1E2VRO)।

2.3. ਕਾਰਜਾਤਮਕ ਵਰਣਨ
F-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ MAC+PCS+PMA ਕੋਰ ਵੇਰੀਐਂਟ ਸ਼ਾਮਲ ਹੈ। ਹੇਠਾਂ ਦਿੱਤੇ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ F-tile 25G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ MAC+PCS+PMA ਕੋਰ ਵੇਰੀਐਂਟ ਦੇ ਡਿਜ਼ਾਈਨ ਦੇ ਹਿੱਸੇ ਅਤੇ ਸਿਖਰ-ਪੱਧਰ ਦੇ ਸਿਗਨਲ ਦਿਖਾਉਂਦੇ ਹਨ।ample.
ਚਿੱਤਰ 5. ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ—F-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample (MAC+PCS+PMA ਕੋਰ ਵੇਰੀਐਂਟ)

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 7

2.3.1 ਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟਸ
ਸਾਰਣੀ 4. ਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟਸ

ਕੰਪੋਨੈਂਟ ਵਰਣਨ
F-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਹੇਠ ਦਿੱਤੀ ਸੰਰਚਨਾ ਦੇ ਨਾਲ, MAC, PCS, ਅਤੇ ਟ੍ਰਾਂਸਸੀਵਰ PHY ਸ਼ਾਮਲ ਹਨ:
ਕੋਰ ਵੇਰੀਐਂਟ: MAC+PCS+PMA
ਪ੍ਰਵਾਹ ਨਿਯੰਤਰਣ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਵਿਕਲਪਿਕ
ਲਿੰਕ ਫਾਲਟ ਜਨਰੇਸ਼ਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਵਿਕਲਪਿਕ
ਪ੍ਰਸਤਾਵਨਾ ਪਾਸਥਰੂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਵਿਕਲਪਿਕ
ਅੰਕੜੇ ਸੰਗ੍ਰਹਿ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਵਿਕਲਪਿਕ
MAC ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਵਿਕਲਪਿਕ
ਹਵਾਲਾ ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ: 156.25
ਡਿਜ਼ਾਈਨ ਲਈ ਸਾਬਕਾampRS-FEC ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ, ਹੇਠਾਂ ਦਿੱਤੇ ਵਾਧੂ ਪੈਰਾਮੀਟਰ ਨੂੰ ਕੌਂਫਿਗਰ ਕੀਤਾ ਗਿਆ ਹੈ:
RS-FEC ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: ਵਿਕਲਪਿਕ
F-ਟਾਈਲ ਸੰਦਰਭ ਅਤੇ ਸਿਸਟਮ PLL ਘੜੀਆਂ Intel FPGA IP F-ਟਾਈਲ ਸੰਦਰਭ ਅਤੇ ਸਿਸਟਮ PLL ਘੜੀਆਂ Intel FPGA IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਸੈਟਿੰਗਾਂ F-tile 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਦੀਆਂ ਲੋੜਾਂ ਨਾਲ ਇਕਸਾਰ ਹੁੰਦੀਆਂ ਹਨ। ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਵਰਤਦੇ ਹੋਏ ਜਨਰੇਟ ਐਕਸampਲੇ ਡਿਜ਼ਾਇਨ IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ ਬਟਨ, IP ਆਪਣੇ ਆਪ ਤੁਰੰਤ ਹੋ ਜਾਂਦਾ ਹੈ। ਜੇਕਰ ਤੁਸੀਂ ਆਪਣਾ ਖੁਦ ਦਾ ਡਿਜ਼ਾਈਨ ਬਣਾਉਂਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾampਇਸ ਲਈ, ਤੁਹਾਨੂੰ ਇਸ IP ਨੂੰ ਦਸਤੀ ਤੌਰ 'ਤੇ ਸਥਾਪਿਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ ਅਤੇ ਸਾਰੀਆਂ I/O ਪੋਰਟਾਂ ਨੂੰ ਕਨੈਕਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਇਸ IP ਬਾਰੇ ਜਾਣਕਾਰੀ ਲਈ, ਵੇਖੋ F-ਟਾਈਲ ਆਰਕੀਟੈਕਚਰ ਅਤੇ PMA ਅਤੇ FEC ਡਾਇਰੈਕਟ PHY IP ਉਪਭੋਗਤਾ ਗਾਈਡ.
ਕਲਾਇੰਟ ਤਰਕ ਇਸ ਵਿੱਚ ਸ਼ਾਮਲ ਹਨ:
• ਟਰੈਫਿਕ ਜਨਰੇਟਰ, ਜੋ ਟਰਾਂਸਮਿਸ਼ਨ ਲਈ 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਕੋਰ ਲਈ ਬਰਸਟ ਪੈਕੇਟ ਤਿਆਰ ਕਰਦਾ ਹੈ।
• ਟ੍ਰੈਫਿਕ ਮਾਨੀਟਰ, ਜੋ ਬਰਸਟ ਪੈਕੇਟਾਂ ਦੀ ਨਿਗਰਾਨੀ ਕਰਦਾ ਹੈ ਜੋ 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਕੋਰ ਤੋਂ ਆ ਰਹੇ ਹਨ।
ਸਰੋਤ ਅਤੇ ਪੜਤਾਲ ਸਰੋਤ ਅਤੇ ਪੜਤਾਲ ਸਿਗਨਲ, ਸਿਸਟਮ ਰੀਸੈਟ ਇੰਪੁੱਟ ਸਿਗਨਲ ਸਮੇਤ, ਜੋ ਤੁਸੀਂ ਡੀਬੱਗਿੰਗ ਲਈ ਵਰਤ ਸਕਦੇ ਹੋ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
F-ਟਾਈਲ ਆਰਕੀਟੈਕਚਰ ਅਤੇ PMA ਅਤੇ FEC ਡਾਇਰੈਕਟ PHY IP ਉਪਭੋਗਤਾ ਗਾਈਡ

ਸਿਮੂਲੇਸ਼ਨ

ਟੈਸਟਬੈਂਚ IP ਕੋਰ ਦੁਆਰਾ ਟਰੈਫਿਕ ਭੇਜਦਾ ਹੈ, ਟ੍ਰਾਂਸਮਿਟ ਸਾਈਡ ਦਾ ਅਭਿਆਸ ਕਰਦਾ ਹੈ ਅਤੇ IP ਕੋਰ ਦੇ ਸਾਈਡ ਨੂੰ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ।
2.4.1 ਟੈਸਟਬੈਂਚ
ਚਿੱਤਰ 6. F-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ FPGA IP ਡਿਜ਼ਾਈਨ ਐਕਸ ਦਾ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮample ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 8

ਟੇਬਲ 5. ਟੈਸਟਬੈਂਚ ਕੰਪੋਨੈਂਟਸ

ਕੰਪੋਨੈਂਟ ਵਰਣਨ
ਟੈਸਟ ਅਧੀਨ ਡਿਵਾਈਸ (DUT) 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਕੋਰ।
ਈਥਰਨੈੱਟ ਪੈਕੇਟ ਜੇਨਰੇਟਰ ਅਤੇ ਪੈਕੇਟ ਮਾਨੀਟਰ • ਪੈਕੇਟ ਜਨਰੇਟਰ ਫਰੇਮ ਤਿਆਰ ਕਰਦਾ ਹੈ ਅਤੇ DUT ਨੂੰ ਸੰਚਾਰਿਤ ਕਰਦਾ ਹੈ।
• ਪੈਕੇਟ ਮਾਨੀਟਰ TX ਅਤੇ RX ਡਾਟਾਪਾਥਾਂ ਦੀ ਨਿਗਰਾਨੀ ਕਰਦਾ ਹੈ ਅਤੇ ਸਿਮੂਲੇਟਰ ਕੰਸੋਲ ਵਿੱਚ ਫਰੇਮਾਂ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
F-ਟਾਈਲ ਸੰਦਰਭ ਅਤੇ ਸਿਸਟਮ PLL ਘੜੀਆਂ Intel FPGA IP ਟ੍ਰਾਂਸਸੀਵਰ ਅਤੇ ਸਿਸਟਮ PLL ਸੰਦਰਭ ਘੜੀਆਂ ਤਿਆਰ ਕਰਦਾ ਹੈ।

2.4.2. ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਭਾਗ
ਸਾਰਣੀ 6. F-ਟਾਈਲ 25G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚ File ਵਰਣਨ

File ਨਾਮ ਵਰਣਨ
ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ Files
ਬੁਨਿਆਦੀ_avl_tb_top.v ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file. ਟੈਸਟਬੈਂਚ DUT ਨੂੰ ਚਾਲੂ ਕਰਦਾ ਹੈ, ਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟਸ ਅਤੇ ਕਲਾਇੰਟ ਲੌਜਿਕ 'ਤੇ Avalon® ਮੈਮੋਰੀ-ਮੈਪਡ ਕੌਂਫਿਗਰੇਸ਼ਨ ਕਰਦਾ ਹੈ, ਅਤੇ 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਨੂੰ ਜਾਂ ਇਸ ਤੋਂ ਪੈਕੇਟ ਭੇਜਦਾ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ।
ਟੈਸਟਬੈਂਚ ਸਕ੍ਰਿਪਟਾਂ
ਜਾਰੀ…
File ਨਾਮ ਵਰਣਨ
run_vsim.do ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ ਮਾਡਲਸਿਮ ਸਕ੍ਰਿਪਟ।
run_vcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS ਸਕ੍ਰਿਪਟ।
run_xcelium.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Cadence Xcelium ਸਕ੍ਰਿਪਟ।

2.4.3 ਟੈਸਟ ਕੇਸ
ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਕੇਸ ਹੇਠ ਲਿਖੀਆਂ ਕਾਰਵਾਈਆਂ ਕਰਦਾ ਹੈ:

  1. F-tile 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਅਤੇ F-ਟਾਈਲ ਸੰਦਰਭ ਅਤੇ ਸਿਸਟਮ PLL ਘੜੀਆਂ Intel FPGA IP ਨੂੰ ਸਥਾਪਿਤ ਕਰਦਾ ਹੈ।
  2. RX ਘੜੀ ਅਤੇ PHY ਸਥਿਤੀ ਸਿਗਨਲ ਦੇ ਨਿਪਟਾਰੇ ਲਈ ਉਡੀਕ ਕਰਦਾ ਹੈ।
  3. PHY ਸਥਿਤੀ ਪ੍ਰਿੰਟ ਕਰਦਾ ਹੈ।
  4. 10 ਵੈਧ ਡੇਟਾ ਭੇਜਦਾ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ।
  5. ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਦਾ ਹੈ। ਸਫਲ ਟੈਸਟਬੈਂਚ "ਟੈਸਟਬੈਂਚ ਪੂਰਾ" ਦਿਖਾਉਂਦਾ ਹੈ।

ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ:

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 9

ਸੰਕਲਨ

ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਈਲਿੰਗ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਦੀ ਪ੍ਰਕਿਰਿਆ ਦੀ ਪਾਲਣਾ ਕਰੋ Exampਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਲਈ ਹਾਰਡਵੇਅਰ ਵਿੱਚ leampਚੁਣੇ ਗਏ ਹਾਰਡਵੇਅਰ ਵਿੱਚ le.
ਤੁਸੀਂ ਸੰਕਲਨ-ਸਿਰਫ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸਰੋਤ ਉਪਯੋਗਤਾ ਅਤੇ Fmax ਦਾ ਅੰਦਾਜ਼ਾ ਲਗਾ ਸਕਦੇ ਹੋample. ਤੁਸੀਂ 'ਤੇ ਸਟਾਰਟ ਕੰਪਾਈਲੇਸ਼ਨ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰ ਸਕਦੇ ਹੋ
Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ। ਇੱਕ ਸਫਲ ਸੰਕਲਨ ਸੰਕਲਨ ਰਿਪੋਰਟ ਸਾਰਾਂਸ਼ ਤਿਆਰ ਕਰਦਾ ਹੈ।
ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ, Intel Quartus Prime Pro Edition ਯੂਜ਼ਰ ਗਾਈਡ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਕੰਪਾਈਲੇਸ਼ਨ ਵੇਖੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਪੰਨਾ 7 'ਤੇ ਹਾਰਡਵੇਅਰ ਵਿੱਚ le
  • Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਕੰਪਾਈਲੇਸ਼ਨ

2.6 ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਵਿਚ ਸਾਬਕਾampਲੇ, ਤੁਸੀਂ ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਮੋਡ ਵਿੱਚ IP ਕੋਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰ ਸਕਦੇ ਹੋ ਅਤੇ ਟ੍ਰਾਂਸਮਿਟ ਸਾਈਡ 'ਤੇ ਟ੍ਰੈਫਿਕ ਪੈਦਾ ਕਰ ਸਕਦੇ ਹੋ ਜੋ ਰਿਸੀਵ ਸਾਈਡ ਰਾਹੀਂ ਵਾਪਸ ਆ ਜਾਂਦਾ ਹੈ।
ਡਿਜ਼ਾਈਨ ਐਕਸ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਪ੍ਰਦਾਨ ਕੀਤੀ ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਲਿੰਕ 'ਤੇ ਪ੍ਰਕਿਰਿਆ ਦੀ ਪਾਲਣਾ ਕਰੋampਚੁਣੇ ਗਏ ਹਾਰਡਵੇਅਰ ਵਿੱਚ le.
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
F-tile 25G ਈਥਰਨੈੱਟ Intel FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰ ਰਿਹਾ ਹੈampਪੰਨਾ 8 ਤੇ
2.6.1. ਟੈਸਟ ਦੀ ਪ੍ਰਕਿਰਿਆ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋampਹਾਰਡਵੇਅਰ ਵਿੱਚ le:

  1. ਇਸ ਤੋਂ ਪਹਿਲਾਂ ਕਿ ਤੁਸੀਂ ਇਸ ਡਿਜ਼ਾਈਨ ਲਈ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਚਲਾਓampਇਸ ਲਈ, ਤੁਹਾਨੂੰ ਸਿਸਟਮ ਨੂੰ ਰੀਸੈਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ:
    a ਡਿਫਾਲਟ ਸਰੋਤ ਅਤੇ ਪੜਤਾਲ GUI ਲਈ ਟੂਲਸ ➤ ਇਨ-ਸਿਸਟਮ ਸਰੋਤ ਅਤੇ ਪੜਤਾਲ ਸੰਪਾਦਕ ਟੂਲ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
    ਬੀ. ਰੀਸੈੱਟ ਲਾਗੂ ਕਰਨ ਲਈ ਸਿਸਟਮ ਰੀਸੈਟ ਸਿਗਨਲ (ਸਰੋਤ[3:0]) ਨੂੰ 7 ਤੋਂ 8 ਤੱਕ ਟੌਗਲ ਕਰੋ ਅਤੇ ਸਿਸਟਮ ਨੂੰ ਰੀਸੈਟ ਸਥਿਤੀ ਤੋਂ ਛੱਡਣ ਲਈ ਸਿਸਟਮ ਰੀਸੈਟ ਸਿਗਨਲ ਨੂੰ 7 'ਤੇ ਵਾਪਸ ਕਰੋ।
    c. ਪੜਤਾਲ ਸਿਗਨਲਾਂ ਦੀ ਨਿਗਰਾਨੀ ਕਰੋ ਅਤੇ ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਸਥਿਤੀ ਵੈਧ ਹੈ।
  2. ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ, hwtest ਫੋਲਡਰ ਤੇ ਨੈਵੀਗੇਟ ਕਰੋ ਅਤੇ ਕਮਾਂਡ ਚਲਾਓ: source main.tcl ਇੱਕ J ਚੁਣਨ ਲਈTAG ਮਾਸਟਰ ਮੂਲ ਰੂਪ ਵਿੱਚ, ਪਹਿਲੇ ਜੇTAG ਜੇ 'ਤੇ ਮਾਸਟਰTAG ਚੇਨ ਚੁਣੀ ਗਈ ਹੈ। ਦੀ ਚੋਣ ਕਰਨ ਲਈ ਜੇTAG Intel Agilex ਡਿਵਾਈਸਾਂ ਲਈ ਮਾਸਟਰ, ਇਹ ਕਮਾਂਡ ਚਲਾਓ: set_jtag <number of appropriate JTAG ਮਾਸਟਰ>. ਸਾਬਕਾample: set_jtag 1.
  3. ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਟੈਸਟ ਸ਼ੁਰੂ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਕਮਾਂਡਾਂ ਚਲਾਓ:

ਸਾਰਣੀ 7. ਕਮਾਂਡ ਪੈਰਾਮੀਟਰ

ਪੈਰਾਮੀਟਰ ਵਰਣਨ Example ਵਰਤੋਂ
chkphy_status ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਅਤੇ PHY ਲਾਕ ਸਥਿਤੀ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। % chkphy_status 0 # ਲਿੰਕ 0 ਦੀ ਸਥਿਤੀ ਦੀ ਜਾਂਚ ਕਰੋ
chkmac_stats MAC ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਵਿੱਚ ਮੁੱਲ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। % chkmac_stats 0 # ਲਿੰਕ 0 ਦੇ ਮੈਕ ਸਟੈਟਿਸਟਿਕਸ ਕਾਊਂਟਰ ਦੀ ਜਾਂਚ ਕਰਦਾ ਹੈ
ਸਾਫ਼_ਸਾਰੇ_ਅੰਕੜੇ IP ਕੋਰ ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਨੂੰ ਸਾਫ਼ ਕਰਦਾ ਹੈ। % clear_all_stats 0 # ਲਿੰਕ 0 ਦੇ ਅੰਕੜੇ ਕਾਊਂਟਰ ਸਾਫ਼ ਕਰਦਾ ਹੈ
start_gen ਪੈਕੇਟ ਜਨਰੇਟਰ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈ। % start_gen 0 # ਲਿੰਕ 0 'ਤੇ ਪੈਕੇਟ ਬਣਾਉਣਾ ਸ਼ੁਰੂ ਕਰੋ
stop_gen ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਰੋਕਦਾ ਹੈ। % stop_gen 0 # ਲਿੰਕ 0 'ਤੇ ਪੈਕੇਟ ਜਨਰੇਸ਼ਨ ਰੋਕੋ
ਲੂਪ_ਆਨ ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਚਾਲੂ ਕਰਦਾ ਹੈ। % ਲੂਪ_ਆਨ 0 # ਲਿੰਕ 0 'ਤੇ ਅੰਦਰੂਨੀ ਲੂਪਬੈਕ ਚਾਲੂ ਕਰੋ
loop_off ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਬੰਦ ਕਰਦਾ ਹੈ। % ਲੂਪ_ਆਫ 0 # ਲਿੰਕ 0 'ਤੇ ਅੰਦਰੂਨੀ ਲੂਪਬੈਕ ਨੂੰ ਬੰਦ ਕਰੋ
reg_read 'ਤੇ IP ਕੋਰ ਰਜਿਸਟਰ ਮੁੱਲ ਵਾਪਸ ਕਰਦਾ ਹੈ . % reg_read 0x402 # ਲਿੰਕ 402 ਦੇ ਪਤੇ 0 'ਤੇ IP CSR ਰਜਿਸਟਰ ਪੜ੍ਹੋ
reg_write ਲਿਖਦਾ ਹੈ ਪਤੇ 'ਤੇ ਆਈਪੀ ਕੋਰ ਰਜਿਸਟਰ ਲਈ . % reg_write 0x401 0x1 # ਲਿੰਕ 0 ਦੇ ਪਤੇ 1 'ਤੇ IP CSR ਸਕ੍ਰੈਚ ਰਜਿਸਟਰ ਨੂੰ 401x0 ਲਿਖੋ

a ਲੂਪ_ਆਨ ਟਾਈਪ ਕਰੋ ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਮੋਡ ਨੂੰ ਚਾਲੂ ਕਰਨ ਲਈ।
ਬੀ. chkphy_status ਟਾਈਪ ਕਰੋ PHY ਦੀ ਸਥਿਤੀ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ। ਇੱਕ ਸਥਿਰ ਲਿੰਕ ਲਈ TXCLK, RXCLK, ਅਤੇ RX ਸਥਿਤੀ ਦੇ ਹੇਠਾਂ ਦਰਸਾਏ ਗਏ ਮੁੱਲ ਹੋਣੇ ਚਾਹੀਦੇ ਹਨ:

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 10

c. ਸਾਫ਼_ਸਾਰੇ_ਅੰਕੜੇ ਟਾਈਪ ਕਰੋ TX ਅਤੇ RX ਅੰਕੜਿਆਂ ਦੇ ਰਜਿਸਟਰਾਂ ਨੂੰ ਸਾਫ਼ ਕਰਨ ਲਈ।
d. start_gen ਟਾਈਪ ਕਰੋ ਪੈਕੇਟ ਉਤਪਾਦਨ ਸ਼ੁਰੂ ਕਰਨ ਲਈ.
ਈ. ਸਟਾਪ_ਜਨ ਟਾਈਪ ਕਰੋ ਪੈਕੇਟ ਉਤਪਾਦਨ ਨੂੰ ਰੋਕਣ ਲਈ.
f. chkmac_stats ਟਾਈਪ ਕਰੋ TX ਅਤੇ RX ਅੰਕੜੇ ਕਾਊਂਟਰਾਂ ਨੂੰ ਪੜ੍ਹਨ ਲਈ। ਯਕੀਨੀ ਬਣਾਓ ਕਿ:
i. ਪ੍ਰਸਾਰਿਤ ਪੈਕੇਟ ਫਰੇਮ ਪ੍ਰਾਪਤ ਕੀਤੇ ਪੈਕੇਟ ਫਰੇਮਾਂ ਨਾਲ ਮੇਲ ਖਾਂਦੇ ਹਨ।
ii. ਕੋਈ ਗਲਤੀ ਫਰੇਮ ਪ੍ਰਾਪਤ ਨਹੀ ਹਨ.
g ਲੂਪ_ਆਫ ਟਾਈਪ ਕਰੋ ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਬੰਦ ਕਰਨ ਲਈ.
ਚਿੱਤਰ 7. Sample ਟੈਸਟ ਆਉਟਪੁੱਟ—TX ਅਤੇ RX ਸਟੈਟਿਸਟਿਕਸ ਕਾਊਂਟਰ

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 11 intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - 12

F-tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ Intel Quartus Prime ਸੰਸਕਰਣ IP ਸੰਸਕਰਣ ਤਬਦੀਲੀਆਂ
2022.10.14 22.3 1.0.0 ਸ਼ੁਰੂਆਤੀ ਰੀਲੀਜ਼।

ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ISO
9001:2015
ਰਜਿਸਟਰਡ

intel ਲੋਗੋintel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - icon1 ਆਨਲਾਈਨ ਵਰਜਨ
intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample - ਪ੍ਰਤੀਕ ਫੀਡਬੈਕ ਭੇਜੋ
ID: 750200
ਸੰਸਕਰਣ: 2022.10.14

ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ

intel F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample [pdf] ਯੂਜ਼ਰ ਗਾਈਡ
F-Tile 25G ਈਥਰਨੈੱਟ FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, F-Tile 25G, F-Tile 25G ਈਥਰਨੈੱਟ FPGA, FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ, 750200

ਹਵਾਲੇ

ਇੱਕ ਟਿੱਪਣੀ ਛੱਡੋ

ਤੁਹਾਡਾ ਈਮੇਲ ਪਤਾ ਪ੍ਰਕਾਸ਼ਿਤ ਨਹੀਂ ਕੀਤਾ ਜਾਵੇਗਾ। ਲੋੜੀਂਦੇ ਖੇਤਰਾਂ ਨੂੰ ਚਿੰਨ੍ਹਿਤ ਕੀਤਾ ਗਿਆ ਹੈ *