ലിബെറോ SoC സിമുലേഷൻ
ലൈബ്രറി സജ്ജീകരണ നിർദ്ദേശങ്ങൾ
ആമുഖം
ഒരു ലിബെറോ SoC പ്രോജക്റ്റ് ഇൻപുട്ടായി ഉപയോഗിച്ച് സിമുലേഷൻ എൻവയോൺമെന്റ് സജ്ജീകരിക്കുന്നതിനുള്ള നടപടിക്രമം വിവരിക്കുക എന്നതാണ് ഈ പ്രമാണത്തിന്റെ ഉദ്ദേശ്യം. ഈ ഡോക്യുമെന്റേഷൻ Libero SoC v11.9, പുതിയ സോഫ്റ്റ്വെയർ റിലീസുകൾ എന്നിവയ്ക്കൊപ്പമുള്ള ഉപയോഗത്തിനായി നൽകിയിരിക്കുന്ന പ്രീ-കംപൈൽ ചെയ്ത ലൈബ്രറികളുമായി പൊരുത്തപ്പെടുന്നു. നൽകിയിരിക്കുന്ന ലൈബ്രറികൾ വെരിലോഗിന് വേണ്ടി സമാഹരിച്ചതാണ്. VHDL ഉപയോക്താക്കൾക്ക് മിക്സഡ് മോഡ് സിമുലേഷൻ അനുവദിക്കുന്ന ഒരു ലൈസൻസ് ആവശ്യമാണ്.
സമാഹരിച്ച സിമുലേഷൻ ലൈബ്രറികൾ ഇനിപ്പറയുന്ന ഉപകരണങ്ങൾക്കായി ലഭ്യമാണ്:
- ആൽഡെക് ആക്ടീവ്-എച്ച്ഡിഎൽ
- Aldec Riviera-PRO
- Cadence Incisive Enterprise, Xcelium
- സീമെൻസ് ക്വെസ്റ്റാസിം
- സംഗ്രഹം VCS
മറ്റൊരു സിമുലേറ്ററിനായി ഒരു ലൈബ്രറി അഭ്യർത്ഥിക്കാൻ, ബന്ധപ്പെടുക മൈക്രോചിപ്പ് സാങ്കേതിക പിന്തുണ.
ലിബെറോ SoC ഇന്റഗ്രേഷൻ
Run.do സൃഷ്ടിച്ചുകൊണ്ട് ModelSim ME ഉപയോഗിച്ച് Libero SoC സിമുലേഷനെ പിന്തുണയ്ക്കുന്നു file. ഇത് file സിമുലേഷൻ സജ്ജീകരിക്കുന്നതിനും പ്രവർത്തിപ്പിക്കുന്നതിനും ModelSim ME/ModelSim Pro ME ഉപയോഗിക്കുന്നു. മറ്റ് സിമുലേഷൻ ടൂളുകൾ ഉപയോഗിക്കുന്നതിന്, നിങ്ങൾക്ക് ModelSim ME/ModelSim Pro ME run.do സൃഷ്ടിക്കാനും Tcl സ്ക്രിപ്റ്റ് പരിഷ്ക്കരിക്കാനും കഴിയും. file നിങ്ങളുടെ സിമുലേറ്ററുമായി പൊരുത്തപ്പെടുന്ന കമാൻഡുകൾ ഉപയോഗിക്കുന്നതിന്.
1.1 ലിബെറോ SoC Tcl File തലമുറ (ഒരു ചോദ്യം ചോദിക്കുക)
Libero SoC-ൽ ഡിസൈൻ സൃഷ്ടിച്ച് സൃഷ്ടിച്ച ശേഷം, എല്ലാ ഡിസൈൻ ഘട്ടങ്ങളിലും (പ്രെസിന്ത്, പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട്) ഒരു മോഡൽസിം എംഇ/മോഡൽസിം പ്രോ എംഇ സിമുലേഷൻ ആരംഭിക്കുക. ഈ ഘട്ടം run.do സൃഷ്ടിക്കുന്നു file ഓരോ ഡിസൈൻ ഘട്ടത്തിനും മോഡൽസിം എംഇ/മോഡൽസിം പ്രോ എംഇ.
പ്രധാനപ്പെട്ടത്: ഓരോ സിമുലേഷൻ റണ്ണും ആരംഭിച്ചതിന് ശേഷം, auto-generated run.do എന്നതിന്റെ പേര് മാറ്റുക file ലിബെറോ SoC അത് തിരുത്തിയെഴുതുന്നതിൽ നിന്ന് തടയുന്നതിന് സിമുലേഷൻ ഡയറക്ടറിക്ക് കീഴിൽ file. ഉദാampലെ, ദി files-നെ presynth_run.do, postsynth_run.do, postlayout_run.do എന്നിങ്ങനെ പുനർനാമകരണം ചെയ്യാം.
Active-HDL, Riviera-Pro എന്നിവയ്ക്കായുള്ള Aldec സജ്ജീകരണം (ഒരു ചോദ്യം ചോദിക്കുക)
The run.do file ModelSim ME/ModelSim Pro ME ഉപയോഗിക്കുന്നത് Aldec സിമുലേറ്ററുകൾ ഉപയോഗിച്ച് പരിഷ്ക്കരിച്ച് സിമുലേഷനായി ഉപയോഗിക്കാം.
2.1 പരിസ്ഥിതി വേരിയബിൾ (ഒരു ചോദ്യം ചോദിക്കുക)
നിങ്ങളുടെ പരിസ്ഥിതി വേരിയബിൾ നിങ്ങളുടെ ലൈസൻസിലേക്ക് സജ്ജമാക്കുക file സ്ഥാനം:
എൽഎം_ലൈസെൻസ്_FILE: ലൈസൻസ് സെർവറിലേക്ക് ഒരു പോയിന്റർ ഉൾപ്പെടുത്തണം.
2.2 സമാഹരിച്ച ലൈബ്രറി ഡൗൺലോഡ് ചെയ്യുക (ഒരു ചോദ്യം ചോദിക്കുക)
Aldec Active-HDL, Aldec Riviera-PRO എന്നിവയ്ക്കായുള്ള ലൈബ്രറികൾ മൈക്രോചിപ്പിൽ നിന്ന് ഡൗൺലോഡ് ചെയ്യുക webസൈറ്റ്.
2.3 Aldec സിമുലേഷനായി run.do പരിവർത്തനം ചെയ്യുന്നു (ഒരു ചോദ്യം ചോദിക്കുക)
The run.do fileActive-HDL, Riviera-Pro ടൂൾ എന്നിവ ഉപയോഗിച്ചുള്ള സിമുലേഷനുകൾക്കായി Libero SoC സൃഷ്ടിച്ച s ഒരൊറ്റ മാറ്റത്തിലൂടെ Active-HDL, Riviera-Pro എന്നിവ ഉപയോഗിച്ചുള്ള സിമുലേഷനുകൾക്കായി ഉപയോഗിക്കാം. ModelSim run.do-ൽ പരിഷ്ക്കരിക്കുന്നതിനുള്ള Aldec-equivalent കമാൻഡുകൾ ഇനിപ്പറയുന്ന പട്ടിക പട്ടികപ്പെടുത്തുന്നു. file.
പട്ടിക 2-1. Aldec തുല്യമായ കമാൻഡുകൾ
മോഡൽസിം | ആക്ടീവ്-എച്ച്ഡിഎൽ |
വ്ലോഗ് | ലോഗ് |
വികോം | എ.സി.എം. |
vlib | അലിബ് |
വിസിം | അസിം |
വിമാപ്പ് | അമാപ്പ് |
ഇനിപ്പറയുന്നത് ഇപ്രകാരമാണ്ampAldec സിമുലേറ്ററുകളുമായി ബന്ധപ്പെട്ട le run.do.
- നിലവിലെ പ്രവർത്തിക്കുന്ന ഡയറക്ടറിയുടെ സ്ഥാനം സജ്ജമാക്കുക.
dsn സജ്ജമാക്കുക - പ്രവർത്തിക്കുന്ന ഒരു ലൈബ്രറിയുടെ പേര് സജ്ജീകരിക്കുക, അതിന്റെ സ്ഥാനം മാപ്പ് ചെയ്യുക, തുടർന്ന് മൈക്രോചിപ്പ് FPGA കുടുംബത്തിന്റെ സ്ഥാനം മാപ്പ് ചെയ്യുക
മുൻകൂട്ടി തയ്യാറാക്കിയ ലൈബ്രറികൾ (ഉദാample, SmartFusion2) നിങ്ങളുടെ ഡിസൈൻ പ്രവർത്തിപ്പിക്കുന്നത്.
അലിബ് പ്രെസിന്ത്
അമപ് പ്രെസിന്ത് പ്രെസിന്ത്
amap SmartFusion2 - ആവശ്യമായ എല്ലാ HDL ഉം കംപൈൽ ചെയ്യുക fileആവശ്യമായ ലൈബ്രറിയോടുകൂടിയ രൂപകൽപ്പനയിൽ s ഉപയോഗിക്കുന്നു.
log-work presynth temp.v (Verilog-ന്)
ലോഗ്-വർക്ക് പ്രെസിന്ത് testbench.v
acom -work presynth temp.vhd (Vhdl-ന്)
acom -work presynth testbench.vhd - ഡിസൈൻ അനുകരിക്കുക.
asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
10 യുഎസ് ഓടിക്കുക
2.4 അറിയപ്പെടുന്ന പ്രശ്നങ്ങൾ (ഒരു ചോദ്യം ചോദിക്കുക)
അറിയപ്പെടുന്ന പ്രശ്നങ്ങളും പരിമിതികളും ഈ വിഭാഗം പട്ടികപ്പെടുത്തുന്നു.
- Riviera-PRO ഉപയോഗിച്ച് സമാഹരിച്ച ലൈബ്രറികൾ പ്ലാറ്റ്ഫോം നിർദ്ദിഷ്ടമാണ് (അതായത് 64-ബിറ്റ് ലൈബ്രറികൾ 32-ബിറ്റ് പ്ലാറ്റ്ഫോമിലും തിരിച്ചും പ്രവർത്തിപ്പിക്കാൻ കഴിയില്ല).
- SERDES/MDDR/FDDR അടങ്ങിയ ഡിസൈനുകൾക്കായി, നിങ്ങളുടെ run.do-യിൽ ഇനിപ്പറയുന്ന ഓപ്ഷൻ ഉപയോഗിക്കുക fileഅവയുടെ ഡിസൈനുകൾ സമാഹരിച്ച ശേഷം സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുമ്പോൾ:
- സജീവ-എച്ച്ഡിഎൽ: asim -o2
– Riviera-PRO: asim –O2 (പ്രിസിന്ത്, പോസ്റ്റ് ലേഔട്ട് സിമുലേഷനുകൾ) കൂടാതെ asim –O5 (പോസ്റ്റ് ലേഔട്ട് സിമുലേഷനുകൾക്ക്)
Active-HDL, Riviera-Pro എന്നിവയ്ക്കായുള്ള Aldec സജ്ജീകരണത്തിന് ഇനിപ്പറയുന്ന ശേഷിക്കുന്ന SAR-കൾ ഉണ്ട്. കൂടുതൽ വിവരങ്ങൾക്ക്, ബന്ധപ്പെടുക മൈക്രോചിപ്പ് സാങ്കേതിക പിന്തുണ. - SAR 49908 – Active-HDL: മാത്ത് ബ്ലോക്ക് സിമുലേഷനുകൾക്ക് VHDL പിശക്
- SAR 50627 – Riviera-PRO 2013.02: SERDES ഡിസൈനുകൾക്കുള്ള സിമുലേഷൻ പിശകുകൾ
- SAR 50461 – Riviera-PRO: സിമുലേഷനുകളിൽ asim -O2/-O5 ഓപ്ഷൻ
കേഡൻസ് ഇൻസൈസീവ് സെറ്റപ്പ് (ഒരു ചോദ്യം ചോദിക്കുക)
നിങ്ങൾ ഒരു സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കേണ്ടതുണ്ട് file പ്രവർത്തിപ്പിക്കുന്നതിന് ModelSim ME/ModelSim Pro ME run.do ന് സമാനമാണ്
Cadence Incisive സിമുലേറ്റർ. ഈ ഘട്ടങ്ങൾ പിന്തുടർന്ന് സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കുക file NCSim-നായി അല്ലെങ്കിൽ സ്ക്രിപ്റ്റ് ഉപയോഗിക്കുക file
ModelSim ME/ModelSim Pro ME run.do പരിവർത്തനം ചെയ്യാൻ നൽകിയിരിക്കുന്നു fileകൾ കോൺഫിഗറേഷനിലേക്ക് files
NCSim ഉപയോഗിച്ച് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കേണ്ടതുണ്ട്.
പ്രധാനപ്പെട്ടത്: ഇൻസൈസീവ് എന്റർപ്രൈസിന്റെ പുതിയ പതിപ്പുകൾ പുറത്തിറക്കുന്നത് നിർത്തി
സിമുലേറ്റർ, Xcelium സിമുലേറ്ററിനെ പിന്തുണയ്ക്കാൻ തുടങ്ങി.
3.1 പരിസ്ഥിതി വേരിയബിളുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
Cadence Incisive സിമുലേറ്റർ പ്രവർത്തിപ്പിക്കുന്നതിന്, ഇനിപ്പറയുന്ന എൻവയോൺമെന്റ് വേരിയബിളുകൾ കോൺഫിഗർ ചെയ്യുക:
- എൽഎം_ലൈസെൻസ്_FILE: ലൈസൻസിലേക്ക് ഒരു പോയിന്റർ ഉൾപ്പെടുത്തണം file.
- cds_root: Cadence Incisive ഇൻസ്റ്റലേഷന്റെ ഹോം ഡയറക്ടറി ലൊക്കേഷനിലേക്ക് പോയിന്റ് ചെയ്യണം.
- പാത്ത്: cds_root ചൂണ്ടിക്കാണിച്ച ടൂളുകളുടെ ഡയറക്ടറിക്ക് കീഴിലുള്ള ബിൻ ലൊക്കേഷനിലേക്ക് പോയിന്റ് ചെയ്യണം, അതായത്,
$cds_root/tools/bin/64bit (64-ബിറ്റ് മെഷീനും $cds_root/tools/bin 32-ബിറ്റ് മെഷീനും).
64-ബിറ്റ്, 32-ബിറ്റ് ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കിടയിൽ മാറുന്ന സാഹചര്യത്തിൽ സിമുലേഷൻ എൻവയോൺമെന്റ് സജ്ജീകരിക്കുന്നതിന് മൂന്ന് വഴികളുണ്ട്:
കേസ് 1: PATH വേരിയബിൾ
ഇനിപ്പറയുന്ന കമാൻഡ് പ്രവർത്തിപ്പിക്കുക:
64ബിറ്റ് മെഷീനുകൾക്കായി = (install_dir/tools/bin/64bit $path) പാത സജ്ജമാക്കുക
32ബിറ്റ് മെഷീനുകൾക്കുള്ള പാത = (install_dir/tools/bin $path) സജ്ജമാക്കുക
കേസ് 2: -64bit കമാൻഡ്-ലൈൻ ഓപ്ഷൻ ഉപയോഗിക്കുന്നു
കമാൻഡ്-ലൈനിൽ 64ബിറ്റ് എക്സിക്യൂട്ടബിൾ അഭ്യർത്ഥിക്കുന്നതിന് -64ബിറ്റ് ഓപ്ഷൻ വ്യക്തമാക്കുക.
കേസ് 3: INCA_64BIT അല്ലെങ്കിൽ CDS_AUTO_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ ക്രമീകരിക്കുന്നു
INCA_64BIT വേരിയബിൾ ബൂളിയൻ ആയി കണക്കാക്കുന്നു. നിങ്ങൾക്ക് ഈ വേരിയബിൾ ഏത് മൂല്യത്തിലേക്കോ നൾ സ്ട്രിംഗിലേക്കോ സജ്ജമാക്കാൻ കഴിയും.
setenv INCA_64BIT
പ്രധാനപ്പെട്ടത്: ഐസി ടൂളുകൾ പോലെയുള്ള മറ്റ് കേഡൻസ് ടൂളുകളെ INCA_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ ബാധിക്കില്ല. എന്നിരുന്നാലും, ഇൻസൈസീവ് ടൂളുകൾക്കായി, INCA_64BIT വേരിയബിൾ CDS_AUTO_64BIT എൻവയോൺമെന്റ് വേരിയബിളിന്റെ ക്രമീകരണം അസാധുവാക്കുന്നു. INCA_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ സജ്ജീകരിച്ചിട്ടുണ്ടെങ്കിൽ, എല്ലാ ഇൻസൈസീവ് ടൂളുകളും 64-ബിറ്റ് മോഡിൽ പ്രവർത്തിക്കുന്നു. setenv CDS_AUTO_64BIT ഉൾപ്പെടുന്നു:INCA
പ്രധാനപ്പെട്ടത്: INCA എന്ന സ്ട്രിംഗ് വലിയക്ഷരത്തിലായിരിക്കണം. എല്ലാ എക്സിക്യൂട്ടബിളുകളും 32-ബിറ്റ് മോഡിൽ അല്ലെങ്കിൽ 64-ബിറ്റ് മോഡിൽ പ്രവർത്തിപ്പിക്കേണ്ടതാണ്, ഇനിപ്പറയുന്നത് പോലെ ഒരു എക്സിക്യൂട്ടബിൾ ഉൾപ്പെടുത്താൻ വേരിയബിൾ സജ്ജമാക്കരുത്:
setenv CDS_AUTO_64BIT ഉൾപ്പെടുന്നു:ncelab
64-ബിറ്റ് അല്ലെങ്കിൽ 32-ബിറ്റ് എക്സിക്യൂട്ടബിളുകളുടെ തിരഞ്ഞെടുപ്പ് നിയന്ത്രിക്കുന്നതിന് IC ടൂളുകൾ പോലെയുള്ള മറ്റ് കേഡൻസ് ടൂളുകളും CDS_AUTO_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ ഉപയോഗിക്കുന്നു. എല്ലാ മോഡുകളിലും Incisive ടൂളുകളും IC ടൂളുകളും പ്രവർത്തിപ്പിക്കുന്നതിന് നിങ്ങൾക്ക് CDS_AUTO_64BIT വേരിയബിൾ എങ്ങനെ സജ്ജീകരിക്കാമെന്ന് ഇനിപ്പറയുന്ന പട്ടിക കാണിക്കുന്നു.
പട്ടിക 3-1. CDS_AUTO_64BIT വേരിയബിളുകൾ
CDS_AUTO_64BIT വേരിയബിൾ | ഇൻസൈസീവ് ടൂളുകൾ | ഐസി ടൂളുകൾ |
setenv CDS_AUTO_64BIT എല്ലാം | 64 ബിറ്റ് | 64 ബിറ്റ് |
setenv CDS_AUTO_64BIT ഒന്നുമില്ല | 32 ബിറ്റ് | 32 ബിറ്റ് |
setenv CDS_AUTO_64BIT ഒഴിവാക്കുക:ic_binary | 64 ബിറ്റ് | 32 ബിറ്റ് |
setenv CDS_AUTO_64BIT ഒഴിവാക്കുക:INCA | 32 ബിറ്റ് | 64 ബിറ്റ് |
പ്രധാനപ്പെട്ടത്: എല്ലാ ഇൻസൈസീവ് ടൂളുകളും ഒന്നുകിൽ 32-ബിറ്റ് മോഡിലോ 64-ബിറ്റ് മോഡിലോ പ്രവർത്തിപ്പിക്കണം, ഇനിപ്പറയുന്നവ പോലെ ഒരു നിർദ്ദിഷ്ട എക്സിക്യൂട്ടബിൾ ഒഴിവാക്കുന്നതിന് EXCLUDE ഉപയോഗിക്കരുത്: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Incisive tools (setenv CDS_AUTO_64BIT EXCLUDE:INCA) ഒഴിവാക്കാൻ നിങ്ങൾ CDS_AUTO_64BIT വേരിയബിൾ സജ്ജമാക്കുകയാണെങ്കിൽ, എല്ലാ ഇൻസൈസീവ് ടൂളുകളും 32-ബിറ്റ് മോഡിൽ പ്രവർത്തിക്കുന്നു. എന്നിരുന്നാലും, -64bit കമാൻഡ്-ലൈൻ ഓപ്ഷൻ എൻവയോൺമെന്റ് വേരിയബിളിനെ അസാധുവാക്കുന്നു.
ഇനിപ്പറയുന്ന കോൺഫിഗറേഷൻ fileനിങ്ങളുടെ ഡാറ്റ നിയന്ത്രിക്കാനും സിമുലേഷൻ ടൂളുകളുടെയും യൂട്ടിലിറ്റികളുടെയും പ്രവർത്തനം നിയന്ത്രിക്കുന്നതിനും നിങ്ങളെ സഹായിക്കുന്നു:
- ലൈബ്രറി മാപ്പിംഗ് file (cds.lib)-നിങ്ങളുടെ ഡിസൈനിന്റെ സ്ഥാനത്തിന് ഒരു ലോജിക്കൽ പേര് നിർവചിക്കുന്നു.
- ലൈബ്രറികളും അവയെ ഫിസിക്കൽ ഡയറക്ടറി നാമങ്ങളുമായി ബന്ധപ്പെടുത്തുകയും ചെയ്യുന്നു.
- വേരിയബിളുകൾ file (hdl.var)-സിമുലേഷൻ ടൂളുകളുടെയും യൂട്ടിലിറ്റികളുടെയും സ്വഭാവത്തെ ബാധിക്കുന്ന വേരിയബിളുകൾ നിർവചിക്കുന്നു.
3.2 സമാഹരിച്ച ലൈബ്രറി ഡൗൺലോഡ് ചെയ്യുക (ഒരു ചോദ്യം ചോദിക്കുക)
മൈക്രോസെമിയിൽ നിന്ന് കേഡൻസ് ഇൻസൈസിനുള്ള ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യുക webസൈറ്റ്.
3.3 NCSim സ്ക്രിപ്റ്റ് ഉണ്ടാക്കുന്നു File (ഒരു ചോദ്യം ചോദിക്കുക)
run.do യുടെ ഒരു പകർപ്പ് സൃഷ്ടിച്ച ശേഷം files, NCSim ഉപയോഗിച്ച് നിങ്ങളുടെ സിമുലേഷൻ പ്രവർത്തിപ്പിക്കാൻ ഈ ഘട്ടങ്ങൾ ചെയ്യുക:
- ഒരു cds.lib സൃഷ്ടിക്കുക file അത് ആക്സസ് ചെയ്യാവുന്ന ലൈബ്രറികളും അവയുടെ സ്ഥാനവും നിർവചിക്കുന്നു. ദി file ലൈബ്രറി ലോജിക്കൽ പേരുകൾ അവയുടെ ഫിസിക്കൽ ഡയറക്ടറി പാതകളിലേക്ക് മാപ്പ് ചെയ്യുന്ന പ്രസ്താവനകൾ അടങ്ങിയിരിക്കുന്നു. ഉദാample, നിങ്ങൾ പ്രിസിന്ത് സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുകയാണെങ്കിൽ, cds.lib file ഇനിപ്പറയുന്ന കോഡ് ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ എഴുതിയിരിക്കുന്നു.
പ്രിസിന്ത് ./പ്രെസിന്ത് നിർവചിക്കുക
COREAHBLITE_LIB ./COREAHBLITE_LIB നിർവചിക്കുക
സ്മാർട്ട്ഫ്യൂഷൻ2 നിർവചിക്കുക - ഒരു hdl.var സൃഷ്ടിക്കുക file, ഒരു ഓപ്ഷണൽ കോൺഫിഗറേഷൻ file അതിൽ കോൺഫിഗറേഷൻ വേരിയബിളുകൾ അടങ്ങിയിരിക്കുന്നു, അത് നിങ്ങളുടെ ഡിസൈൻ പരിതസ്ഥിതി എങ്ങനെ ക്രമീകരിച്ചിരിക്കുന്നു എന്ന് നിർണ്ണയിക്കുന്നു. ഇനിപ്പറയുന്ന വേരിയബിൾ fileകൾ ഉൾപ്പെടുന്നു:
- കമ്പൈലർ കംപൈൽ ചെയ്ത ഒബ്ജക്റ്റുകളും മറ്റ് ഡെറിവേഡ് ഡാറ്റയും സംഭരിക്കുന്ന വർക്ക് ലൈബ്രറി വ്യക്തമാക്കാൻ ഉപയോഗിക്കുന്ന വേരിയബിളുകൾ.
– വെരിലോഗിന്, വേരിയബിളുകൾ (LIB_MAP, VIEW_MAP, WORK) ലൈബ്രറികൾ വ്യക്തമാക്കാൻ ഉപയോഗിക്കുന്നു viewഎലബോറേറ്റർ സന്ദർഭങ്ങൾ പരിഹരിക്കുമ്പോൾ തിരയാൻ എസ്.
- കമ്പൈലർ, എലബോറേറ്റർ, സിമുലേറ്റർ കമാൻഡ്-ലൈൻ ഓപ്ഷനുകളും ആർഗ്യുമെന്റുകളും നിർവചിക്കാൻ നിങ്ങളെ അനുവദിക്കുന്ന വേരിയബിളുകൾ.
പ്രിസിന്ത് സിമുലേഷന്റെ കാര്യത്തിൽ exampമുകളിൽ കാണിച്ചിരിക്കുന്നത്, ഞങ്ങൾക്ക് മൂന്ന് RTL ഉണ്ടെന്ന് പറയുക files: a.v, b.v, testbench.v എന്നിവ യഥാക്രമം presynth, COREAHBLITE_LIB, presynth ലൈബ്രറികളിലേക്ക് കംപൈൽ ചെയ്യേണ്ടതുണ്ട്. hdl.var file ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ എഴുതാം.
വർക്ക് പ്രിസിന്ത് നിർവചിക്കുക
PROJECT_DIR <ലൊക്കേഷൻ നിർവചിക്കുക files>
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/a.v => പ്രിസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/b.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/testbench.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, + => പ്രിസിന്ത് ) - ഡിസൈൻ കംപൈൽ ചെയ്യുക filencvlog ഓപ്ഷൻ ഉപയോഗിക്കുന്നു.
ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - എൻസെലാബ് ഉപയോഗിച്ച് ഡിസൈൻ വിശദമാക്കുക. ഡിസൈനിലെ തൽക്ഷണവും കോൺഫിഗറേഷൻ വിവരങ്ങളും അടിസ്ഥാനമാക്കി എലബോറേറ്റർ ഒരു ഡിസൈൻ ശ്രേണി നിർമ്മിക്കുന്നു, സിഗ്നൽ കണക്റ്റിവിറ്റി സ്ഥാപിക്കുന്നു, ഡിസൈനിലെ എല്ലാ ഒബ്ജക്റ്റുകൾക്കും പ്രാരംഭ മൂല്യങ്ങൾ കണക്കാക്കുന്നു. വിപുലമായ ഡിസൈൻ ശ്രേണി ഒരു സിമുലേഷൻ സ്നാപ്പ്ഷോട്ടിൽ സംഭരിച്ചിരിക്കുന്നു, സിമുലേഷൻ പ്രവർത്തിപ്പിക്കാൻ സിമുലേറ്റർ ഉപയോഗിക്കുന്ന നിങ്ങളുടെ ഡിസൈനിന്റെ പ്രതിനിധാനമാണിത്.
ncelab –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
ആക്സസ് +rwc –status worklib.:module
പോസ്റ്റ് ലേഔട്ട് സിമുലേഷൻ സമയത്ത് വിശദീകരിക്കൽ
പോസ്റ്റ് ലേഔട്ട് സിമുലേഷനുകളുടെ കാര്യത്തിൽ, ആദ്യം എസ്.ഡി.എഫ് file ncsdfc കമാൻഡ് ഉപയോഗിച്ച് വിശദീകരിക്കുന്നതിന് മുമ്പ് കംപൈൽ ചെയ്യേണ്ടതുണ്ട്.
ncsdfcfileപേര്>.sdf –ഔട്ട്പുട്ട് <fileപേര്>.sdf.X
വിശദീകരിക്കുന്ന സമയത്ത്, ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ -autosdf ഓപ്ഷൻ ഉപയോഗിച്ച് സമാഹരിച്ച SDF ഔട്ട്പുട്ട് ഉപയോഗിക്കുക.
ncelab -autosdf –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
15 –ആക്സസ് +rwc –status worklib.:module –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file ഇനിപ്പറയുന്ന കോഡ് ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ആയിരിക്കണം.
കംപൈൽഡ്_എസ്ഡിഎഫ്_FILE = “<കംപൈൽ ചെയ്ത SDF-ന്റെ സ്ഥാനം file>” - ncsim ഉപയോഗിച്ച് അനുകരിക്കുക. വിശദീകരണത്തിന് ശേഷം ഒരു സിമുലേഷൻ സ്നാപ്പ്ഷോട്ട് സൃഷ്ടിക്കപ്പെടുന്നു, അത് സിമുലേഷനായി ncsim ലോഡ് ചെയ്യുന്നു. നിങ്ങൾക്ക് ബാച്ച് മോഡിലോ GUI മോഡിലോ പ്രവർത്തിപ്പിക്കാം.
ncsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log -
errormax 15 –status worklib.:module
പ്രധാനപ്പെട്ടത്: കംപൈൽ ചെയ്യുന്നതിനും വിശദീകരിക്കുന്നതിനും അനുകരിക്കുന്നതിനുമുള്ള മുകളിൽ പറഞ്ഞ മൂന്ന് ഘട്ടങ്ങളും ഒരു ഷെൽ സ്ക്രിപ്റ്റിൽ ഉൾപ്പെടുത്താവുന്നതാണ്. file കമാൻഡ്-ലൈനിൽ നിന്ന് ഉറവിടം. ഈ മൂന്ന് ഘട്ടങ്ങൾ ഉപയോഗിക്കുന്നതിനുപകരം, ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ncverilog അല്ലെങ്കിൽ irun ഓപ്ഷൻ ഉപയോഗിച്ച് ഡിസൈൻ ഒരു ഘട്ടത്തിൽ അനുകരിക്കാവുന്നതാണ്.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var <എല്ലാ RTL
fileഡിസൈനിൽ ഉപയോഗിച്ചത്>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var <എല്ലാ RTL files
ഡിസൈനിൽ ഉപയോഗിച്ചു>
3.3.1 അറിയപ്പെടുന്ന പ്രശ്നങ്ങൾ (ഒരു ചോദ്യം ചോദിക്കുക)
ടെസ്റ്റ്ബെഞ്ച് പരിഹാരമാർഗ്ഗം
ഉപയോക്താവ് സൃഷ്ടിച്ച ടെസ്റ്റ്ബെഞ്ചിലെ ക്ലോക്ക് ഫ്രീക്വൻസി വ്യക്തമാക്കുന്നതിന് ഇനിപ്പറയുന്ന പ്രസ്താവന ഉപയോഗിക്കുന്നത് അല്ലെങ്കിൽ Libero SoC സൃഷ്ടിച്ച ഡിഫോൾട്ട് ടെസ്റ്റ്ബെഞ്ച് NCSim-ൽ പ്രവർത്തിക്കില്ല.
എപ്പോഴും @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുന്നതിന് ഇനിപ്പറയുന്ന രീതിയിൽ പരിഷ്ക്കരിക്കുക:
എപ്പോഴും #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
പ്രധാനപ്പെട്ടത്:. സമാഹരിച്ചത് NCSim-നുള്ള ലൈബ്രറികൾ പ്ലാറ്റ്ഫോം നിർദ്ദിഷ്ടമാണ് (അതായത് 64 ബിറ്റ് ലൈബ്രറികൾ 32 ബിറ്റ് പ്ലാറ്റ്ഫോമുമായി പൊരുത്തപ്പെടുന്നില്ല, തിരിച്ചും).
MSS, SERDES എന്നിവ ഉപയോഗിച്ചുള്ള പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷനുകൾ MSS ബ്ലോക്ക് അല്ലെങ്കിൽ SERDES ഉപയോഗിച്ചുള്ള ഡിസൈനുകളുടെ പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷനുകൾ അടങ്ങിയ ഡിസൈനുകളുടെ പോസ്റ്റ്സിന്ത് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുമ്പോൾ, -libmap ഓപ്ഷൻ ആണെങ്കിൽ BFM സിമുലേഷനുകൾ പ്രവർത്തിക്കില്ല.
വിശദീകരിക്കുന്ന സമയത്ത് വ്യക്തമാക്കിയിട്ടില്ല. കാരണം, വിശദീകരിക്കുന്ന സമയത്ത്, വർക്ക് ലൈബ്രറിയിൽ നിന്ന് MSS പരിഹരിക്കപ്പെടും (ഡിഫോൾട്ട് ബൈൻഡിംഗും വർക്ക്ലിബ് പോസ്റ്റ്സിന്ത്/പോസ്റ്റ് ലേഔട്ടും ആയതിനാൽ) അവിടെ അത് ഒരു ഫിക്സഡ് ഫംഗ്ഷൻ മാത്രമാണ്.
എംഎസ്എസ് പരിഹരിക്കുന്നതിന് ഇനിപ്പറയുന്ന കോഡ് ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ncelab കമാൻഡ് എഴുതണം
SmartFusion2 മുൻകൂട്ടി തയ്യാറാക്കിയ ലൈബ്രറിയിൽ നിന്ന് തടയുക.
ncelab -libmap lib.map -libverbose -Message -access +rwc cfg1
ഒപ്പം lib.map file ഇനിപ്പറയുന്നതായിരിക്കണം:
കോൺഫിഗറേഷൻ cfg1;
ഡിസൈൻ ;
ഡിഫോൾട്ട് ലിബ്ലിസ്റ്റ് സ്മാർട്ട്ഫ്യൂഷൻ2 ;
endconfig
വർക്ക് ലൈബ്രറിയിൽ നോക്കുന്നതിന് മുമ്പ് SmartFusion2 ലൈബ്രറിയിലെ ഏത് സെല്ലും ഇത് പരിഹരിക്കുന്നു, അതായത് postsynth/ post-layout.
ഓരോ സിമുലേഷനും (പ്രെസിന്ത്, പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട്) വിശദീകരിക്കുമ്പോൾ -ലിബ്മാപ്പ് ഓപ്ഷൻ ഡിഫോൾട്ടായി ഉപയോഗിക്കാം. ലൈബ്രറികളിൽ നിന്നുള്ള സംഭവങ്ങളുടെ പരിഹാരം കാരണം ഉണ്ടാകുന്ന സിമുലേഷൻ പ്രശ്നങ്ങൾ ഇത് ഒഴിവാക്കുന്നു.
ncelab: *F,INTERR: ആന്തരിക ഒഴിവാക്കൽ
-libmap ഓപ്ഷൻ ഉപയോഗിച്ച് പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷൻ സമയത്ത് SmartFusion 2, IGLOO 2 എന്നിവയിൽ FDDR അടങ്ങിയിരിക്കുന്ന ഡിസൈനുകൾക്കുള്ള ഒരു മുന്നറിയിപ്പാണ് ഈ ncelab ടൂൾ ഒഴിവാക്കൽ.
പ്രധാനപ്പെട്ടത്: ഈ പ്രശ്നം കാഡൻസ് സപ്പോർട്ട് ടീമിന് (SAR 52113) റിപ്പോർട്ട് ചെയ്തിട്ടുണ്ട്.
3.4 എസ്ample Tcl ഉം ഷെൽ സ്ക്രിപ്റ്റും Files (ഒരു ചോദ്യം ചോദിക്കുക)
ഇനിപ്പറയുന്നവ files ആണ് കോൺഫിഗറേഷൻ fileഡിസൈനും ഷെൽ സ്ക്രിപ്റ്റും സജ്ജീകരിക്കുന്നതിന് s ആവശ്യമാണ് file NCSim കമാൻഡുകൾ പ്രവർത്തിപ്പിക്കുന്നതിന്.
Cds.lib
NE സ്മാർട്ട്ഫ്യൂഷൻ2 /സ്ക്രാച്ച്/ക്രിഡോർ/ടിഎംപിസ്പേസ്/ഉപയോക്താക്കൾ/മീ/എൻസി-വ്ലോഗ്64/സ്മാർട്ട്ഫ്യൂഷൻ2
COREAHBLITE_LIB ./COREAHBLITE_LIB നിർവചിക്കുക
പ്രിസിന്ത് ./പ്രെസിന്ത് നിർവചിക്കുക
Hdl.var
വർക്ക് പ്രിസിന്ത് നിർവചിക്കുക
define PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => പ്രെസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => പ്രിസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => പ്രെസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, + => പ്രിസിന്ത് )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagഇ.വി
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagഇ.വി
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-വർക്ക് പ്രെസിംത് -ലോഗ്file ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:module
3.5 ഓട്ടോമേഷൻ (ഒരു ചോദ്യം ചോദിക്കുക)
ഇനിപ്പറയുന്ന സ്ക്രിപ്റ്റ് file ModelSim run.do പരിവർത്തനം ചെയ്യുന്നു fileകൾ കോൺഫിഗറേഷനിലേക്ക് fileNCSim ഉപയോഗിച്ച് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുന്നതിന് s ആവശ്യമാണ്.
സ്ക്രിപ്റ്റ് File ഉപയോഗം
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
ലൊക്കേഷൻ_ഓഫ്_കാഡൻസ്_പ്രീകംപൈൽഡ്_ലൈബ്രറികൾ
Cadence_parser.pl
#!/usr/bin/perl -w
############################################## #########################################
###################
#ഉപയോഗം: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
മൈക്രോസെമി_കുടുംബം മുൻകൂട്ടി തയ്യാറാക്കിയ_ലൈബ്രറി_ലൊക്കേഷൻ#
############################################## #########################################
###################
POSIX ഉപയോഗിക്കുക;
കർശനമായി ഉപയോഗിക്കുക;
എന്റെ ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
ഉപ questa_parser {
എന്റെ $ModelSim_run_do = $_[0];
എന്റെ $actel_family = $_[1];
എന്റെ $lib_location = $_[2];
എന്റെ $ സംസ്ഥാനം;
എങ്കിൽ (-e “$ModelSim_run_do” )
{
തുറക്കുക (INFILE,”$മോഡൽസിം_റൺ_ഡോ”);
എന്റെ @ModelSim_run_do = <INFILE>;
എന്റെ $ലൈൻ;
എങ്കിൽ ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
തുറക്കുക (ഔട്ട്FILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$സ്റ്റേറ്റ് = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
തുറക്കുക (ഔട്ട്FILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$സ്റ്റേറ്റ് = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
തുറക്കുക (ഔട്ട്FILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$സ്റ്റേറ്റ് = $1;
} വേറെ
{
പ്രിന്റ് "തെറ്റായ ഇൻപുട്ടുകൾ നൽകിയിട്ടുണ്ട് file\n”;
പ്രിന്റ് “#ഉപയോഗം: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Libraries_location\”\n”;
}
$line (@ModelSim_run_do)
{
#പൊതു പ്രവർത്തനങ്ങൾ
$ലൈൻ =~ s/..\/designer.*simulation\///g;
$ലൈൻ =~ s/$state/$state\_questa/g;
#പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
എങ്കിൽ ($ലൈൻ =~ m/vmap\s+.*($actel_family)/)
{
പ്രിന്റൗട്ട്FILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$ലൈൻ =~ s/..\/component/..\/..\/component/g;
പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
} elsif ($line =~ m/vsim/)
{
$ലൈൻ =~ s/vsim/vsim -novopt/g;
പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
} വേറെ
{
പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
}
}
അടയ്ക്കുക(INFILE);
അടക്കുകFILE);
} വേറെ {
പ്രിന്റ് “$ModelSim_run_do നിലവിലില്ല. സിമുലേഷൻ വീണ്ടും പ്രവർത്തിപ്പിക്കുക \n”;
}
}
Cadence Xcelium സജ്ജീകരണം (മൈക്രോചിപ്പ് ലോഗിൻ)
നിങ്ങൾ ഒരു സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കേണ്ടതുണ്ട് file Cadence Xcelium സിമുലേറ്റർ പ്രവർത്തിപ്പിക്കുന്നതിന് ModelSim ME/ModelSim Pro ME run.do-ക്ക് സമാനമായത്. ഈ ഘട്ടങ്ങൾ പിന്തുടർന്ന് സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കുക file Xcelium-നായി അല്ലെങ്കിൽ സ്ക്രിപ്റ്റ് ഉപയോഗിക്കുക file ModelSim ME/ModelSim Pro ME run.do പരിവർത്തനം ചെയ്യാൻ നൽകിയിരിക്കുന്നു fileകൾ കോൺഫിഗറേഷനിലേക്ക് fileXcelium ഉപയോഗിച്ച് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുന്നതിന് s ആവശ്യമാണ്.
4.1 പരിസ്ഥിതി വേരിയബിളുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
Cadence Xcelium പ്രവർത്തിപ്പിക്കുന്നതിന്, ഇനിപ്പറയുന്ന എൻവയോൺമെന്റ് വേരിയബിളുകൾ കോൺഫിഗർ ചെയ്യുക:
- എൽഎം_ലൈസെൻസ്_FILE: ലൈസൻസിലേക്ക് ഒരു പോയിന്റർ ഉൾപ്പെടുത്തണം file.
- cds_root: Cadence Incisive Installation ന്റെ ഹോം ഡയറക്ടറി ലൊക്കേഷനിലേക്ക് പോയിന്റ് ചെയ്യണം.
- പാത്ത്: cds_root (അതായത്.
$cds_root/tools/bin/64bit (ഒരു 64 ബിറ്റ് മെഷീനും $cds_root/tools/bin ഒരു 32 ബിറ്റിനും
മെഷീൻ).
64-ബിറ്റ്, 32-ബിറ്റ് ഓപ്പറേറ്റിംഗ് സിസ്റ്റങ്ങൾക്കിടയിൽ മാറുന്ന സാഹചര്യത്തിൽ സിമുലേഷൻ എൻവയോൺമെന്റ് സജ്ജീകരിക്കുന്നതിന് മൂന്ന് വഴികളുണ്ട്:
കേസ് 1: PATH വേരിയബിൾ
64ബിറ്റ് മെഷീനുകൾക്കായി = (install_dir/tools/bin/64bit $path) പാത സജ്ജമാക്കുക
32ബിറ്റ് മെഷീനുകൾക്കുള്ള പാത = (install_dir/tools/bin $path) സജ്ജമാക്കുക
കേസ് 2: -64bit കമാൻഡ്-ലൈൻ ഓപ്ഷൻ ഉപയോഗിക്കുന്നു
കമാൻഡ്-ലൈനിൽ 64-ബിറ്റ് എക്സിക്യൂട്ടബിൾ ആവശ്യപ്പെടുന്നതിന് -64ബിറ്റ് ഓപ്ഷൻ വ്യക്തമാക്കുക.
കേസ് 3: INCA_64BIT അല്ലെങ്കിൽ CDS_AUTO_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ ക്രമീകരിക്കുന്നു
INCA_64BIT വേരിയബിൾ ബൂളിയൻ ആയി കണക്കാക്കുന്നു. നിങ്ങൾക്ക് ഈ വേരിയബിളിനെ ഏതെങ്കിലും മൂല്യമായോ അസാധുവാക്കിയോ സജ്ജമാക്കാൻ കഴിയും
ചരട്.
setenv INCA_64BIT
പ്രധാനപ്പെട്ടത്: ഐസി ടൂളുകൾ പോലെയുള്ള മറ്റ് കേഡൻസ് ടൂളുകളെ INCA_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ ബാധിക്കില്ല. എന്നിരുന്നാലും, ഇൻസൈസീവ് ടൂളുകൾക്കായി, INCA_64BIT വേരിയബിൾ CDS_AUTO_64BIT എൻവയോൺമെന്റ് വേരിയബിളിന്റെ ക്രമീകരണം അസാധുവാക്കുന്നു. INCA_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ എറ്റ് ആണെങ്കിൽ, എല്ലാ ഇൻസൈസീവ് ടൂളുകളും 64-ബിറ്റ് മോഡിൽ പ്രവർത്തിക്കുന്നു.
setenv CDS_AUTO_64BIT ഉൾപ്പെടുന്നു:INCA
പ്രധാനപ്പെട്ടത്: INCA എന്ന സ്ട്രിംഗ് വലിയക്ഷരത്തിലായിരിക്കണം. എല്ലാ എക്സിക്യൂട്ടബിളുകളും 2-ബിറ്റ് മോഡിൽ അല്ലെങ്കിൽ 64-ബിറ്റ് മോഡിൽ പ്രവർത്തിപ്പിക്കേണ്ടതാണ്, ഇനിപ്പറയുന്നത് പോലെ ഒരു എക്സിക്യൂട്ടബിൾ ഉൾപ്പെടുത്താൻ വേരിയബിൾ സജ്ജമാക്കരുത്:
setenv CDS_AUTO_64BIT ഉൾപ്പെടുന്നു:ncelab
64-ബിറ്റ് അല്ലെങ്കിൽ 32-ബിറ്റ് എക്സിക്യൂട്ടബിളുകളുടെ തിരഞ്ഞെടുപ്പ് നിയന്ത്രിക്കുന്നതിന് IC ടൂളുകൾ പോലെയുള്ള മറ്റ് കേഡൻസ് ടൂളുകളും CDS_AUTO_64BIT എൻവയോൺമെന്റ് വേരിയബിൾ ഉപയോഗിക്കുന്നു. എല്ലാ മോഡുകളിലും Incisive ടൂളുകളും IC ടൂളുകളും പ്രവർത്തിപ്പിക്കുന്നതിന് നിങ്ങൾക്ക് CDS_AUTO_64BIT വേരിയബിൾ എങ്ങനെ സജ്ജീകരിക്കാമെന്ന് ഇനിപ്പറയുന്ന പട്ടിക കാണിക്കുന്നു.
പട്ടിക 4-1. CDS_AUTO_64BIT വേരിയബിളുകൾ
CDS_AUTO_64BIT വേരിയബിൾ | ഇൻസൈസീവ് ടൂളുകൾ | ഐസി ടൂളുകൾ |
setenv CDS_AUTO_64BIT എല്ലാം | 64-ബിറ്റ് | 64-ബിറ്റ് |
setenv CDS_AUTO_64BIT ഒന്നുമില്ല | 32-ബിറ്റ് | 32-ബിറ്റ് |
setenv CDS_AUTO_64BIT ഒഴിവാക്കുക:ic_binary |
64-ബിറ്റ് | 32-ബിറ്റ് |
setenv CDS_AUTO_64BIT ഒഴിവാക്കുക:INCA | 32-ബിറ്റ് | 64-ബിറ്റ് |
പ്രധാനപ്പെട്ടത്: എല്ലാ ഇൻസൈസീവ് ടൂളുകളും ഒന്നുകിൽ 32-ബിറ്റ് മോഡിലോ 64-ബിറ്റ് മോഡിലോ പ്രവർത്തിപ്പിക്കണം, ഇനിപ്പറയുന്നത് പോലെ ഒരു നിർദ്ദിഷ്ട എക്സിക്യൂട്ടബിൾ ഒഴിവാക്കുന്നതിന് EXCLUDE ഉപയോഗിക്കരുത്:
setenv CDS_AUTO_64BIT ഒഴിവാക്കുക:ncelab
Incisive tools (setenv) ഒഴിവാക്കാൻ നിങ്ങൾ CDS_AUTO_64BIT വേരിയബിൾ സജ്ജമാക്കുകയാണെങ്കിൽ
CDS_AUTO_64BIT EXCLUDE:INCA), എല്ലാ ഇൻസൈസീവ് ടൂളുകളും 32-ബിറ്റ് മോഡിൽ പ്രവർത്തിക്കുന്നു. എന്നിരുന്നാലും, ദി
-64bit കമാൻഡ്-ലൈൻ ഓപ്ഷൻ എൻവയോൺമെന്റ് വേരിയബിളിനെ അസാധുവാക്കുന്നു.
ഇനിപ്പറയുന്ന കോൺഫിഗറേഷൻ fileനിങ്ങളുടെ ഡാറ്റ നിയന്ത്രിക്കാനും സിമുലേഷൻ ടൂളുകളുടെയും യൂട്ടിലിറ്റികളുടെയും പ്രവർത്തനം നിയന്ത്രിക്കുന്നതിനും നിങ്ങളെ സഹായിക്കുന്നു:
- ലൈബ്രറി മാപ്പിംഗ് file (cds.lib) നിങ്ങളുടെ ഡിസൈനിന്റെ സ്ഥാനത്തിന് ഒരു ലോജിക്കൽ പേര് നിർവചിക്കുന്നു.
- ലൈബ്രറികളും അവയെ ഫിസിക്കൽ ഡയറക്ടറി നാമങ്ങളുമായി ബന്ധപ്പെടുത്തുകയും ചെയ്യുന്നു.
- വേരിയബിളുകൾ file (hdl.var) സിമുലേഷൻ ടൂളുകളുടെയും യൂട്ടിലിറ്റികളുടെയും സ്വഭാവത്തെ ബാധിക്കുന്ന വേരിയബിളുകൾ നിർവചിക്കുന്നു.
4.2 സമാഹരിച്ച ലൈബ്രറി ഡൗൺലോഡ് ചെയ്യുക (ഒരു ചോദ്യം ചോദിക്കുക)
മൈക്രോസെമിയിൽ നിന്ന് Cadence Xcelium-നുള്ള ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യുക webസൈറ്റ്.
4.3 Xcelium സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കുന്നു file (ഒരു ചോദ്യം ചോദിക്കുക)
run.do യുടെ ഒരു പകർപ്പ് സൃഷ്ടിച്ച ശേഷം files, Xcelium സ്ക്രിപ്റ്റ് ഉപയോഗിച്ച് നിങ്ങളുടെ സിമുലേഷൻ പ്രവർത്തിപ്പിക്കാൻ ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ ചെയ്യുക file.
- ഒരു cds.lib സൃഷ്ടിക്കുക file ഏതൊക്കെ ലൈബ്രറികൾ ആക്സസ് ചെയ്യാമെന്നും അവ എവിടെയാണെന്നും അത് നിർവ്വചിക്കുന്നു.
ദി file ലൈബ്രറി ലോജിക്കൽ പേരുകൾ അവയുടെ ഫിസിക്കൽ ഡയറക്ടറി പാതകളിലേക്ക് മാപ്പ് ചെയ്യുന്ന പ്രസ്താവനകൾ അടങ്ങിയിരിക്കുന്നു. ഉദാample, നിങ്ങൾ പ്രിസിന്ത് സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുകയാണെങ്കിൽ, cds.lib file ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ എഴുതാം.
പ്രിസിന്ത് ./പ്രെസിന്ത് നിർവചിക്കുക
COREAHBLITE_LIB ./COREAHBLITE_LIB നിർവചിക്കുക
സ്മാർട്ട്ഫ്യൂഷൻ2 നിർവചിക്കുക - ഒരു hdl.var സൃഷ്ടിക്കുക file ഒരു ഓപ്ഷണൽ കോൺഫിഗറേഷൻ ആണ് file അതിൽ കോൺഫിഗറേഷൻ വേരിയബിളുകൾ അടങ്ങിയിരിക്കുന്നു, അത് നിങ്ങളുടെ ഡിസൈൻ പരിതസ്ഥിതി എങ്ങനെ ക്രമീകരിച്ചിരിക്കുന്നു എന്ന് നിർണ്ണയിക്കുന്നു. ഇതിൽ ഉൾപ്പെടുന്നവ:
- കമ്പൈലർ കംപൈൽ ചെയ്ത ഒബ്ജക്റ്റുകളും മറ്റ് ഡെറിവേഡ് ഡാറ്റയും സംഭരിക്കുന്ന വർക്ക് ലൈബ്രറി വ്യക്തമാക്കാൻ ഉപയോഗിക്കുന്ന വേരിയബിളുകൾ.
– വെരിലോഗിന്, വേരിയബിളുകൾ (LIB_MAP, VIEW_MAP, WORK) ലൈബ്രറികൾ വ്യക്തമാക്കാൻ ഉപയോഗിക്കുന്നു viewഎലബോറേറ്റർ സന്ദർഭങ്ങൾ പരിഹരിക്കുമ്പോൾ തിരയാൻ എസ്.
- കമ്പൈലർ, എലബോറേറ്റർ, സിമുലേറ്റർ കമാൻഡ്-ലൈൻ ഓപ്ഷനുകളും ആർഗ്യുമെന്റുകളും നിർവചിക്കാൻ നിങ്ങളെ അനുവദിക്കുന്ന വേരിയബിളുകൾ.
പ്രിസിന്ത് സിമുലേഷന്റെ കാര്യത്തിൽ exampമുകളിൽ കാണിച്ചിരിക്കുന്നത്, ഞങ്ങൾക്ക് 3 RTL ഉണ്ടെന്ന് പറയുക files a.v, b.v, testbench.v എന്നിവ യഥാക്രമം presynth, COREAHBLITE_LIB, presynth ലൈബ്രറികളിലേക്ക് കംപൈൽ ചെയ്യേണ്ടതുണ്ട്. hdl.var file ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ എഴുതാം.
വർക്ക് പ്രിസിന്ത് നിർവചിക്കുക
PROJECT_DIR <ലൊക്കേഷൻ നിർവചിക്കുക files>
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/a.v => പ്രിസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/b.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/testbench.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, + => പ്രിസിന്ത് ) - ഡിസൈൻ കംപൈൽ ചെയ്യുക filencvlog ഓപ്ഷൻ ഉപയോഗിക്കുന്നു.
xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - എൻസെലാബ് ഉപയോഗിച്ച് ഡിസൈൻ വിശദമാക്കുക. ഡിസൈനിലെ തൽക്ഷണവും കോൺഫിഗറേഷൻ വിവരങ്ങളും അടിസ്ഥാനമാക്കി എലബോറേറ്റർ ഒരു ഡിസൈൻ ശ്രേണി നിർമ്മിക്കുന്നു, സിഗ്നൽ കണക്റ്റിവിറ്റി സ്ഥാപിക്കുന്നു, ഡിസൈനിലെ എല്ലാ ഒബ്ജക്റ്റുകൾക്കും പ്രാരംഭ മൂല്യങ്ങൾ കണക്കാക്കുന്നു. വിപുലമായ ഡിസൈൻ ശ്രേണി ഒരു സിമുലേഷൻ സ്നാപ്പ്ഷോട്ടിൽ സംഭരിച്ചിരിക്കുന്നു, സിമുലേഷൻ പ്രവർത്തിപ്പിക്കാൻ സിമുലേറ്റർ ഉപയോഗിക്കുന്ന നിങ്ങളുടെ ഡിസൈനിന്റെ പ്രതിനിധാനമാണിത്.
Xcelium –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
ആക്സസ് +rwc –status worklib.:module
പോസ്റ്റ് ലേഔട്ട് സിമുലേഷൻ സമയത്ത് വിശദീകരിക്കൽ
പോസ്റ്റ് ലേഔട്ട് സിമുലേഷനുകളുടെ കാര്യത്തിൽ, ആദ്യം എസ്.ഡി.എഫ് file ncsdfc കമാൻഡ് ഉപയോഗിച്ച് വിശദീകരിക്കുന്നതിന് മുമ്പ് കംപൈൽ ചെയ്യേണ്ടതുണ്ട്.
എക്സെലിയംfileപേര്>.sdf –ഔട്ട്പുട്ട് <fileപേര്>.sdf.X
വിശദീകരിക്കുന്ന സമയത്ത്, ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ -autosdf ഓപ്ഷൻ ഉപയോഗിച്ച് സമാഹരിച്ച SDF ഔട്ട്പുട്ട് ഉപയോഗിക്കുക.
xmelab -autosdf –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
15 –ആക്സസ് +rwc –status worklib.:module –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file ഇനിപ്പറയുന്ന കോഡ് ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ആയിരിക്കണം.
കംപൈൽഡ്_എസ്ഡിഎഫ്_FILE = “<കംപൈൽ ചെയ്ത SDF-ന്റെ സ്ഥാനം file>” - Xcelium ഉപയോഗിച്ച് അനുകരിക്കുക. വിപുലീകരണത്തിന് ശേഷം ഒരു സിമുലേഷൻ സ്നാപ്പ്ഷോട്ട് സൃഷ്ടിക്കപ്പെടുന്നു, അത് സിമുലേഷനായി Xcelium ലോഡ് ചെയ്യുന്നു. ഇത് ബാച്ച് മോഡിലോ GUI മോഡിലോ പ്രവർത്തിപ്പിക്കാം.
xmsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log -
errormax 15 –status worklib.:module
Cadence Xcelium സജ്ജീകരണം
പ്രധാനപ്പെട്ടത്:.എല്ലാം കംപൈൽ ചെയ്യുന്നതിനും വിശദീകരിക്കുന്നതിനും അനുകരിക്കുന്നതിനുമുള്ള മുകളിലുള്ള മൂന്ന് ഘട്ടങ്ങൾ ഒരു ഷെൽ സ്ക്രിപ്റ്റിൽ ഉൾപ്പെടുത്താം. file കമാൻഡ്-ലൈനിൽ നിന്ന് ഉറവിടം. ഈ മൂന്ന് ഘട്ടങ്ങൾ ഉപയോഗിക്കുന്നതിനുപകരം, ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ncverilog അല്ലെങ്കിൽ xrun ഓപ്ഷൻ ഉപയോഗിച്ച് ഡിസൈൻ ഒരു ഘട്ടത്തിൽ അനുകരിക്കാവുന്നതാണ്.
xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var <എല്ലാ RTL
fileഡിസൈനിൽ ഉപയോഗിച്ചത്>
xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var <എല്ലാ RTL files
ഡിസൈനിൽ ഉപയോഗിച്ചു>
4.3.1 അറിയപ്പെടുന്ന പ്രശ്നങ്ങൾ (ഒരു ചോദ്യം ചോദിക്കുക)
ടെസ്റ്റ്ബെഞ്ച് പരിഹാരമാർഗ്ഗം
ഉപയോക്താവ് സൃഷ്ടിച്ച ടെസ്റ്റ്ബെഞ്ചിലെ ക്ലോക്ക് ഫ്രീക്വൻസി വ്യക്തമാക്കുന്നതിന് ഇനിപ്പറയുന്ന പ്രസ്താവന ഉപയോഗിക്കുന്നത് അല്ലെങ്കിൽ Libero SoC സൃഷ്ടിച്ച ഡിഫോൾട്ട് ടെസ്റ്റ്ബെഞ്ച് Xcelium-ൽ പ്രവർത്തിക്കില്ല.
എപ്പോഴും @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുന്നതിന് ഇനിപ്പറയുന്ന രീതിയിൽ പരിഷ്ക്കരിക്കുക:
എപ്പോഴും #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
പ്രധാനപ്പെട്ടത്: Xcelium-ന് വേണ്ടി സമാഹരിച്ച ലൈബ്രറികൾ പ്ലാറ്റ്ഫോം നിർദ്ദിഷ്ടമാണ് (അതായത് 64 ബിറ്റ് ലൈബ്രറികൾ 32 ബിറ്റ് പ്ലാറ്റ്ഫോമുമായി പൊരുത്തപ്പെടുന്നില്ല, തിരിച്ചും).
MSS, SERDES എന്നിവ ഉപയോഗിച്ച് പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷനുകൾ
എംഎസ്എസ് ബ്ലോക്ക് അടങ്ങിയ ഡിസൈനുകളുടെ പോസ്റ്റ്സിന്ത് സിമുലേഷനുകൾ അല്ലെങ്കിൽ SERDES ഉപയോഗിച്ചുള്ള ഡിസൈനുകളുടെ പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുമ്പോൾ, വിപുലീകരണ സമയത്ത് -libmap ഓപ്ഷൻ വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ BFM സിമുലേഷനുകൾ പ്രവർത്തിക്കില്ല. കാരണം, വിശദീകരിക്കുന്ന സമയത്ത്, വർക്ക് ലൈബ്രറിയിൽ നിന്ന് MSS പരിഹരിക്കപ്പെടും (ഡിഫോൾട്ട് ബൈൻഡിംഗും വർക്ക്ലിബ് പോസ്റ്റ്സിന്ത്/പോസ്റ്റ് ലേഔട്ടും ആയതിനാൽ) അവിടെ അത് ഒരു ഫിക്സഡ് ഫംഗ്ഷൻ മാത്രമാണ്.
SmartFusion2 പ്രീ കംപൈൽഡ് ലൈബ്രറിയിൽ നിന്ന് MSS ബ്ലോക്ക് പരിഹരിക്കുന്നതിന് ഇനിപ്പറയുന്ന കോഡ് ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ ncelab കമാൻഡ് എഴുതണം.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
ഒപ്പം lib.map file ഇനിപ്പറയുന്നതായിരിക്കണം:
കോൺഫിഗറേഷൻ cfg1;
ഡിസൈൻ ;
ഡിഫോൾട്ട് ലിബ്ലിസ്റ്റ് സ്മാർട്ട്ഫ്യൂഷൻ2 ;
endconfig
ഇത് വർക്ക് ലൈബ്രറിയിൽ നോക്കുന്നതിന് മുമ്പ് SmartFusion2 ലൈബ്രറിയിലെ ഏതെങ്കിലും സെല്ലിനെ പരിഹരിക്കണം, അതായത് postsynth/post-layout.
ഓരോ സിമുലേഷനും (പ്രെസിന്ത്, പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട്) വിശദീകരിക്കുമ്പോൾ -ലിബ്മാപ്പ് ഓപ്ഷൻ ഡിഫോൾട്ടായി ഉപയോഗിക്കാം. ഇത് ലൈബ്രറികളിൽ നിന്നുള്ള സംഭവങ്ങളുടെ പരിഹാരം കാരണം ഉണ്ടാകുന്ന സിമുലേഷൻ പ്രശ്നങ്ങൾ ഒഴിവാക്കുന്നു.
xmelab: *F,INTERR: ആന്തരിക ഒഴിവാക്കൽ
SmartFusion2, IGLOO2 എന്നിവയിൽ FDDR അടങ്ങിയിരിക്കുന്ന ഡിസൈനുകൾക്കുള്ള ഒരു മുന്നറിയിപ്പാണ് ഈ ncelab ടൂൾ ഒഴിവാക്കൽ
-libmap ഓപ്ഷൻ ഉപയോഗിച്ച് പോസ്റ്റ്സിന്ത്, പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷൻ സമയത്ത്.
പ്രധാനപ്പെട്ടത്: ഈ പ്രശ്നം കാഡൻസ് സപ്പോർട്ട് ടീമിന് (SAR 52113) റിപ്പോർട്ട് ചെയ്തിട്ടുണ്ട്.
4.4 എസ്ample Tcl ഉം ഷെൽ സ്ക്രിപ്റ്റും files (ഒരു ചോദ്യം ചോദിക്കുക)
ഇനിപ്പറയുന്നവ files ആണ് കോൺഫിഗറേഷൻ fileഡിസൈനും ഷെൽ സ്ക്രിപ്റ്റും സജ്ജീകരിക്കുന്നതിന് s ആവശ്യമാണ് file Xcelium കമാൻഡുകൾ പ്രവർത്തിപ്പിക്കുന്നതിന്.
Cds.lib
സ്മാർട്ട്ഫ്യൂഷൻ2 /സ്ക്രാച്ച്/ക്രിഡോർ/ടിഎംപിസ്പേസ്/ഉപയോക്താക്കൾ/മീ/എൻസി-വ്ലോഗ്64/സ്മാർട്ട്ഫ്യൂഷൻ2 നിർവചിക്കുക
COREAHBLITE_LIB ./COREAHBLITE_LIB നിർവചിക്കുക
പ്രിസിന്ത് ./പ്രെസിന്ത് നിർവചിക്കുക
Hdl.var
വർക്ക് പ്രിസിന്ത് നിർവചിക്കുക
define PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => പ്രെസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP നിർവ്വചിക്കുക ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => പ്രിസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => പ്രെസിന്ത് )
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => പ്രെസിന്ത്)
LIB_MAP നിർവ്വചിക്കുക ($LIB_MAP, + => പ്രിസിന്ത് )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagഇ.വി
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagഇ.വി
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-വർക്ക് പ്രെസിംത് -ലോഗ്file ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:module
4.5 ഓട്ടോമേഷൻ (മൈക്രോചിപ്പ് ലോഗിൻ)
ഇനിപ്പറയുന്ന സ്ക്രിപ്റ്റ് file ModelSim run.do പരിവർത്തനം ചെയ്യുന്നു fileകൾ കോൺഫിഗറേഷനിലേക്ക് fileXcelium ഉപയോഗിച്ച് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുന്നതിന് s ആവശ്യമാണ്.
സ്ക്രിപ്റ്റ് File ഉപയോഗം
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
ലൊക്കേഷൻ_ഓഫ്_കാഡൻസ്_പ്രീകംപൈൽഡ്_ലൈബ്രറികൾ
Cadence_parser.pl
#!/usr/bin/perl -w
############################################## #########################################
###################
#ഉപയോഗം: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
മൈക്രോസെമി_കുടുംബം മുൻകൂട്ടി തയ്യാറാക്കിയ_ലൈബ്രറി_ലൊക്കേഷൻ#
############################################## #########################################
###################
POSIX ഉപയോഗിക്കുക;
കർശനമായി ഉപയോഗിക്കുക;
എന്റെ ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
ഉപ questa_parser {
എന്റെ $ModelSim_run_do = $_[0];
എന്റെ $actel_family = $_[1];
എന്റെ $lib_location = $_[2];
എന്റെ $ സംസ്ഥാനം;
എങ്കിൽ (-e “$ModelSim_run_do” )
{
തുറക്കുക (INFILE,”$മോഡൽസിം_റൺ_ഡോ”);
എന്റെ @ModelSim_run_do = <INFILE>;
എന്റെ $ലൈൻ;
എങ്കിൽ ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
തുറക്കുക (ഔട്ട്FILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$സ്റ്റേറ്റ് = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
തുറക്കുക (ഔട്ട്FILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$സ്റ്റേറ്റ് = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
തുറക്കുക (ഔട്ട്FILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$സ്റ്റേറ്റ് = $1;
} വേറെ
{
പ്രിന്റ് "തെറ്റായ ഇൻപുട്ടുകൾ നൽകിയിട്ടുണ്ട് file\n”;
പ്രിന്റ് “#ഉപയോഗം: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Libraries_location\”\n”;
}
$line (@ModelSim_run_do)
{
#പൊതു പ്രവർത്തനങ്ങൾ
$ലൈൻ =~ s/..\/designer.*simulation\///g;
$ലൈൻ =~ s/$state/$state\_questa/g;
#പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
എങ്കിൽ ($ലൈൻ =~ m/vmap\s+.*($actel_family)/)
{
പ്രിന്റൗട്ട്FILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$ലൈൻ =~ s/..\/component/..\/..\/component/g;
പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
} elsif ($line =~ m/vsim/)
{
$ലൈൻ =~ s/vsim/vsim -novopt/g;
പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
} വേറെ
{
പ്രിന്റൗട്ട്FILE “$ലൈൻ \n”;
}
}
അടയ്ക്കുക(INFILE);
അടക്കുകFILE);
} വേറെ {
പ്രിന്റ് “$ModelSim_run_do നിലവിലില്ല. സിമുലേഷൻ വീണ്ടും പ്രവർത്തിപ്പിക്കുക \n”;
}
}
സീമെൻസ് ക്വസ്റ്റസിം സജ്ജീകരണം/മോഡൽസിം സജ്ജീകരണം (ഒരു ചോദ്യം ചോദിക്കുക)
The run.do fileമോഡൽസിം മൈക്രോസെമി പതിപ്പുകൾ ഉപയോഗിച്ചുള്ള സിമുലേഷനുകൾക്കായി Libero SoC സൃഷ്ടിച്ച s, ഒരൊറ്റ മാറ്റത്തിലൂടെ QuestaSim/ModelSim SE/DE/PE ഉപയോഗിക്കുന്ന സിമുലേഷനുകൾക്കായി ഉപയോഗിക്കാം. ModelSim ME/ModelSim Pro ME run.do-ൽ file, മുൻകൂട്ടി തയ്യാറാക്കിയ ലൈബ്രറികളുടെ സ്ഥാനം പരിഷ്കരിക്കേണ്ടതുണ്ട്.
പ്രധാനപ്പെട്ടത്:
ഡിഫോൾട്ടായി, മോഡൽസിം പ്രോ എംഇ ഒഴികെയുള്ള സിമുലേഷൻ ടൂൾ സിമുലേഷൻ സമയത്ത് ഡിസൈൻ ഒപ്റ്റിമൈസേഷൻ നടത്തുന്നു, ഇത് ഡിസൈൻ ഒബ്ജക്റ്റുകൾ, ഇൻപുട്ട് ഉത്തേജനം തുടങ്ങിയ സിമുലേഷൻ ആർട്ടിഫാക്റ്റുകളിലേക്ക് ദൃശ്യപരതയെ ബാധിക്കും.
സങ്കീർണ്ണമായ സിമുലേഷനുകൾക്കുള്ള സിമുലേഷൻ റൺടൈം കുറയ്ക്കുന്നതിന്, വാചാലമായ, സ്വയം പരിശോധിക്കുന്ന ടെസ്റ്റ്ബെഞ്ചുകൾ ഉപയോഗിച്ച് ഇത് സാധാരണയായി സഹായകരമാണ്. എന്നിരുന്നാലും, ഡിഫോൾട്ട് ഒപ്റ്റിമൈസേഷനുകൾ എല്ലാ സിമുലേഷനുകൾക്കും അനുയോജ്യമാകണമെന്നില്ല, പ്രത്യേകിച്ചും വേവ് വിൻഡോ ഉപയോഗിച്ച് സിമുലേഷൻ ഫലങ്ങൾ ഗ്രാഫിക്കായി പരിശോധിക്കാൻ നിങ്ങൾ പ്രതീക്ഷിക്കുന്ന സന്ദർഭങ്ങളിൽ.
ഈ ഒപ്റ്റിമൈസേഷൻ മൂലമുണ്ടാകുന്ന പ്രശ്നങ്ങൾ പരിഹരിക്കുന്നതിന്, ഡിസൈനിലേക്ക് ദൃശ്യപരത പുനഃസ്ഥാപിക്കുന്നതിന് നിങ്ങൾ സിമുലേഷൻ സമയത്ത് ഉചിതമായ കമാൻഡുകളും അനുബന്ധ ആർഗ്യുമെന്റുകളും ചേർക്കണം. ടൂൾ-നിർദ്ദിഷ്ട കമാൻഡുകൾക്കായി, സിമുലേറ്ററിന്റെ ഉപയോഗത്തിലുള്ള ഡോക്യുമെന്റേഷൻ കാണുക.
5.1 പരിസ്ഥിതി വേരിയബിളുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
ആവശ്യമായ പരിസ്ഥിതി വേരിയബിളുകൾ താഴെ കൊടുക്കുന്നു.
- എൽഎം_ലൈസെൻസ്_FILE: ലൈസൻസിലേക്കുള്ള പാത ഉൾപ്പെടുത്തണം file.
- MODEL_TECH: QuestaSim ഇൻസ്റ്റാളേഷന്റെ ഹോം ഡയറക്ടറി ലൊക്കേഷനിലേക്കുള്ള പാത തിരിച്ചറിയണം.
- PATH: MODEL_TECH ചൂണ്ടിക്കാണിച്ച എക്സിക്യൂട്ടബിൾ ലൊക്കേഷനിലേക്ക് പോയിന്റ് ചെയ്യണം.
5.2 മെന്റർ ക്വസ്റ്റാസിമിനായി run.do പരിവർത്തനം ചെയ്യുന്നു (ഒരു ചോദ്യം ചോദിക്കുക)
The run.do fileമോഡൽസിം മൈക്രോസെമി എഡിഷനുകൾ ഉപയോഗിച്ചുള്ള സിമുലേഷനുകൾക്കായി Libero SoC സൃഷ്ടിച്ച s, QuestaSim/ModelSim_SE ഉപയോഗിച്ചുള്ള സിമുലേഷനുകൾ ഒരൊറ്റ മാറ്റത്തോടെ ഉപയോഗിക്കാൻ കഴിയും.
പ്രധാനപ്പെട്ടത്:.എല്ലാം QuestaSim ഉപയോഗിച്ച് അനുകരിക്കുന്ന ഡിസൈനുകളിൽ -novopt ഉൾപ്പെടുത്തണം
run.do സ്ക്രിപ്റ്റിൽ vsim കമാൻഡിനൊപ്പം ഓപ്ഷൻ files.
5.3 സമാഹരിച്ച ലൈബ്രറി ഡൗൺലോഡ് ചെയ്യുക (ഒരു ചോദ്യം ചോദിക്കുക)
മൈക്രോസെമിയിൽ നിന്ന് മെന്റർ ഗ്രാഫിക്സ് ക്വസ്റ്റാസിമിനായുള്ള ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യുക webസൈറ്റ്.
സംഗ്രഹം VCS സജ്ജീകരണം (ഒരു ചോദ്യം ചോദിക്കുക)
മൈക്രോസെമി ശുപാർശ ചെയ്യുന്ന ഫ്ലോ വിസിഎസിലെ എലബോറേറ്റ് ആൻഡ് കംപൈൽ ഫ്ലോയെ ആശ്രയിച്ചിരിക്കുന്നു. ഈ പ്രമാണത്തിൽ ഒരു സ്ക്രിപ്റ്റ് ഉൾപ്പെടുന്നു file അത് run.do സ്ക്രിപ്റ്റ് ഉപയോഗിക്കുന്നു fileലിബെറോ SoC സൃഷ്ടിച്ച് സജ്ജീകരണം സൃഷ്ടിക്കുന്നു fileVCS സിമുലേഷന് ആവശ്യമാണ്. തിരക്കഥ file run.do ഉപയോഗിക്കുന്നു file ഇനിപ്പറയുന്നവ ചെയ്യാൻ.
- ഒരു ലൈബ്രറി മാപ്പിംഗ് സൃഷ്ടിക്കുക file, synopsys_sim.setup ഉപയോഗിച്ചാണ് ഇത് ചെയ്യുന്നത് file വിസിഎസ് സിമുലേഷൻ പ്രവർത്തിക്കുന്ന അതേ ഡയറക്ടറിയിൽ സ്ഥിതി ചെയ്യുന്നു.
- ഒരു ഷെൽ സ്ക്രിപ്റ്റ് സൃഷ്ടിക്കുക file VCS ഉപയോഗിച്ച് നിങ്ങളുടെ ഡിസൈൻ വിശദമാക്കാനും സമാഹരിക്കാനും.
6.1 പരിസ്ഥിതി വേരിയബിളുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
നിങ്ങളുടെ സജ്ജീകരണത്തെ അടിസ്ഥാനമാക്കി VCS-ന് അനുയോജ്യമായ പരിസ്ഥിതി വേരിയബിളുകൾ സജ്ജമാക്കുക. VCS ഡോക്യുമെന്റേഷൻ അനുസരിച്ച് ആവശ്യമായ പരിസ്ഥിതി വേരിയബിളുകൾ ഇവയാണ്:
- എൽഎം_ലൈസെൻസ്_FILE: ലൈസൻസ് സെർവറിലേക്ക് ഒരു പോയിന്റർ ഉൾപ്പെടുത്തണം.
- VCS_HOME: VCS ഇൻസ്റ്റാളേഷന്റെ ഹോം ഡയറക്ടറി ലൊക്കേഷനിലേക്ക് പോയിന്റ് ചെയ്യണം.
- PATH: VCS_HOME ഡയറക്ടറിക്ക് താഴെയുള്ള ബിൻ ഡയറക്ടറിയിലേക്ക് ഒരു പോയിന്റർ ഉൾപ്പെടുത്തണം.
6.2 സമാഹരിച്ച ലൈബ്രറി ഡൗൺലോഡ് ചെയ്യുക (ഒരു ചോദ്യം ചോദിക്കുക)
മൈക്രോസെമിയിൽ നിന്ന് സിനോപ്സിസ് വിസിഎസിനായുള്ള ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യുക webസൈറ്റ്.
6.3 വിസിഎസ് സിമുലേഷൻ സ്ക്രിപ്റ്റ് File (ഒരു ചോദ്യം ചോദിക്കുക)
VCS സജ്ജീകരിച്ച് ഡിസൈനും വ്യത്യസ്തമായ run.do ഉം സൃഷ്ടിച്ചതിന് ശേഷം fileLibero SoC-ൽ നിന്നുള്ളത്, നിങ്ങൾ ചെയ്യേണ്ടത്:
- ലൈബ്രറി മാപ്പിംഗ് സൃഷ്ടിക്കുക file synopsys_sim.setup; ഈ file ഡിസൈൻ ഉപയോഗിക്കേണ്ട എല്ലാ ലൈബ്രറികളുടെയും സ്ഥാനത്തിലേക്കുള്ള പോയിന്ററുകൾ അടങ്ങിയിരിക്കുന്നു.
പ്രധാനപ്പെട്ടത്: file പേര് മാറാൻ പാടില്ല കൂടാതെ സിമുലേഷൻ പ്രവർത്തിക്കുന്ന അതേ ഡയറക്ടറിയിൽ അത് സ്ഥിതിചെയ്യുകയും വേണം. ഇതാ ഒരു മുൻampഅത്തരം ഒരു വേണ്ടി le file പ്രിസിന്തസിസ് സിമുലേഷനായി.
ജോലി > EFAULT
SmartFusion2 :
പ്രെസിന്ത്: ./പ്രെസിന്ത്
ഡിഫോൾട്ട്: ./വർക്ക് - വ്യത്യസ്തമായ ഡിസൈൻ വിശദീകരിക്കുക fileVCS-ലെ vlogan കമാൻഡ് ഉപയോഗിച്ച് ടെസ്റ്റ്ബെഞ്ച് ഉൾപ്പെടെ s. ഈ കമാൻഡുകൾ ഒരു ഷെൽ സ്ക്രിപ്റ്റിൽ ഉൾപ്പെടുത്തിയേക്കാം file. ഒരു മുൻamprtl.v-ൽ നിർവചിച്ചിരിക്കുന്ന ഒരു ഡിസൈൻ വിശദമാക്കാൻ ആവശ്യമായ കമാൻഡുകളുടെ le അതിന്റെ ടെസ്റ്റ്ബെഞ്ച് നിർവചിച്ചിരിക്കുന്നു
ടെസ്റ്റ്ബെഞ്ച്.വി.
vlogan +v2k -work presynth rtl.v
vlogan +v2k -work presynth testbench.v - ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിച്ച് വിസിഎസ് ഉപയോഗിച്ച് ഡിസൈൻ കംപൈൽ ചെയ്യുക.
vcs –sim_res=1fs presynth.testbench
കുറിപ്പ്: ദി ശരിയായ ഫങ്ഷണൽ സിമുലേഷനായി സിമുലേഷന്റെ ടൈമിംഗ് റെസലൂഷൻ 1fs ആയി സജ്ജീകരിക്കണം. - ഡിസൈൻ കംപൈൽ ചെയ്തുകഴിഞ്ഞാൽ, ഇനിപ്പറയുന്ന കമാൻഡ് ഉപയോഗിച്ച് സിമുലേഷൻ ആരംഭിക്കുക.
./simv - ബാക്ക്-അനോട്ടേറ്റഡ് സിമുലേഷനായി, ഇനിപ്പറയുന്ന കോഡ്ബ്ലോക്കിൽ കാണിച്ചിരിക്കുന്നതുപോലെ VCS കമാൻഡ് ആയിരിക്കണം.
vcs postlayout.testbench –sim_res=1fs –sdf max:.<DUT ഉദാഹരണം
പേര്>:<sdf file പാത> –gui –l postlayout.log
6.4 പരിമിതികൾ/ഒഴിവാക്കലുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
Synopsys VCS സജ്ജീകരണത്തിന്റെ പരിമിതികൾ/അപവാദങ്ങൾ താഴെ കൊടുക്കുന്നു.
- Libero SoC-യുടെ വെരിലോഗ് പ്രോജക്റ്റുകൾക്ക് മാത്രമേ VCS സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കാൻ കഴിയൂ. ലിബറോ SoC സ്വയമേവ സൃഷ്ടിച്ച VHDL പാലിക്കാത്ത കർശനമായ VHDL ഭാഷാ ആവശ്യകതകൾ VCS സിമുലേറ്ററിനുണ്ട്. files.
- നിങ്ങൾക്ക് ആവശ്യമുള്ളപ്പോഴെല്ലാം സിമുലേഷൻ നിർത്താൻ വെരിലോഗ് ടെസ്റ്റ് ബെഞ്ചിൽ $ഫിനിഷ് സ്റ്റേറ്റ്മെന്റ് ഉണ്ടായിരിക്കണം.
പ്രധാനപ്പെട്ടത്: എപ്പോൾ സിമുലേഷനുകൾ GUI മോഡിൽ പ്രവർത്തിക്കുന്നു, റൺ സമയം GUI-ൽ വ്യക്തമാക്കാം.
6.5 എസ്ample Tcl ഉം ഷെൽ സ്ക്രിപ്റ്റും Files (ഒരു ചോദ്യം ചോദിക്കുക)
ഇനിപ്പറയുന്ന Perl synopsys_sim.setup-ന്റെ ജനറേഷൻ ഓട്ടോമേറ്റ് ചെയ്യുന്നു file അതോടൊപ്പം അനുബന്ധ ഷെൽ സ്ക്രിപ്റ്റും fileഡിസൈൻ വിശദമാക്കാനും കംപൈൽ ചെയ്യാനും അനുകരിക്കാനും ആവശ്യമാണ്.
ഡിസൈൻ ഒരു MSS ഉപയോഗിക്കുന്നുവെങ്കിൽ, test.vec പകർത്തുക file ലിബെറോ SoC പ്രോജക്റ്റിന്റെ സിമുലേഷൻ ഫോൾഡറിൽ VCS സിമുലേഷൻ ഫോൾഡറിലേക്ക് സ്ഥിതിചെയ്യുന്നു. ഇനിപ്പറയുന്ന വിഭാഗങ്ങളിൽ s അടങ്ങിയിരിക്കുന്നുample run.do fileഅനുബന്ധ ലൈബ്രറി മാപ്പിംഗും ഷെൽ സ്ക്രിപ്റ്റും ഉൾപ്പെടെ Libero SoC സൃഷ്ടിച്ചവ fileVCS സിമുലേഷന് ആവശ്യമാണ്.
6.5.1 പ്രീ-സിന്തസിസ് (ഒരു ചോദ്യം ചോദിക്കുക)
Presynth_run.do
നിശബ്ദമായി ACTELLIBNAME SmartFusion2 സജ്ജമാക്കുക
നിശബ്ദമായി PROJECT_DIR “/sqa/users/me/VCS_Tests/Test_DFF” സജ്ജമാക്കുക
എങ്കിൽ {[file പ്രിസിന്ത് നിലവിലുണ്ട്/_info]} {
പ്രതിധ്വനി "വിവരങ്ങൾ: സിമുലേഷൻ ലൈബ്രറി പ്രിസിന്ത് ഇതിനകം നിലവിലുണ്ട്"
} വേറെ {
vlib പ്രെസിന്ത്
}
vmap പ്രെസിന്ത് പ്രെസിന്ത്
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
വ്ലോഗ് “+incdir+${PROJECT_DIR}/ഉത്തേജനം” -വർക്ക് പ്രിസിന്ത് “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
വേവ് ചേർക്കുക /SD1_TB1/*
ലോഗ് ചേർക്കുക -r /*
1000ns ഓടുക
presynth_main.csh
#!/ബിൻ/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” സജ്ജമാക്കുക
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/ഘടകം/
ജോലി/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
പ്രിസിന്ത് “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
ജോലി > ഡിഫോൾട്ട്
SmartFusion2 : /VCS/SmartFusion2
പ്രെസിന്ത്: ./പ്രെസിന്ത്
ഡിഫോൾട്ട്: ./വർക്ക്
6.5.2 പോസ്റ്റ്-സിന്തസിസ് (ഒരു ചോദ്യം ചോദിക്കുക)
postsynth_run.do
നിശബ്ദമായി ACTELLIBNAME SmartFusion2 സജ്ജമാക്കുക
നിശബ്ദമായി PROJECT_DIR “/sqa/users/Me/VCS_Tests/Test_DFF” സജ്ജമാക്കുക
എങ്കിൽ {[file postsynth/_info]} {നിലവിലുണ്ട്
പ്രതിധ്വനി "വിവരങ്ങൾ: സിമുലേഷൻ ലൈബ്രറി പോസ്റ്റ്സിന്ത് ഇതിനകം നിലവിലുണ്ട്"
} വേറെ {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2"
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
വ്ലോഗ് “+incdir+${PROJECT_DIR}/ഉത്തേജനം” -work postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
വേവ് ചേർക്കുക /SD1_TB1/*
ലോഗ് ചേർക്കുക -r /*
1000ns ഓടുക
ലോഗ് SD1_TB1/*
പുറത്ത്
Postsynth_main.csh
#!/ബിൻ/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” സജ്ജമാക്കുക
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
ജോലി > ഡിഫോൾട്ട്
SmartFusion2 : /VCS/SmartFusion2
postsynth : ./postsynth
ഡിഫോൾട്ട്: ./വർക്ക്
6.5.3 പോസ്റ്റ് ലേഔട്ട് (ഒരു ചോദ്യം ചോദിക്കുക)
postlayout_run.do
നിശബ്ദമായി ACTELLIBNAME SmartFusion2 സജ്ജമാക്കുക
നിശബ്ദമായി PROJECT_DIR "E:/ModelSim_Work/Test_DFF" സജ്ജമാക്കുക
എങ്കിൽ {[file നിലവിലുണ്ട് ../designer/SD1/simulation/postlayout/_info]} {
പ്രതിധ്വനി "വിവരങ്ങൾ: സിമുലേഷൻ ലൈബ്രറി ../designer/SD1/simulation/postlayout ഇതിനകം നിലവിലുണ്ട്"
} വേറെ {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2"
vlog -work postlayout “${PROJECT_DIR}/designer/SD1/SD1_ba.v”
വ്ലോഗ് “+incdir+${PROJECT_DIR}/ഉത്തേജനം” -വർക്ക് പോസ്റ്റ്ലേഔട്ട് “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postlayout -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
വേവ് ചേർക്കുക /SD1_TB1/*
ലോഗ് ചേർക്കുക -r /*
1000ns ഓടുക
Postlayout_main.csh
#!/ബിൻ/csh -f
PROJECT_DIR = "/VCS_Tests/Test_DFF" സജ്ജമാക്കുക
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postlayout “${PROJECT_DIR}/
ഡിസൈനർ/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
പോസ്റ്റ്ലേഔട്ട് “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf
max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
ജോലി > ഡിഫോൾട്ട്
SmartFusion2 : /VCS/SmartFusion2
postlayout : ./postlayout
ഡിഫോൾട്ട്: ./workVCS
6.6 ഓട്ടോമേഷൻ (ഒരു ചോദ്യം ചോദിക്കുക)
ഇനിപ്പറയുന്ന പേൾ സ്ക്രിപ്റ്റ് ഉപയോഗിച്ച് ഫ്ലോ ഓട്ടോമേറ്റ് ചെയ്യാം file ModelSim run.do പരിവർത്തനം ചെയ്യാൻ fileവിസിഎസ് അനുയോജ്യമായ ഷെൽ സ്ക്രിപ്റ്റിലേക്ക് s files, Libero SoC സിമുലേഷൻ ഡയറക്ടറിക്കുള്ളിൽ ശരിയായ ഡയറക്ടറികൾ സൃഷ്ടിക്കുക, തുടർന്ന് സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുക.
സ്ക്രിപ്റ്റ് പ്രവർത്തിപ്പിക്കുക file ഇനിപ്പറയുന്ന വാക്യഘടന ഉപയോഗിക്കുന്നു.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
######################################################################
#
#ഉപയോഗം: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
#######################################################################
എന്റെ ($presynth, $postsynth, $postlayout) = @ARGV;
if(system(“mkdir VCS_Presynth”)) {print “mkdir പരാജയപ്പെട്ടു:\n”;}
if(system(“mkdir VCS_Postsynth”)) {print “mkdir പരാജയപ്പെട്ടു:\n”;}
if(system(“mkdir VCS_Postlayout”)) {print “mkdir പരാജയപ്പെട്ടു:\n”;}
chdir (VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,presynth");
chdir ("../");
chdir (VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,”postsynth”);
chdir ("../");
chdir (VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,”postlayout”);
chdir ("../");
ഉപ പാഴ്സ്_ഡോ {
എന്റെ $vlog = "/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k" ;
എന്റെ %LIB = ();
എന്റെ $file = $_[0] ;
എന്റെ $സ്റ്റേറ്റ് = $_[1];
തുറക്കുക (INFILE"$file”) || മരിക്കുക "തുറക്കാനാവില്ല File കാരണം ഇതായിരിക്കാം:$!”;
എങ്കിൽ ($state eq "presynth" )
{
open(OUT1,”>presynth_main.csh”) || മരിക്കുക "കമാൻഡ് സൃഷ്ടിക്കാൻ കഴിയില്ല File കാരണം ഇതായിരിക്കാം:$!”;
}
elsif ($state eq "postsynth" )
{
open(OUT1,”>postsynth_main.csh”) || മരിക്കുക "കമാൻഡ് സൃഷ്ടിക്കാൻ കഴിയില്ല File കാരണം ഇതായിരിക്കാം:$!”;
}
elsif ($state eq "postlayout" )
{
open(OUT1,”>postlayout_main.csh”) || മരിക്കുക "കമാൻഡ് സൃഷ്ടിക്കാൻ കഴിയില്ല File കാരണം ഇതായിരിക്കാം:$!”;
}
വേറെ
{
പ്രിന്റ് “സിമുലേഷൻ സ്റ്റേറ്റ് കാണുന്നില്ല \n” ;
}
open(OUT2,”>synopsys_sim.setup”) || മരിക്കുക "കമാൻഡ് സൃഷ്ടിക്കാൻ കഴിയില്ല File കാരണം ഇതായിരിക്കാം:$!”;
# .csh file
പ്രിന്റ് OUT1 “#!/bin/csh -f\n\n\n” ;
#സജ്ജമാക്കുക FILE
പ്രിന്റ് ഔട്ട്2 “വർക്ക് > ഡിഫോൾട്ട്\n” ;
പ്രിന്റ് OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
അതേസമയം ($ലൈൻ = <INFILE>)
{
സംഗ്രഹം VCS സജ്ജീകരണം
എങ്കിൽ ($ലൈൻ =~ m/നിശബ്ദമായി PROJECT_DIR\s+\”(.*?)\”/)
{
പ്രിന്റ് OUT1 “സെറ്റ് PROJECT_DIR = \”$1\”\n\n\n” ;
}
elsif ($line =~ m/vlog.*\.v\”/)
{
എങ്കിൽ ($ലൈൻ =~ m/\s+(\w*?)\_LIB/)
{
#പ്രിന്റ് “\$1 =$1 \n” ;
$temp = “$1″.”_LIB”;
#print “Temp = $temp \n” ;
$LIB{$temp}++;
}
ചോമ്പ്($ലൈൻ);
$ലൈൻ =~ s/^vlog/$vlog/ ;
$ലൈൻ =~ s/ //g;
പ്രിന്റ് OUT1 “$line\n”;
}
elsif ( ($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
ചോമ്പ് ($ ടിബി);
#പ്രിന്റ് “ടിബി പേര് : $tb \n”;
എങ്കിൽ ($ലൈൻ =~ m/sdf(.*)\.sdf/)
{
ചോമ്പ്($ലൈൻ);
$ലൈൻ = $1 ;
#print “LINE : $line \n” ;
എങ്കിൽ ($ലൈൻ =~ m/max/)
{
$ലൈൻ =~ s/max \/// ;
$ലൈൻ =~ s/=/:/;
പ്രിന്റ് OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max:$tb.$line.sdf -l compile.log\n” ;
}
എൽസിഫ് ($ലൈൻ =~ m/min/)
{
$ലൈൻ =~ സെ/മിനിറ്റ് \/// ;
$ലൈൻ =~ s/=/:/;
പ്രിന്റ് OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
മിനിറ്റ്:$tb.$line.sdf -l compile.log\n” ;
}
എൽസിഫ് ($ലൈൻ =~ m/typ/)
{
$ലൈൻ =~ s/typ \/// ;
$ലൈൻ =~ s/=/:/;
പ്രിന്റ് OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
ടൈപ്പ്:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — ModelSim SDF ഫോർമാറ്റ്
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -വി.സി.എസ്
SDF ഫോർമാറ്റ്
}
}
}
അച്ചടിക്കുക
ഔട്ട്1 "\n\n"
;
if
( $state eq "presynth"
)
{
അച്ചടിക്കുക
OUT2 "പ്രെസിന്ത്
: ./presynth\n”
;
അച്ചടിക്കുക
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
എൽസിഫ്
( $state eq "postsynth"
)
{
അച്ചടിക്കുക
OUT2 "പോസ്റ്റ്സിന്ത്
: ./postsynth\n”
;
അച്ചടിക്കുക
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
എൽസിഫ്
( $state eq "postlayout"
)
{
പ്രിന്റ് OUT2 “postlayout : ./postlayout\n” ;
}
വേറെ
{
പ്രിന്റ് “സിമുലേഷൻ സ്റ്റേറ്റ് കാണുന്നില്ല \n” ;
}
$i ഫോഴ്സ് (കീകൾ %LIB)
{
#print “കീ : $i മൂല്യം : $LIB{$i} \n” ;
പ്രിന്റ് OUT2 “$i : ./$i\n” ;
}
പ്രിന്റ് ഔട്ട്1 “\n\n” ;
പ്രിന്റ് OUT1 “./simv -l run.log\n” ;
പ്രിന്റ് ഔട്ട് 2 “ഡീഫോൾട്ട് : ./വർക്ക്\n” ;
IN അടയ്ക്കുകFILE;
OUT1 അടയ്ക്കുക;
OUT2 അടയ്ക്കുക;
}
റിവിഷൻ ചരിത്രം (മൈക്രോചിപ്പ് ലോഗിൻ
റിവിഷൻ ഹിസ്റ്ററി പ്രമാണത്തിൽ നടപ്പിലാക്കിയ മാറ്റങ്ങൾ വിവരിക്കുന്നു. മാറ്റങ്ങൾ
ഏറ്റവും നിലവിലുള്ള പ്രസിദ്ധീകരണത്തിൽ നിന്ന് ആരംഭിക്കുന്ന പുനരവലോകനത്തിലൂടെ ലിസ്റ്റ് ചെയ്യുന്നു.
പുനരവലോകനം | തീയതി | വിവരണം |
A | 12/2023 | ഈ റിവിഷനിൽ ഇനിപ്പറയുന്ന മാറ്റങ്ങൾ വരുത്തിയിട്ടുണ്ട്: • പ്രമാണം മൈക്രോചിപ്പ് ടെംപ്ലേറ്റിലേക്ക് പരിവർത്തനം ചെയ്തു. പ്രാരംഭ പുനരവലോകനം. • അപ്ഡേറ്റ് ചെയ്ത വിഭാഗം 5. സിമുലേഷനും ഒപ്റ്റിമൈസേഷനും സമയത്ത് ദൃശ്യപരതയെ ബാധിക്കുന്ന ഒരു പുതിയ കുറിപ്പ് ഉൾപ്പെടുത്തുന്നതിന് സീമെൻസ് ക്വസ്റ്റസിം സെറ്റപ്പ്/മോഡൽസിം സജ്ജീകരണം. |
മൈക്രോചിപ്പ് FPGA പിന്തുണ
കസ്റ്റമർ സർവീസ്, കസ്റ്റമർ ടെക്നിക്കൽ സപ്പോർട്ട് സെന്റർ, എ webസൈറ്റ്, ലോകമെമ്പാടുമുള്ള വിൽപ്പന ഓഫീസുകൾ.
ഉപഭോക്താക്കൾക്ക് പിന്തുണയുമായി ബന്ധപ്പെടുന്നതിന് മുമ്പ് മൈക്രോചിപ്പ് ഓൺലൈൻ ഉറവിടങ്ങൾ സന്ദർശിക്കാൻ നിർദ്ദേശിക്കുന്നു, കാരണം അവരുടെ ചോദ്യങ്ങൾക്ക് ഇതിനകം ഉത്തരം ലഭിച്ചിരിക്കാൻ സാധ്യതയുണ്ട്.
വഴി സാങ്കേതിക സഹായ കേന്ദ്രവുമായി ബന്ധപ്പെടുക webസൈറ്റ് www.microchip.com/support. FPGA ഉപകരണ പാർട്ട് നമ്പർ സൂചിപ്പിക്കുക, ഉചിതമായ കേസ് വിഭാഗം തിരഞ്ഞെടുത്ത് ഡിസൈൻ അപ്ലോഡ് ചെയ്യുക fileഒരു സാങ്കേതിക പിന്തുണ കേസ് സൃഷ്ടിക്കുമ്പോൾ s.
ഉൽപ്പന്ന വിലനിർണ്ണയം, ഉൽപ്പന്ന അപ്ഗ്രേഡുകൾ, അപ്ഡേറ്റ് വിവരങ്ങൾ, ഓർഡർ നില, അംഗീകാരം എന്നിവ പോലുള്ള സാങ്കേതികേതര ഉൽപ്പന്ന പിന്തുണയ്ക്കായി ഉപഭോക്തൃ സേവനവുമായി ബന്ധപ്പെടുക.
- വടക്കേ അമേരിക്കയിൽ നിന്ന്, 800.262.1060 എന്ന നമ്പറിൽ വിളിക്കുക
- ലോകത്തിൻ്റെ മറ്റു ഭാഗങ്ങളിൽ നിന്ന് 650.318.4460 എന്ന നമ്പറിൽ വിളിക്കുക
- ഫാക്സ്, ലോകത്തെവിടെ നിന്നും, 650.318.8044
മൈക്രോചിപ്പ് വിവരങ്ങൾ
മൈക്രോചിപ്പ് Webസൈറ്റ്
മൈക്രോചിപ്പ് ഞങ്ങളുടെ വഴി ഓൺലൈൻ പിന്തുണ നൽകുന്നു webസൈറ്റ് www.microchip.com/. ഇത് webസൈറ്റ് നിർമ്മിക്കാൻ ഉപയോഗിക്കുന്നു fileഉപഭോക്താക്കൾക്ക് എളുപ്പത്തിൽ ലഭ്യമാകുന്ന വിവരങ്ങളും. ലഭ്യമായ ചില ഉള്ളടക്കങ്ങളിൽ ഇവ ഉൾപ്പെടുന്നു:
- ഉൽപ്പന്ന പിന്തുണ - ഡാറ്റ ഷീറ്റുകളും പിശകുകളും, ആപ്ലിക്കേഷൻ കുറിപ്പുകളും എസ്ampലെ പ്രോഗ്രാമുകൾ, ഡിസൈൻ ഉറവിടങ്ങൾ, ഉപയോക്തൃ ഗൈഡുകൾ, ഹാർഡ്വെയർ പിന്തുണാ പ്രമാണങ്ങൾ, ഏറ്റവും പുതിയ സോഫ്റ്റ്വെയർ റിലീസുകൾ, ആർക്കൈവ് ചെയ്ത സോഫ്റ്റ്വെയർ
- പൊതുവായ സാങ്കേതിക പിന്തുണ - പതിവായി ചോദിക്കുന്ന ചോദ്യങ്ങൾ (FAQ), സാങ്കേതിക പിന്തുണ അഭ്യർത്ഥനകൾ, ഓൺലൈൻ ചർച്ചാ ഗ്രൂപ്പുകൾ, മൈക്രോചിപ്പ് ഡിസൈൻ പങ്കാളി പ്രോഗ്രാം അംഗങ്ങളുടെ പട്ടിക
- മൈക്രോചിപ്പിന്റെ ബിസിനസ്സ് - ഉൽപ്പന്ന സെലക്ടറും ഓർഡറിംഗ് ഗൈഡുകളും, ഏറ്റവും പുതിയ മൈക്രോചിപ്പ് പ്രസ് റിലീസുകൾ, സെമിനാറുകളുടെയും ഇവന്റുകളുടെയും ലിസ്റ്റിംഗ്, മൈക്രോചിപ്പ് സെയിൽസ് ഓഫീസുകളുടെ ലിസ്റ്റിംഗുകൾ, വിതരണക്കാർ, ഫാക്ടറി പ്രതിനിധികൾ
ഉൽപ്പന്ന മാറ്റ അറിയിപ്പ് സേവനം
മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളിൽ ഉപഭോക്താക്കളെ നിലനിർത്താൻ മൈക്രോചിപ്പിന്റെ ഉൽപ്പന്ന മാറ്റ അറിയിപ്പ് സേവനം സഹായിക്കുന്നു. ഒരു നിർദ്ദിഷ്ട ഉൽപ്പന്ന കുടുംബവുമായോ താൽപ്പര്യമുള്ള ഡെവലപ്മെന്റ് ടൂളുമായോ ബന്ധപ്പെട്ട മാറ്റങ്ങൾ, അപ്ഡേറ്റുകൾ, പുനരവലോകനങ്ങൾ അല്ലെങ്കിൽ പിശകുകൾ എന്നിവ ഉണ്ടാകുമ്പോഴെല്ലാം വരിക്കാർക്ക് ഇമെയിൽ അറിയിപ്പ് ലഭിക്കും.
രജിസ്റ്റർ ചെയ്യുന്നതിന്, പോകുക www.microchip.com/pcn, രജിസ്ട്രേഷൻ നിർദ്ദേശങ്ങൾ പാലിക്കുക.
ഉപഭോക്തൃ പിന്തുണ
മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളുടെ ഉപയോക്താക്കൾക്ക് നിരവധി ചാനലുകളിലൂടെ സഹായം ലഭിക്കും:
- വിതരണക്കാരൻ അല്ലെങ്കിൽ പ്രതിനിധി
- പ്രാദേശിക വിൽപ്പന ഓഫീസ്
- എംബഡഡ് സൊല്യൂഷൻസ് എഞ്ചിനീയർ (ഇഎസ്ഇ)
- സാങ്കേതിക സഹായം
പിന്തുണയ്ക്കായി ഉപഭോക്താക്കൾ അവരുടെ വിതരണക്കാരനെയോ പ്രതിനിധിയെയോ ഇഎസ്ഇയെയോ ബന്ധപ്പെടണം. ഉപഭോക്താക്കളെ സഹായിക്കാൻ പ്രാദേശിക സെയിൽസ് ഓഫീസുകളും ലഭ്യമാണ്. സെയിൽസ് ഓഫീസുകളുടെയും ലൊക്കേഷനുകളുടെയും ഒരു ലിസ്റ്റ് ഈ ഡോക്യുമെൻ്റിൽ ഉൾപ്പെടുത്തിയിട്ടുണ്ട്.
വഴി സാങ്കേതിക പിന്തുണ ലഭ്യമാണ് webസൈറ്റ്: www.microchip.com/support
മൈക്രോചിപ്പ് ഉപകരണങ്ങളുടെ കോഡ് സംരക്ഷണ സവിശേഷത
മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളിലെ കോഡ് പരിരക്ഷണ സവിശേഷതയുടെ ഇനിപ്പറയുന്ന വിശദാംശങ്ങൾ ശ്രദ്ധിക്കുക:
- മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങൾ അവയുടെ പ്രത്യേക മൈക്രോചിപ്പ് ഡാറ്റ ഷീറ്റിൽ അടങ്ങിയിരിക്കുന്ന സ്പെസിഫിക്കേഷനുകൾ പാലിക്കുന്നു.
- ഉദ്ദേശിച്ച രീതിയിൽ, ഓപ്പറേറ്റിംഗ് സ്പെസിഫിക്കേഷനുകൾക്കുള്ളിൽ, സാധാരണ അവസ്ഥയിൽ ഉപയോഗിക്കുമ്പോൾ അതിൻ്റെ ഉൽപ്പന്നങ്ങളുടെ കുടുംബം സുരക്ഷിതമാണെന്ന് മൈക്രോചിപ്പ് വിശ്വസിക്കുന്നു.
- മൈക്രോചിപ്പ് അതിന്റെ ബൗദ്ധിക സ്വത്തവകാശങ്ങളെ വിലമതിക്കുകയും ആക്രമണാത്മകമായി സംരക്ഷിക്കുകയും ചെയ്യുന്നു. മൈക്രോചിപ്പ് ഉൽപ്പന്നത്തിന്റെ കോഡ് പരിരക്ഷണ സവിശേഷതകൾ ലംഘിക്കാനുള്ള ശ്രമങ്ങൾ കർശനമായി നിരോധിച്ചിരിക്കുന്നു കൂടാതെ ഡിജിറ്റൽ മില്ലേനിയം പകർപ്പവകാശ നിയമം ലംഘിച്ചേക്കാം.
- മൈക്രോചിപ്പിനോ മറ്റേതെങ്കിലും അർദ്ധചാലക നിർമ്മാതാക്കൾക്കോ അതിന്റെ കോഡിന്റെ സുരക്ഷ ഉറപ്പുനൽകാൻ കഴിയില്ല. കോഡ് പരിരക്ഷണം അർത്ഥമാക്കുന്നത് ഉൽപ്പന്നം "പൊട്ടാത്തത്" ആണെന്ന് ഞങ്ങൾ ഉറപ്പ് നൽകുന്നു എന്നല്ല.
കോഡ് സംരക്ഷണം നിരന്തരം വികസിച്ചുകൊണ്ടിരിക്കുന്നു. ഞങ്ങളുടെ ഉൽപ്പന്നങ്ങളുടെ കോഡ് പരിരക്ഷണ സവിശേഷതകൾ തുടർച്ചയായി മെച്ചപ്പെടുത്താൻ Microchip പ്രതിജ്ഞാബദ്ധമാണ്.
നിയമപരമായ അറിയിപ്പ്
ഈ പ്രസിദ്ധീകരണവും ഇതിലെ വിവരങ്ങളും നിങ്ങളുടെ ആപ്ലിക്കേഷനുമായി മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങൾ രൂപകൽപ്പന ചെയ്യുന്നതിനും പരിശോധിക്കുന്നതിനും സംയോജിപ്പിക്കുന്നതിനും ഉൾപ്പെടെ, മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളിൽ മാത്രമേ ഉപയോഗിക്കാവൂ. ഈ വിവരങ്ങൾ മറ്റേതെങ്കിലും രീതിയിൽ ഉപയോഗിക്കുന്നത് ഈ നിബന്ധനകൾ ലംഘിക്കുന്നു. ഉപകരണ ആപ്ലിക്കേഷനുകളെക്കുറിച്ചുള്ള വിവരങ്ങൾ നിങ്ങളുടെ സൗകര്യാർത്ഥം മാത്രമാണ് നൽകിയിരിക്കുന്നത്, അപ്ഡേറ്റുകൾ അസാധുവാക്കിയേക്കാം. നിങ്ങളുടെ ആപ്ലിക്കേഷൻ നിങ്ങളുടെ സ്പെസിഫിക്കേഷനുകൾ പാലിക്കുന്നുണ്ടെന്ന് ഉറപ്പാക്കേണ്ടത് നിങ്ങളുടെ ഉത്തരവാദിത്തമാണ്. അധിക പിന്തുണയ്ക്കായി നിങ്ങളുടെ പ്രാദേശിക മൈക്രോചിപ്പ് സെയിൽസ് ഓഫീസുമായി ബന്ധപ്പെടുക അല്ലെങ്കിൽ അധിക പിന്തുണ നേടുക www.microchip.com/en-us/support/design-help/client-support-services.
ഈ വിവരം മൈക്രോചിപ്പ് "ഉള്ളതുപോലെ" നൽകുന്നു. രേഖാമൂലമുള്ളതോ വാക്കാലുള്ളതോ ആയതോ, രേഖാമൂലമോ വാക്കാലുള്ളതോ ആയതോ, നിയമപരമായതോ അല്ലാത്തതോ ആയ വിവരങ്ങളുമായി ബന്ധപ്പെട്ടതോ ആയ ഏതെങ്കിലും തരത്തിലുള്ള പ്രതിനിധാനങ്ങളോ വാറൻ്റികളോ മൈക്രോചിപ്പ് നൽകുന്നില്ല. ഒരു പ്രത്യേക ഉദ്ദേശ്യത്തിനായുള്ള ലംഘനം, വ്യാപാരം, ഫിറ്റ്നസ് എന്നിവയുടെ വാറൻ്റികൾ, അല്ലെങ്കിൽ അതിൻ്റെ അവസ്ഥ, ഗുണനിലവാരം അല്ലെങ്കിൽ പ്രകടനം എന്നിവയുമായി ബന്ധപ്പെട്ട വാറൻ്റികൾ.
ഒരു സാഹചര്യത്തിലും, ഏതെങ്കിലും തരത്തിലുള്ള പരോക്ഷമായ, പ്രത്യേക, ശിക്ഷാപരമായ, ആകസ്മികമായ അല്ലെങ്കിൽ തുടർന്നുള്ള നഷ്ടം, നാശനഷ്ടം, ചെലവ്, അല്ലെങ്കിൽ അതിനാവശ്യമായ ഏതെങ്കിലും തരത്തിലുള്ള ചെലവുകൾ എന്നിവയ്ക്ക് മൈക്രോചിപ്പ് ബാധ്യസ്ഥനായിരിക്കില്ല. എങ്ങനെയായാലും, മൈക്രോചിപ്പ് സാധ്യതയെക്കുറിച്ച് ഉപദേശിച്ചിട്ടുണ്ടെങ്കിലും അല്ലെങ്കിൽ നാശനഷ്ടങ്ങൾ മുൻകൂട്ടിക്കാണാവുന്നതാണെങ്കിൽ പോലും. നിയമം അനുവദനീയമായ പരമാവധി, വിവരങ്ങൾ അല്ലെങ്കിൽ അതിൻ്റെ ഉപയോഗം ബന്ധപ്പെട്ട എല്ലാ ക്ലെയിമുകളിലും മൈക്രോചിപ്പിൻ്റെ മൊത്തത്തിലുള്ള ബാധ്യത നിങ്ങളുടെ ഫീഡിൻ്റെ അളവിനേക്കാൾ കൂടുതലാകില്ല. വിവരങ്ങൾക്കായി നേരിട്ട് മൈക്രോചിപ്പിലേക്ക്.
ലൈഫ് സപ്പോർട്ടിലും കൂടാതെ/അല്ലെങ്കിൽ സുരക്ഷാ ആപ്ലിക്കേഷനുകളിലും മൈക്രോചിപ്പ് ഉപകരണങ്ങളുടെ ഉപയോഗം പൂർണ്ണമായും വാങ്ങുന്നയാളുടെ റിസ്കിലാണ്, കൂടാതെ അത്തരം ഉപയോഗത്തിൻ്റെ ഫലമായുണ്ടാകുന്ന എല്ലാ കേടുപാടുകൾ, ക്ലെയിമുകൾ, സ്യൂട്ടുകൾ അല്ലെങ്കിൽ ചെലവുകൾ എന്നിവയിൽ നിന്ന് ദോഷകരമല്ലാത്ത മൈക്രോചിപ്പിനെ പ്രതിരോധിക്കാനും നഷ്ടപരിഹാരം നൽകാനും വാങ്ങുന്നയാൾ സമ്മതിക്കുന്നു. ഏതെങ്കിലും മൈക്രോചിപ്പ് ബൗദ്ധിക സ്വത്തവകാശത്തിന് കീഴിലുള്ള ലൈസൻസുകളൊന്നും പരോക്ഷമായോ അല്ലാതെയോ പ്രസ്താവിച്ചിട്ടില്ലെങ്കിൽ കൈമാറുന്നതല്ല.
വ്യാപാരമുദ്രകൾ
മൈക്രോചിപ്പ് നാമവും ലോഗോയും, മൈക്രോചിപ്പ് ലോഗോ, അഡാപ്സ്ക്, അവ്വൈം, ബിറ്റ്ക്ല oud ഡി, ക്രിപ്റ്റോമിമെറി, ക്ലീൻ, ഡിഎസ്പിക്, ഡിഎസ്പിക്ബ്ലോക്സ്, കീലോക്, ച്യൂബ്ബ്ലോക്സ്, കീലോക്, മാക്സ്സ്റ്റൈൽ, ലേഞ്ച്, മാക്സ്സ്റ്റൈൽ, മാക്സ്എംഡി, MediaLB, megaAVR, മൈക്രോസെമി, മൈക്രോസെമി ലോഗോ, MOST, MOST ലോഗോ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 ലോഗോ, PolarFire, Prochip ഡിസൈനർ, QTouch, SAM-BA, SenGenuity, SpyNIC, SpyNIC, സൂപ്പർ എഫ്എസ്ടികോം , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, XMEGA എന്നിവ യു.എസ്.എയിലും മറ്റ് രാജ്യങ്ങളിലും സംയോജിപ്പിച്ചിട്ടുള്ള മൈക്രോചിപ്പ് ടെക്നോളജിയുടെ രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രകളാണ്.
AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, Wire, Quasic Plus ലോഗോ SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime, ZL എന്നിവ യുഎസ്എയിൽ സംയോജിപ്പിച്ചിട്ടുള്ള മൈക്രോചിപ്പ് ടെക്നോളജിയുടെ രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രകളാണ്.
അടുത്തുള്ള കീ സപ്രഷൻ, AKS, അനലോഗ്-ഫോർ-ദി-ഡിജിറ്റൽ ഏജ്, ഏതെങ്കിലും കപ്പാസിറ്റർ, AnyIn, AnyOut, ഓഗ്മെന്റഡ് സ്വിച്ചിംഗ്, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoCompanion, CryptoCompanion. ഡൈനാമിക് ആവറേജ് മാച്ചിംഗ് , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit Serial Programming, ICSP, INICnet, ഇന്റലിജന്റ് പാരലലിംഗ്, IntelliMOS, ഇന്റർ-ചിപ്പ് കണക്റ്റിവിറ്റി, JitterBlocker, Knob-on-Disx, MaxView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB സാക്ഷ്യപ്പെടുത്തിയ ലോഗോ, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, Real ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-I.S., സ്റ്റോർക്ലാഡ്, SQI, SQI,
SuperSwitcher II, Switchtec, SynchroPHY, മൊത്തം സഹിഷ്ണുത, വിശ്വസനീയ സമയം, TSHARC, USB ചെക്ക്, വാരിസെൻസ്, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect, ZENA എന്നിവയാണ് മൈക്രോചിപ്പ് ടെക്നോളജി ഇൻകോർപ്പറേറ്റഡ് എന്നതിന്റെ വ്യാപാരമുദ്രകൾ
യു.എസ്.എയിലും മറ്റ് രാജ്യങ്ങളിലും.
യുഎസ്എയിൽ സംയോജിപ്പിച്ച മൈക്രോചിപ്പ് ടെക്നോളജിയുടെ സേവന ചിഹ്നമാണ് SQTP
അഡാപ്ടെക് ലോഗോ, ഫ്രീക്വൻസി ഓൺ ഡിമാൻഡ്, സിലിക്കൺ സ്റ്റോറേജ് ടെക്നോളജി, സിംകോം എന്നിവ മറ്റ് രാജ്യങ്ങളിലെ മൈക്രോചിപ്പ് ടെക്നോളജി ഇങ്കിന്റെ രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രകളാണ്.
GestIC മറ്റ് രാജ്യങ്ങളിലെ മൈക്രോചിപ്പ് ടെക്നോളജി ജർമ്മനി II GmbH & Co. KG-യുടെ ഒരു രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രയാണ്.
ഇവിടെ പരാമർശിച്ചിരിക്കുന്ന മറ്റെല്ലാ വ്യാപാരമുദ്രകളും അതത് കമ്പനികളുടെ സ്വത്താണ്.
© 2023, മൈക്രോചിപ്പ് ടെക്നോളജി ഇൻകോർപ്പറേറ്റഡ് അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളും. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം.
ISBN: 978-1-6683-3694-6
ക്വാളിറ്റി മാനേജ്മെൻ്റ് സിസ്റ്റം
മൈക്രോചിപ്പിൻ്റെ ക്വാളിറ്റി മാനേജ്മെൻ്റ് സിസ്റ്റങ്ങളെ കുറിച്ചുള്ള വിവരങ്ങൾക്ക് ദയവായി സന്ദർശിക്കുക www.microchip.com/qualitty.
അമേരിക്ക | ഏഷ്യ/പസിഫിക് | ഏഷ്യ/പസിഫിക് | യൂറോപ്പ് |
കോർപ്പറേറ്റ് ഓഫീസ് 2355 വെസ്റ്റ് ചാൻഡലർ Blvd. ചാൻഡലർ, AZ 85224-6199 ഫോൺ: 480-792-7200 ഫാക്സ്: 480-792-7277 സാങ്കേതിക സഹായം: www.microchip.com/support Web വിലാസം: www.microchip.com അറ്റ്ലാൻ്റ ദുലുത്ത്, ജി.എ ഫോൺ: 678-957-9614 ഫാക്സ്: 678-957-1455 ഓസ്റ്റിൻ, TX ഫോൺ: 512-257-3370 ബോസ്റ്റൺ വെസ്റ്റ്ബറോ, എംഎ ഫോൺ: 774-760-0087 ഫാക്സ്: 774-760-0088 ചിക്കാഗോ ഇറ്റാസ്ക, IL ഫോൺ: 630-285-0071 ഫാക്സ്: 630-285-0075 ഡാളസ് അഡിസൺ, ടിഎക്സ് ഫോൺ: 972-818-7423 ഫാക്സ്: 972-818-2924 ഡിട്രോയിറ്റ് നോവി, എം.ഐ ഫോൺ: 248-848-4000 ഹൂസ്റ്റൺ, TX ഫോൺ: 281-894-5983 ഇൻഡ്യാനപൊളിസ് നോബിൾസ്വില്ലെ, IN ഫോൺ: 317-773-8323 ഫാക്സ്: 317-773-5453 ഫോൺ: 317-536-2380 ലോസ് ഏഞ്ചൽസ് മിഷൻ വീജോ, CA ഫോൺ: 949-462-9523 ഫാക്സ്: 949-462-9608 ഫോൺ: 951-273-7800 റാലി, എൻസി ഫോൺ: 919-844-7510 ന്യൂയോർക്ക്, NY ഫോൺ: 631-435-6000 സാൻ ജോസ്, CA ഫോൺ: 408-735-9110 ഫോൺ: 408-436-4270 കാനഡ - ടൊറൻ്റോ ഫോൺ: 905-695-1980 ഫാക്സ്: 905-695-2078 |
ഓസ്ട്രേലിയ - സിഡ്നി ഫോൺ: 61-2-9868-6733 ചൈന - ബീജിംഗ് ഫോൺ: 86-10-8569-7000 ചൈന - ചെങ്ഡു ഫോൺ: 86-28-8665-5511 ചൈന - ചോങ്കിംഗ് ഫോൺ: 86-23-8980-9588 ചൈന - ഡോംഗുവാൻ ഫോൺ: 86-769-8702-9880 ചൈന - ഗ്വാങ്ഷു ഫോൺ: 86-20-8755-8029 ചൈന - ഹാങ്സോ ഫോൺ: 86-571-8792-8115 ചൈന - ഹോങ്കോംഗ് SAR ഫോൺ: 852-2943-5100 ചൈന - നാൻജിംഗ് ഫോൺ: 86-25-8473-2460 ചൈന - ക്വിംഗ്ദാവോ ഫോൺ: 86-532-8502-7355 ചൈന - ഷാങ്ഹായ് ഫോൺ: 86-21-3326-8000 ചൈന - ഷെന്യാങ് ഫോൺ: 86-24-2334-2829 ചൈന - ഷെൻഷെൻ ഫോൺ: 86-755-8864-2200 ചൈന - സുഷു ഫോൺ: 86-186-6233-1526 ചൈന - വുഹാൻ ഫോൺ: 86-27-5980-5300 ചൈന - സിയാൻ ഫോൺ: 86-29-8833-7252 ചൈന - സിയാമെൻ ഫോൺ: 86-592-2388138 ചൈന - സുഹായ് ഫോൺ: 86-756-3210040 |
ഇന്ത്യ - ബാംഗ്ലൂർ ഫോൺ: 91-80-3090-4444 ഇന്ത്യ - ന്യൂഡൽഹി ഫോൺ: 91-11-4160-8631 ഇന്ത്യ - പൂനെ ഫോൺ: 91-20-4121-0141 ജപ്പാൻ - ഒസാക്ക ഫോൺ: 81-6-6152-7160 ജപ്പാൻ - ടോക്കിയോ ഫോൺ: 81-3-6880- 3770 കൊറിയ - ഡേഗു ഫോൺ: 82-53-744-4301 കൊറിയ - സിയോൾ ഫോൺ: 82-2-554-7200 മലേഷ്യ - ക്വാലാലംപൂർ ഫോൺ: 60-3-7651-7906 മലേഷ്യ - പെനാങ് ഫോൺ: 60-4-227-8870 ഫിലിപ്പീൻസ് - മനില ഫോൺ: 63-2-634-9065 സിംഗപ്പൂർ ഫോൺ: 65-6334-8870 തായ്വാൻ - ഹ്സിൻ ചു ഫോൺ: 886-3-577-8366 തായ്വാൻ - കയോസിയുങ് ഫോൺ: 886-7-213-7830 തായ്വാൻ - തായ്പേയ് ഫോൺ: 886-2-2508-8600 തായ്ലൻഡ് - ബാങ്കോക്ക് ഫോൺ: 66-2-694-1351 വിയറ്റ്നാം - ഹോ ചി മിൻ ഫോൺ: 84-28-5448-2100 |
ഓസ്ട്രിയ - വെൽസ് ഫോൺ: 43-7242-2244-39 ഫാക്സ്: 43-7242-2244-393 ഡെന്മാർക്ക് - കോപ്പൻഹേഗൻ ഫോൺ: 45-4485-5910 ഫാക്സ്: 45-4485-2829 ഫിൻലാൻഡ് - എസ്പൂ ഫോൺ: 358-9-4520-820 ഫ്രാൻസ് - പാരീസ് Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 ജർമ്മനി - ഗാർച്ചിംഗ് ഫോൺ: 49-8931-9700 ജർമ്മനി - ഹാൻ ഫോൺ: 49-2129-3766400 ജർമ്മനി - Heilbronn ഫോൺ: 49-7131-72400 ജർമ്മനി - കാൾസ്റൂഹെ ഫോൺ: 49-721-625370 ജർമ്മനി - മ്യൂണിക്ക് Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 ജർമ്മനി - റോസൻഹൈം ഫോൺ: 49-8031-354-560 ഇസ്രായേൽ - രാനാന ഫോൺ: 972-9-744-7705 ഇറ്റലി - മിലാൻ ഫോൺ: 39-0331-742611 ഫാക്സ്: 39-0331-466781 ഇറ്റലി - പഡോവ ഫോൺ: 39-049-7625286 നെതർലാൻഡ്സ് - ഡ്രൂണൻ ഫോൺ: 31-416-690399 ഫാക്സ്: 31-416-690340 നോർവേ - ട്രോൻഡ്ഹൈം ഫോൺ: 47-72884388 പോളണ്ട് - വാർസോ ഫോൺ: 48-22-3325737 റൊമാനിയ - ബുക്കാറസ്റ്റ് Tel: 40-21-407-87-50 സ്പെയിൻ - മാഡ്രിഡ് Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 സ്വീഡൻ - ഗോഥെൻബെർഗ് Tel: 46-31-704-60-40 സ്വീഡൻ - സ്റ്റോക്ക്ഹോം ഫോൺ: 46-8-5090-4654 യുകെ - വോക്കിംഗ്ഹാം ഫോൺ: 44-118-921-5800 ഫാക്സ്: 44-118-921-5820 |
© 2023 Microchip Technology Inc. ഉം അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളും
DS50003627A –
പ്രമാണങ്ങൾ / വിഭവങ്ങൾ
![]() |
മൈക്രോചിപ്പ് ലിബെറോ SoC സിമുലേഷൻ ലൈബ്രറി സോഫ്റ്റ്വെയർ [pdf] ഉപയോക്തൃ ഗൈഡ് DS50003627A, Libero SoC സിമുലേഷൻ ലൈബ്രറി സോഫ്റ്റ്വെയർ, SoC സിമുലേഷൻ ലൈബ്രറി സോഫ്റ്റ്വെയർ, സിമുലേഷൻ ലൈബ്രറി സോഫ്റ്റ്വെയർ, ലൈബ്രറി സോഫ്റ്റ്വെയർ, സോഫ്റ്റ്വെയർ |