ಲಿಬೆರೊ SoC ಸಿಮ್ಯುಲೇಶನ್
ಲೈಬ್ರರಿ ಸೆಟಪ್ ಸೂಚನೆಗಳು
ಪರಿಚಯ
ಲಿಬೆರೊ SoC ಪ್ರಾಜೆಕ್ಟ್ ಅನ್ನು ಇನ್ಪುಟ್ ಆಗಿ ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರವನ್ನು ಹೊಂದಿಸುವ ವಿಧಾನವನ್ನು ವಿವರಿಸುವುದು ಈ ಡಾಕ್ಯುಮೆಂಟ್ನ ಉದ್ದೇಶವಾಗಿದೆ. ಈ ದಸ್ತಾವೇಜನ್ನು Libero SoC v11.9 ಮತ್ತು ಹೊಸ ಸಾಫ್ಟ್ವೇರ್ ಬಿಡುಗಡೆಗಳೊಂದಿಗೆ ಬಳಸಲು ಒದಗಿಸಲಾದ ಪೂರ್ವ-ಸಂಕಲಿಸಿದ ಲೈಬ್ರರಿಗಳಿಗೆ ಅನುರೂಪವಾಗಿದೆ. ಒದಗಿಸಿದ ಗ್ರಂಥಾಲಯಗಳನ್ನು ವೆರಿಲೋಗ್ಗಾಗಿ ಸಂಕಲಿಸಲಾಗಿದೆ. VHDL ಬಳಕೆದಾರರಿಗೆ ಮಿಶ್ರ-ಮೋಡ್ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಅನುಮತಿಸುವ ಪರವಾನಗಿ ಅಗತ್ಯವಿರುತ್ತದೆ.
ಸಂಕಲಿಸಲಾದ ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳು ಈ ಕೆಳಗಿನ ಪರಿಕರಗಳಿಗಾಗಿ ಲಭ್ಯವಿದೆ:
- ಅಲ್ಡೆಕ್ ಆಕ್ಟಿವ್-ಎಚ್ಡಿಎಲ್
- ಅಲ್ಡೆಕ್ ರಿವೇರಿಯಾ-PRO
- Cadence Incisive Enterprise ಮತ್ತು Xcelium
- ಸೀಮೆನ್ಸ್ ಕ್ವೆಸ್ಟಾಸಿಮ್
- ಸಾರಾಂಶ VCS
ಬೇರೆ ಸಿಮ್ಯುಲೇಟರ್ಗಾಗಿ ಲೈಬ್ರರಿಯನ್ನು ವಿನಂತಿಸಲು, ಸಂಪರ್ಕಿಸಿ ಮೈಕ್ರೋಚಿಪ್ ತಾಂತ್ರಿಕ ಬೆಂಬಲ.
ಲಿಬೆರೊ SoC ಇಂಟಿಗ್ರೇಷನ್
Run.do ಅನ್ನು ರಚಿಸುವ ಮೂಲಕ ModelSim ME ಅನ್ನು ಬಳಸಿಕೊಂಡು Libero SoC ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ file. ಈ file ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಹೊಂದಿಸಲು ಮತ್ತು ರನ್ ಮಾಡಲು ModelSim ME/ModelSim Pro ME ನಿಂದ ಬಳಸಲ್ಪಡುತ್ತದೆ. ಇತರ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳನ್ನು ಬಳಸಲು, ನೀವು ModelSim ME/ModelSim Pro ME run.do ಅನ್ನು ರಚಿಸಬಹುದು ಮತ್ತು Tcl ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಮಾರ್ಪಡಿಸಬಹುದು file ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಟರ್ಗೆ ಹೊಂದಿಕೆಯಾಗುವ ಆಜ್ಞೆಗಳನ್ನು ಬಳಸಲು.
1.1 ಲಿಬೆರೊ SoC Tcl File ಪೀಳಿಗೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
Libero SoC ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಿದ ನಂತರ, ಎಲ್ಲಾ ವಿನ್ಯಾಸ ಹಂತಗಳ ಅಡಿಯಲ್ಲಿ (ಪ್ರಿಸಿಂತ್, ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್) ಮಾಡೆಲ್ಸಿಮ್ ME/ModelSim Pro ME ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ. ಈ ಹಂತವು run.do ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ file ಪ್ರತಿ ವಿನ್ಯಾಸ ಹಂತಕ್ಕೆ ModelSim ME/ModelSim Pro ME ಗಾಗಿ.
ಪ್ರಮುಖ: ಪ್ರತಿ ಸಿಮ್ಯುಲೇಶನ್ ರನ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿದ ನಂತರ, ಸ್ವಯಂ-ರಚಿತವಾದ run.do ಅನ್ನು ಮರುಹೆಸರಿಸಿ file ಲಿಬೆರೊ SoC ಅನ್ನು ಮೇಲ್ಬರಹ ಮಾಡುವುದನ್ನು ತಡೆಯಲು ಸಿಮ್ಯುಲೇಶನ್ ಡೈರೆಕ್ಟರಿ ಅಡಿಯಲ್ಲಿ file. ಉದಾಹರಣೆಗೆampಲೆ, ದಿ files ಅನ್ನು presynth_run.do, postsynth_run.do ಮತ್ತು postlayout_run.do ಎಂದು ಮರುಹೆಸರಿಸಬಹುದು.
ಸಕ್ರಿಯ-ಎಚ್ಡಿಎಲ್ ಮತ್ತು ರಿವೇರಿಯಾ-ಪ್ರೊಗಾಗಿ ಅಲ್ಡೆಕ್ ಸೆಟಪ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ರನ್.ಡು file ModelSim ME/ModelSim Pro ME ಅನ್ನು ಮಾರ್ಪಡಿಸಬಹುದು ಮತ್ತು Aldec ಸಿಮ್ಯುಲೇಟರ್ಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ ಬಳಸಬಹುದು.
2.1 ಎನ್ವಿರಾನ್ಮೆಂಟ್ ವೇರಿಯಬಲ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ನಿಮ್ಮ ಪರವಾನಗಿಗೆ ನಿಮ್ಮ ಪರಿಸರ ವೇರಿಯಬಲ್ ಅನ್ನು ಹೊಂದಿಸಿ file ಸ್ಥಳ:
LM_LICENSE_FILE: ಪರವಾನಗಿ ಸರ್ವರ್ಗೆ ಪಾಯಿಂಟರ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು.
2.2 ಕಂಪೈಲ್ಡ್ ಲೈಬ್ರರಿಯನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಮೈಕ್ರೋಚಿಪ್ನಿಂದ Aldec Active-HDL ಮತ್ತು Aldec Riviera-PRO ಗಾಗಿ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ webಸೈಟ್.
2.3 Aldec ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ run.do ಅನ್ನು ಪರಿವರ್ತಿಸುವುದು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ರನ್.ಡು fileActive-HDL ಮತ್ತು Riviera-Pro ಉಪಕರಣವನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗಾಗಿ Libero SoC ನಿಂದ ರಚಿಸಲಾದ s ಅನ್ನು ಒಂದೇ ಬದಲಾವಣೆಯೊಂದಿಗೆ ಸಕ್ರಿಯ-HDL ಮತ್ತು ರಿವೇರಿಯಾ-ಪ್ರೊ ಬಳಸಿ ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗೆ ಬಳಸಬಹುದು. ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ModelSim run.do ನಲ್ಲಿ ಮಾರ್ಪಡಿಸಲು Aldec-ಸಮಾನ ಆಜ್ಞೆಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ file.
ಕೋಷ್ಟಕ 2-1. ಆಲ್ಡೆಕ್ ಸಮಾನ ಆಜ್ಞೆಗಳು
ಮಾಡೆಲ್ ಸಿಮ್ | ಸಕ್ರಿಯ-ಎಚ್ಡಿಎಲ್ |
ವ್ಲಾಗ್ | ಅಲೋಗ್ |
vcom | acom |
vlib | ಅಲಿಬ್ |
vsim | ಅಸಿಮ್ |
vmap | ಅಮಾಪ್ |
ಕೆಳಗಿನಂತಿದೆample run.do ಅಲ್ಡೆಕ್ ಸಿಮ್ಯುಲೇಟರ್ಗಳಿಗೆ ಸಂಬಂಧಿಸಿದೆ.
- ಪ್ರಸ್ತುತ ಕಾರ್ಯನಿರ್ವಹಿಸುವ ಡೈರೆಕ್ಟರಿಯ ಸ್ಥಳವನ್ನು ಹೊಂದಿಸಿ.
ಡಿಎಸ್ಎನ್ ಅನ್ನು ಹೊಂದಿಸಿ - ಕೆಲಸ ಮಾಡುವ ಲೈಬ್ರರಿ ಹೆಸರನ್ನು ಹೊಂದಿಸಿ, ಅದರ ಸ್ಥಳವನ್ನು ನಕ್ಷೆ ಮಾಡಿ, ತದನಂತರ ಮೈಕ್ರೋಚಿಪ್ FPGA ಕುಟುಂಬದ ಸ್ಥಳವನ್ನು ನಕ್ಷೆ ಮಾಡಿ
ಪೂರ್ವಸಂಯೋಜಿತ ಗ್ರಂಥಾಲಯಗಳು (ಉದಾample, SmartFusion2) ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ನೀವು ಚಲಾಯಿಸುತ್ತಿರುವಿರಿ.
ಅಲಿಬ್ ಪ್ರಿಸಿಂತ್
ಅಮಾಪ್ ಪ್ರಿಸಿಂತ್ ಪ್ರಿಸಿಂತ್
amap SmartFusion2 - ಅಗತ್ಯವಿರುವ ಎಲ್ಲಾ HDL ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ fileಅಗತ್ಯವಿರುವ ಗ್ರಂಥಾಲಯದೊಂದಿಗೆ ವಿನ್ಯಾಸದಲ್ಲಿ ಬಳಸಲಾಗಿದೆ.
alog-work presynth temp.v (Verilog ಗಾಗಿ)
ಲಾಗ್-ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ testbench.v
acom –work presynth temp.vhd (Vhdl ಗಾಗಿ)
acom –work presynth testbench.vhd - ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸಿ.
asim -L SmartFusion2 -L ಪ್ರಿಸಿಂತ್ -t 1ps presynth.testbench
10US ರನ್ ಮಾಡಿ
2.4 ತಿಳಿದಿರುವ ಸಮಸ್ಯೆಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಈ ವಿಭಾಗವು ತಿಳಿದಿರುವ ಸಮಸ್ಯೆಗಳು ಮತ್ತು ಮಿತಿಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ.
- ರಿವೇರಿಯಾ-PRO ಬಳಸಿ ಸಂಕಲಿಸಿದ ಗ್ರಂಥಾಲಯಗಳು ಪ್ಲಾಟ್ಫಾರ್ಮ್ ನಿರ್ದಿಷ್ಟವಾಗಿವೆ (ಅಂದರೆ 64-ಬಿಟ್ ಲೈಬ್ರರಿಗಳನ್ನು 32-ಬಿಟ್ ಪ್ಲಾಟ್ಫಾರ್ಮ್ನಲ್ಲಿ ಚಲಾಯಿಸಲಾಗುವುದಿಲ್ಲ ಮತ್ತು ಪ್ರತಿಯಾಗಿ).
- SERDES/MDDR/FDDR ಹೊಂದಿರುವ ವಿನ್ಯಾಸಗಳಿಗಾಗಿ, ನಿಮ್ಮ run.do ನಲ್ಲಿ ಈ ಕೆಳಗಿನ ಆಯ್ಕೆಯನ್ನು ಬಳಸಿ fileಅವುಗಳ ವಿನ್ಯಾಸಗಳನ್ನು ಕಂಪೈಲ್ ಮಾಡಿದ ನಂತರ ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಾಲನೆ ಮಾಡುವಾಗ ರು:
- ಸಕ್ರಿಯ-HDL: asim -o2
– ರಿವೇರಿಯಾ-PRO: asim –O2 (ಪ್ರಿಸಿಂತ್ ಮತ್ತು ನಂತರದ ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗಾಗಿ) ಮತ್ತು asim –O5 (ನಂತರದ ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗಾಗಿ)
Active-HDL ಮತ್ತು Riviera-Pro ಗಾಗಿ Aldec ಸೆಟಪ್ ಕೆಳಗಿನ ಬಾಕಿ ಉಳಿದಿರುವ SAR ಗಳನ್ನು ಹೊಂದಿದೆ. ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ, ಸಂಪರ್ಕಿಸಿ ಮೈಕ್ರೋಚಿಪ್ ತಾಂತ್ರಿಕ ಬೆಂಬಲ. - SAR 49908 - ಸಕ್ರಿಯ-HDL: ಗಣಿತ ಬ್ಲಾಕ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗಾಗಿ VHDL ದೋಷ
- SAR 50627 - ರಿವೇರಿಯಾ-PRO 2013.02: SERDES ವಿನ್ಯಾಸಗಳಿಗೆ ಸಿಮ್ಯುಲೇಶನ್ ದೋಷಗಳು
- SAR 50461 – ರಿವೇರಿಯಾ-PRO: ಸಿಮ್ಯುಲೇಶನ್ಗಳಲ್ಲಿ asim -O2/-O5 ಆಯ್ಕೆ
ಕ್ಯಾಡೆನ್ಸ್ ಇನ್ಸೈಸಿವ್ ಸೆಟಪ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ನೀವು ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರಚಿಸಬೇಕಾಗಿದೆ file ModelSim ME/ModelSim Pro ME run.do ಅನ್ನು ರನ್ ಮಾಡಲು ಹೋಲುತ್ತದೆ
ಕ್ಯಾಡೆನ್ಸ್ ಇನ್ಸೈಸಿವ್ ಸಿಮ್ಯುಲೇಟರ್. ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ ಮತ್ತು ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರಚಿಸಿ file NCSim ಗಾಗಿ ಅಥವಾ ಸ್ಕ್ರಿಪ್ಟ್ ಬಳಸಿ file
ModelSim ME/ModelSim Pro ME run.do ಅನ್ನು ಪರಿವರ್ತಿಸಲು ಒದಗಿಸಲಾಗಿದೆ fileಸಂರಚನೆಯಲ್ಲಿ ರು files
NCSim ಅನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಲಾಯಿಸಲು ಅಗತ್ಯವಿದೆ.
ಪ್ರಮುಖ: ಕ್ಯಾಡೆನ್ಸ್ Incisive Enterprise ನ ಹೊಸ ಆವೃತ್ತಿಗಳನ್ನು ಬಿಡುಗಡೆ ಮಾಡುವುದನ್ನು ನಿಲ್ಲಿಸಿದೆ
ಸಿಮ್ಯುಲೇಟರ್ ಮತ್ತು Xcelium ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ಬೆಂಬಲಿಸಲು ಪ್ರಾರಂಭಿಸಿತು.
3.1 ಪರಿಸರ ಅಸ್ಥಿರ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
Cadence Incisive ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ಚಲಾಯಿಸಲು, ಈ ಕೆಳಗಿನ ಪರಿಸರ ವೇರಿಯೇಬಲ್ಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ:
- LM_LICENSE_FILE: ಪರವಾನಗಿಗೆ ಪಾಯಿಂಟರ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು file.
- cds_root: ಕ್ಯಾಡೆನ್ಸ್ ಇನ್ಸೈಸಿವ್ ಇನ್ಸ್ಟಾಲೇಶನ್ನ ಹೋಮ್ ಡೈರೆಕ್ಟರಿ ಸ್ಥಳಕ್ಕೆ ಸೂಚಿಸಬೇಕು.
- PATH: cds_root ಮೂಲಕ ಸೂಚಿಸಲಾದ ಪರಿಕರಗಳ ಡೈರೆಕ್ಟರಿಯ ಅಡಿಯಲ್ಲಿ ಬಿನ್ ಸ್ಥಳವನ್ನು ಸೂಚಿಸಬೇಕು, ಅಂದರೆ,
$cds_root/tools/bin/64bit (64-ಬಿಟ್ ಯಂತ್ರಕ್ಕೆ ಮತ್ತು $cds_root/tools/bin 32-ಬಿಟ್ ಯಂತ್ರಕ್ಕಾಗಿ).
64-ಬಿಟ್ ಮತ್ತು 32-ಬಿಟ್ ಆಪರೇಟಿಂಗ್ ಸಿಸ್ಟಂಗಳ ನಡುವಿನ ಬದಲಾವಣೆಯ ಸಂದರ್ಭದಲ್ಲಿ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರವನ್ನು ಹೊಂದಿಸಲು ಮೂರು ಮಾರ್ಗಗಳಿವೆ:
ಪ್ರಕರಣ 1: PATH ವೇರಿಯೇಬಲ್
ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿ:
ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ = (install_dir/tools/bin/64bit $path) 64bit ಯಂತ್ರಗಳಿಗೆ ಮತ್ತು
32ಬಿಟ್ ಯಂತ್ರಗಳಿಗೆ ಪಥವನ್ನು ಹೊಂದಿಸಿ = (install_dir/tools/bin $path).
ಪ್ರಕರಣ 2: -64bit ಕಮಾಂಡ್-ಲೈನ್ ಆಯ್ಕೆಯನ್ನು ಬಳಸುವುದು
ಕಮಾಂಡ್-ಲೈನ್ನಲ್ಲಿ 64ಬಿಟ್ ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ ಅನ್ನು ಆಹ್ವಾನಿಸಲು -64ಬಿಟ್ ಆಯ್ಕೆಯನ್ನು ಸೂಚಿಸಿ.
ಪ್ರಕರಣ 3: INCA_64BIT ಅಥವಾ CDS_AUTO_64BIT ಎನ್ವಿರಾನ್ಮೆಂಟ್ ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಲಾಗುತ್ತಿದೆ
INCA_64BIT ವೇರಿಯೇಬಲ್ ಅನ್ನು ಬೂಲಿಯನ್ ಎಂದು ಪರಿಗಣಿಸಲಾಗುತ್ತದೆ. ನೀವು ಈ ವೇರಿಯಬಲ್ ಅನ್ನು ಯಾವುದೇ ಮೌಲ್ಯಕ್ಕೆ ಅಥವಾ ಶೂನ್ಯ ಸ್ಟ್ರಿಂಗ್ಗೆ ಹೊಂದಿಸಬಹುದು.
setenv INCA_64BIT
ಪ್ರಮುಖ: ದಿ INCA_64BIT ಪರಿಸರ ವೇರಿಯೇಬಲ್ IC ಪರಿಕರಗಳಂತಹ ಇತರ ಕ್ಯಾಡೆನ್ಸ್ ಪರಿಕರಗಳ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರುವುದಿಲ್ಲ. ಆದಾಗ್ಯೂ, Incisive ಉಪಕರಣಗಳಿಗಾಗಿ, INCA_64BIT ವೇರಿಯೇಬಲ್ CDS_AUTO_64BIT ಪರಿಸರ ವೇರಿಯೇಬಲ್ಗಾಗಿ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. INCA_64BIT ಪರಿಸರ ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಿದರೆ, ಎಲ್ಲಾ ಇನ್ಸೈವ್ ಉಪಕರಣಗಳು 64-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಆಗುತ್ತವೆ. setenv CDS_AUTO_64BIT ಒಳಗೊಂಡಿದೆ:INCA
ಪ್ರಮುಖ: ದಿ INCA ಸ್ಟ್ರಿಂಗ್ ದೊಡ್ಡಕ್ಷರದಲ್ಲಿರಬೇಕು. ಎಲ್ಲಾ ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ಗಳನ್ನು 32-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ಅಥವಾ 64-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಬೇಕು, ಕೆಳಗಿನಂತೆ ಒಂದು ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ ಅನ್ನು ಸೇರಿಸಲು ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಬೇಡಿ:
setenv CDS_AUTO_64BIT ಒಳಗೊಂಡಿದೆ:ncelab
IC ಪರಿಕರಗಳಂತಹ ಇತರ ಕ್ಯಾಡೆನ್ಸ್ ಉಪಕರಣಗಳು 64-ಬಿಟ್ ಅಥವಾ 32-ಬಿಟ್ ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ಗಳ ಆಯ್ಕೆಯನ್ನು ನಿಯಂತ್ರಿಸಲು CDS_AUTO_64BIT ಪರಿಸರ ವೇರಿಯಬಲ್ ಅನ್ನು ಸಹ ಬಳಸುತ್ತವೆ. ಕೆಳಗಿನ ಕೋಷ್ಟಕವು CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ ಅನ್ನು ಎಲ್ಲಾ ಮೋಡ್ಗಳಲ್ಲಿ Incisive ಉಪಕರಣಗಳು ಮತ್ತು IC ಪರಿಕರಗಳನ್ನು ಚಲಾಯಿಸಲು ಹೇಗೆ ಹೊಂದಿಸಬಹುದು ಎಂಬುದನ್ನು ತೋರಿಸುತ್ತದೆ.
ಕೋಷ್ಟಕ 3-1. CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ಗಳು
CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ | ಛೇದಕ ಉಪಕರಣಗಳು | IC ಪರಿಕರಗಳು |
setenv CDS_AUTO_64BIT ALL | 64 ಬಿಟ್ | 64 ಬಿಟ್ |
setenv CDS_AUTO_64BIT ಇಲ್ಲ | 32 ಬಿಟ್ | 32 ಬಿಟ್ |
setenv CDS_AUTO_64BIT ಹೊರತುಪಡಿಸಿ:ic_binary | 64 ಬಿಟ್ | 32 ಬಿಟ್ |
setenv CDS_AUTO_64BIT ಹೊರತುಪಡಿಸಿ:INCA | 32 ಬಿಟ್ | 64 ಬಿಟ್ |
ಪ್ರಮುಖ: ಎಲ್ಲಾ ಛೇದಕ ಸಾಧನಗಳನ್ನು 32-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ಅಥವಾ 64-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಬೇಕು, ಈ ಕೆಳಗಿನಂತೆ ನಿರ್ದಿಷ್ಟ ಕಾರ್ಯಗತಗೊಳಿಸುವಿಕೆಯನ್ನು ಹೊರಗಿಡಲು EXCLUDE ಅನ್ನು ಬಳಸಬೇಡಿ: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Incisive tools (setenv CDS_AUTO_64BIT EXCLUDE:INCA) ಅನ್ನು ಹೊರಗಿಡಲು ನೀವು CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಿದರೆ, ಎಲ್ಲಾ ಛೇದಕ ಉಪಕರಣಗಳು 32-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಆಗುತ್ತವೆ. ಆದಾಗ್ಯೂ, -64bit ಕಮಾಂಡ್-ಲೈನ್ ಆಯ್ಕೆಯು ಪರಿಸರ ವೇರಿಯಬಲ್ ಅನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಸಂರಚನೆ fileನಿಮ್ಮ ಡೇಟಾವನ್ನು ನಿರ್ವಹಿಸಲು ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳು ಮತ್ತು ಉಪಯುಕ್ತತೆಗಳ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿಯಂತ್ರಿಸಲು ನಿಮಗೆ ಸಹಾಯ ಮಾಡುತ್ತದೆ:
- ಲೈಬ್ರರಿ ಮ್ಯಾಪಿಂಗ್ file (cds.lib)-ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಸ್ಥಳಕ್ಕಾಗಿ ತಾರ್ಕಿಕ ಹೆಸರನ್ನು ವಿವರಿಸುತ್ತದೆ.
- ಗ್ರಂಥಾಲಯಗಳು ಮತ್ತು ಅವುಗಳನ್ನು ಭೌತಿಕ ಡೈರೆಕ್ಟರಿ ಹೆಸರುಗಳೊಂದಿಗೆ ಸಂಯೋಜಿಸುತ್ತದೆ.
- ಅಸ್ಥಿರ file (hdl.var)-ಸಿಮ್ಯುಲೇಶನ್ ಉಪಕರಣಗಳು ಮತ್ತು ಉಪಯುಕ್ತತೆಗಳ ವರ್ತನೆಯ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರುವ ಅಸ್ಥಿರಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ.
3.2 ಕಂಪೈಲ್ಡ್ ಲೈಬ್ರರಿಯನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
Microsemi's ನಿಂದ Cadence Incisive ಗಾಗಿ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ webಸೈಟ್.
3.3 NCSim ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರಚಿಸುವುದು File (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
run.do ನ ನಕಲನ್ನು ರಚಿಸಿದ ನಂತರ files, NCSim ಅನ್ನು ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಈ ಹಂತಗಳನ್ನು ನಿರ್ವಹಿಸಿ:
- cds.lib ಅನ್ನು ರಚಿಸಿ file ಅದು ಪ್ರವೇಶಿಸಬಹುದಾದ ಗ್ರಂಥಾಲಯಗಳು ಮತ್ತು ಅವುಗಳ ಸ್ಥಳವನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತದೆ. ದಿ file ಲೈಬ್ರರಿಯ ತಾರ್ಕಿಕ ಹೆಸರುಗಳನ್ನು ಅವುಗಳ ಭೌತಿಕ ಡೈರೆಕ್ಟರಿ ಮಾರ್ಗಗಳಿಗೆ ನಕ್ಷೆ ಮಾಡುವ ಹೇಳಿಕೆಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. ಉದಾಹರಣೆಗೆample, ನೀವು ಪ್ರಿಸಿಂತ್ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಾಲನೆ ಮಾಡುತ್ತಿದ್ದರೆ, cds.lib file ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ಬರೆಯಲಾಗಿದೆ.
ಪ್ರಿಸಿಂತ್ ./ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
COREAHBLITE_LIB ./COREAHBLITE_LIB ಅನ್ನು ವಿವರಿಸಿ
ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್ 2 ಅನ್ನು ವಿವರಿಸಿ - hdl.var ಅನ್ನು ರಚಿಸಿ file, ಐಚ್ಛಿಕ ಸಂರಚನೆ file ಇದು ಕಾನ್ಫಿಗರೇಶನ್ ವೇರಿಯಬಲ್ಗಳನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ, ಅದು ನಿಮ್ಮ ವಿನ್ಯಾಸ ಪರಿಸರವನ್ನು ಹೇಗೆ ಕಾನ್ಫಿಗರ್ ಮಾಡಲಾಗಿದೆ ಎಂಬುದನ್ನು ನಿರ್ಧರಿಸುತ್ತದೆ. ಕೆಳಗಿನ ವೇರಿಯಬಲ್ fileಗಳು ಸೇರಿವೆ:
- ಕಂಪೈಲರ್ ಸಂಕಲಿಸಿದ ವಸ್ತುಗಳು ಮತ್ತು ಇತರ ಪಡೆದ ಡೇಟಾವನ್ನು ಸಂಗ್ರಹಿಸುವ ಕೆಲಸದ ಲೈಬ್ರರಿಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಬಳಸಲಾಗುವ ವೇರಿಯೇಬಲ್ಗಳು.
- ವೆರಿಲಾಗ್ಗಾಗಿ, ವೇರಿಯೇಬಲ್ಗಳು (LIB_MAP, VIEW_MAP, WORK) ಲೈಬ್ರರಿಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಬಳಸಲಾಗುತ್ತದೆ ಮತ್ತು viewವಿವರಣಕಾರರು ನಿದರ್ಶನಗಳನ್ನು ಪರಿಹರಿಸಿದಾಗ ಹುಡುಕಲು ರು.
- ಕಂಪೈಲರ್, ಎಲಾಬೋರೇಟರ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಟರ್ ಕಮಾಂಡ್-ಲೈನ್ ಆಯ್ಕೆಗಳು ಮತ್ತು ಆರ್ಗ್ಯುಮೆಂಟ್ಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುವ ವೇರಿಯೇಬಲ್ಗಳು.
ಪ್ರಿಸಿಂತ್ ಸಿಮ್ಯುಲೇಶನ್ ಸಂದರ್ಭದಲ್ಲಿ ಮಾಜಿampಮೇಲೆ ತೋರಿಸಲಾಗಿದೆ, ನಾವು ಮೂರು RTL ಹೊಂದಿದ್ದೇವೆ ಎಂದು ಹೇಳಿ files: av, bv, ಮತ್ತು testbench.v, ಇವುಗಳನ್ನು ಕ್ರಮವಾಗಿ ಪ್ರಿಸಿಂತ್, COREAHBLITE_LIB ಮತ್ತು ಪ್ರಿಸಿಂತ್ ಲೈಬ್ರರಿಗಳಾಗಿ ಸಂಕಲಿಸಬೇಕಾಗಿದೆ. hdl.var file ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ಬರೆಯಬಹುದು.
ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
PROJECT_DIR ಅನ್ನು ವಿವರಿಸಿ files>
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/av => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/testbench.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, + => ಪ್ರಿಸಿಂತ್ ) - ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ filencvlog ಆಯ್ಕೆಯನ್ನು ಬಳಸುತ್ತಿದ್ದಾರೆ.
ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - ಎನ್ಸೆಲ್ಯಾಬ್ ಬಳಸಿ ವಿನ್ಯಾಸವನ್ನು ವಿವರಿಸಿ. ವಿನ್ಯಾಸದಲ್ಲಿ ತತ್ಕ್ಷಣ ಮತ್ತು ಕಾನ್ಫಿಗರೇಶನ್ ಮಾಹಿತಿಯ ಆಧಾರದ ಮೇಲೆ ವಿನ್ಯಾಸದ ಶ್ರೇಣಿಯನ್ನು ಎಲಾಬೋರೇಟರ್ ನಿರ್ಮಿಸುತ್ತದೆ, ಸಿಗ್ನಲ್ ಸಂಪರ್ಕವನ್ನು ಸ್ಥಾಪಿಸುತ್ತದೆ ಮತ್ತು ವಿನ್ಯಾಸದಲ್ಲಿನ ಎಲ್ಲಾ ವಸ್ತುಗಳಿಗೆ ಆರಂಭಿಕ ಮೌಲ್ಯಗಳನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ. ವಿಸ್ತೃತ ವಿನ್ಯಾಸ ಕ್ರಮಾನುಗತವನ್ನು ಸಿಮ್ಯುಲೇಶನ್ ಸ್ನ್ಯಾಪ್ಶಾಟ್ನಲ್ಲಿ ಸಂಗ್ರಹಿಸಲಾಗಿದೆ, ಇದು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸಿಮ್ಯುಲೇಟರ್ ಬಳಸುವ ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಪ್ರಾತಿನಿಧ್ಯವಾಗಿದೆ.
ncelab –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
ಪ್ರವೇಶ + rwc - ಸ್ಥಿತಿ ವರ್ಕ್ಲಿಬ್. :ಘಟಕ
ಲೇಔಟ್ ನಂತರದ ಸಿಮ್ಯುಲೇಶನ್ ಸಮಯದಲ್ಲಿ ವಿವರಣೆ
ಲೇಔಟ್ ನಂತರದ ಸಿಮ್ಯುಲೇಶನ್ಗಳ ಸಂದರ್ಭದಲ್ಲಿ, ಮೊದಲು SDF file ncsdfc ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ವಿಸ್ತಾರಗೊಳಿಸುವ ಮೊದಲು ಕಂಪೈಲ್ ಮಾಡಬೇಕಾಗುತ್ತದೆ.
ncsdfcfileಹೆಸರು>.sdf –ಔಟ್ಪುಟ್fileಹೆಸರು>.sdf.X
ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ –autosdf ಆಯ್ಕೆಯೊಂದಿಗೆ ಸಂಕಲಿಸಿದ SDF ಔಟ್ಪುಟ್ ಅನ್ನು ಬಳಸಿ.
ncelab -autosdf –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
15 -ಪ್ರವೇಶ + rwc - ಸ್ಥಿತಿ ವರ್ಕ್ಲಿಬ್. :ಮಾಡ್ಯೂಲ್ –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ಇರಬೇಕು.
COMPILED_SDF_FILE =" file>> - ncsim ಬಳಸಿ ಅನುಕರಿಸಿ. ವಿವರಣೆಯ ನಂತರ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ನ್ಯಾಪ್ಶಾಟ್ ಅನ್ನು ರಚಿಸಲಾಗುತ್ತದೆ, ಇದು ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ ncsim ನಿಂದ ಲೋಡ್ ಆಗುತ್ತದೆ. ನೀವು ಬ್ಯಾಚ್ ಮೋಡ್ ಅಥವಾ GUI ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಬಹುದು.
ncsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log -
ಎರರ್ಮ್ಯಾಕ್ಸ್ 15 - ಸ್ಥಿತಿ ವರ್ಕ್ಲಿಬ್. :ಘಟಕ
ಪ್ರಮುಖ: ಕಂಪೈಲಿಂಗ್, ವಿವರಿಸುವುದು ಮತ್ತು ಅನುಕರಿಸುವ ಮೇಲಿನ ಎಲ್ಲಾ ಮೂರು ಹಂತಗಳನ್ನು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ಗೆ ಹಾಕಬಹುದು file ಮತ್ತು ಕಮಾಂಡ್-ಲೈನ್ನಿಂದ ಪಡೆಯಲಾಗಿದೆ. ಈ ಮೂರು ಹಂತಗಳನ್ನು ಬಳಸುವ ಬದಲು, ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ವಿನ್ಯಾಸವನ್ನು ncverilog ಅಥವಾ irun ಆಯ್ಕೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಒಂದು ಹಂತದಲ್ಲಿ ಅನುಕರಿಸಬಹುದು.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileವಿನ್ಯಾಸದಲ್ಲಿ ಬಳಸಲಾಗಿದೆ>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
ವಿನ್ಯಾಸದಲ್ಲಿ ಬಳಸಲಾಗಿದೆ>
3.3.1 ತಿಳಿದಿರುವ ಸಮಸ್ಯೆಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಟೆಸ್ಟ್ಬೆಂಚ್ ವರ್ಕೌಂಡ್
ಬಳಕೆದಾರರಿಂದ ರಚಿಸಲಾದ ಟೆಸ್ಟ್ಬೆಂಚ್ನಲ್ಲಿ ಗಡಿಯಾರದ ಆವರ್ತನವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಈ ಕೆಳಗಿನ ಹೇಳಿಕೆಯನ್ನು ಬಳಸುವುದು ಅಥವಾ Libero SoC ನಿಂದ ರಚಿಸಲಾದ ಡೀಫಾಲ್ಟ್ ಟೆಸ್ಟ್ಬೆಂಚ್ NCSim ನೊಂದಿಗೆ ಕಾರ್ಯನಿರ್ವಹಿಸುವುದಿಲ್ಲ.
ಯಾವಾಗಲೂ @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಈ ಕೆಳಗಿನಂತೆ ಮಾರ್ಪಡಿಸಿ:
ಯಾವಾಗಲೂ #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
ಪ್ರಮುಖ: ಸಂಕಲಿಸಲಾಗಿದೆ NCSim ಗಾಗಿ ಲೈಬ್ರರಿಗಳು ಪ್ಲಾಟ್ಫಾರ್ಮ್ ನಿರ್ದಿಷ್ಟವಾಗಿವೆ (ಅಂದರೆ 64 ಬಿಟ್ ಲೈಬ್ರರಿಗಳು 32 ಬಿಟ್ ಪ್ಲಾಟ್ಫಾರ್ಮ್ಗೆ ಹೊಂದಿಕೆಯಾಗುವುದಿಲ್ಲ ಮತ್ತು ಪ್ರತಿಯಾಗಿ).
MSS ಮತ್ತು SERDES ಅನ್ನು ಬಳಸಿಕೊಂಡು ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳು MSS ಬ್ಲಾಕ್ ಅಥವಾ SERDES ಬಳಸಿಕೊಂಡು ವಿನ್ಯಾಸಗಳ ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಹೊಂದಿರುವ ವಿನ್ಯಾಸಗಳ ಪೋಸ್ಟ್ಸಿಂತ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಾಲನೆ ಮಾಡುವಾಗ, -libmap ಆಯ್ಕೆಯಾಗಿದ್ದರೆ BFM ಸಿಮ್ಯುಲೇಶನ್ಗಳು ಕಾರ್ಯನಿರ್ವಹಿಸುವುದಿಲ್ಲ.
ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ. ಏಕೆಂದರೆ ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ, MSS ಅನ್ನು ವರ್ಕ್ ಲೈಬ್ರರಿಯಿಂದ ಪರಿಹರಿಸಲಾಗುತ್ತದೆ (ಡೀಫಾಲ್ಟ್ ಬೈಂಡಿಂಗ್ ಮತ್ತು ವರ್ಕ್ಲಿಬ್ ಪೋಸ್ಟ್ಸಿಂತ್/ಪೋಸ್ಟ್-ಲೇಔಟ್ ಆಗಿರುವುದರಿಂದ) ಅಲ್ಲಿ ಅದು ಕೇವಲ ಸ್ಥಿರ ಕಾರ್ಯವಾಗಿದೆ.
MSS ಅನ್ನು ಪರಿಹರಿಸಲು ಕೆಳಗಿನ ಕೋಡ್ ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ncelab ಆಜ್ಞೆಯನ್ನು ಬರೆಯಬೇಕು
SmartFusion2 ಪ್ರಿಕಂಪೈಲ್ಡ್ ಲೈಬ್ರರಿಯಿಂದ ನಿರ್ಬಂಧಿಸಿ.
ncelab -libmap lib.map -libverbose -Message -access +rwc cfg1
ಮತ್ತು lib.map file ಈ ಕೆಳಗಿನಂತಿರಬೇಕು:
ಸಂರಚನಾ cfg1;
ವಿನ್ಯಾಸ ;
ಡೀಫಾಲ್ಟ್ ಲಿಬ್ಲಿಸ್ಟ್ ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್2 ;
endconfig
ಇದು ಕೆಲಸದ ಲೈಬ್ರರಿಯಲ್ಲಿ ನೋಡುವ ಮೊದಲು SmartFusion2 ಲೈಬ್ರರಿಯಲ್ಲಿರುವ ಯಾವುದೇ ಕೋಶವನ್ನು ಪರಿಹರಿಸುತ್ತದೆ ಅಂದರೆ postsynth/ post-layout.
ಪ್ರತಿ ಸಿಮ್ಯುಲೇಶನ್ಗೆ (ಪ್ರಿಸಿಂತ್, ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್) ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ -ಲಿಬ್ಮ್ಯಾಪ್ ಆಯ್ಕೆಯನ್ನು ಪೂರ್ವನಿಯೋಜಿತವಾಗಿ ಬಳಸಬಹುದು. ಇದು ಲೈಬ್ರರಿಗಳಿಂದ ನಿದರ್ಶನಗಳ ರೆಸಲ್ಯೂಶನ್ನಿಂದ ಉಂಟಾಗುವ ಸಿಮ್ಯುಲೇಶನ್ ಸಮಸ್ಯೆಗಳನ್ನು ತಪ್ಪಿಸುತ್ತದೆ.
ncelab: *F, INTERR: ಆಂತರಿಕ ವಿನಾಯಿತಿ
-libmap ಆಯ್ಕೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳ ಸಮಯದಲ್ಲಿ SmartFusion 2 ಮತ್ತು IGLOO 2 ನಲ್ಲಿ FDDR ಅನ್ನು ಒಳಗೊಂಡಿರುವ ವಿನ್ಯಾಸಗಳಿಗೆ ಈ ncelab ಟೂಲ್ ವಿನಾಯಿತಿ ಒಂದು ಎಚ್ಚರಿಕೆಯಾಗಿದೆ.
ಪ್ರಮುಖ: ಈ ಸಮಸ್ಯೆಯನ್ನು ಕ್ಯಾಡೆನ್ಸ್ ಬೆಂಬಲ ತಂಡಕ್ಕೆ (SAR 52113) ವರದಿ ಮಾಡಲಾಗಿದೆ.
3.4 ಎಸ್ample Tcl ಮತ್ತು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ Fileರು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ fileಗಳು ಸಂರಚನೆಯಾಗಿದೆ fileವಿನ್ಯಾಸ ಮತ್ತು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಹೊಂದಿಸಲು ರು ಅಗತ್ಯವಿದೆ file NCSim ಆಜ್ಞೆಗಳನ್ನು ಚಲಾಯಿಸಲು.
Cds.lib
NE ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್2 / scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB ಅನ್ನು ವಿವರಿಸಿ
ಪ್ರಿಸಿಂತ್ ./ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
Hdl.var
ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
ಡಿಫೈನ್ PROJECT_DIR /ಸ್ಕ್ರ್ಯಾಚ್/ಕ್ರಿಡಾರ್/ಟಿಎಂಪಿಸ್ಪೇಸ್/ಸ್ಕ್ವಾಸರ್ಸ್/ಮೀ/3ನೇ_ಪಾರ್ಟಿ_ಸಿಮ್ಯುಲೇಟರ್ಗಳು/ಕ್ಯಾಡೆನ್ಸ್/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/ ಅನ್ನು ವಿವರಿಸಿ
SB_top_SERDES_IF_0_SERDES_IF.v => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, + => ಪ್ರಿಸಿಂತ್ )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಎಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲಾಗ್/ಕೋರ್/
coreahblite_defaultslavesm.v
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಹೆಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲಾಗ್/ಕೋರ್/ಕೋರೆಹ್ಬ್ಲೈಟ್_ಮಾಸ್ಟರ್ಸ್tagಇವಿ
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಹೆಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲೋಗ್/ಕೋರ್/ಕೋರೆಹ್ಬ್ಲೈಟ್_ಸ್ಲಾವರ್ಬಿಟರ್.ವಿ
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಎಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲಾಗ್/ಕೋರ್/ಕೋರೆಹ್ಬ್ಲೈಟ್_ಸ್ಲೇವ್ಸ್tagಇವಿ
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../ಘಟಕ/ಕೆಲಸ/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../ಘಟಕ/ಕೆಲಸ/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ -ಲಾಗ್file ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -ಸ್ಥಿತಿ presynth.testbench:module
3.5 ಆಟೋಮೇಷನ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ ಸ್ಕ್ರಿಪ್ಟ್ file ModelSim run.do ಅನ್ನು ಪರಿವರ್ತಿಸುತ್ತದೆ fileಸಂರಚನೆಯಲ್ಲಿ ರು fileNCSim ಅನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಲಾಯಿಸಲು ರು ಅಗತ್ಯವಿದೆ.
ಸ್ಕ್ರಿಪ್ಟ್ File ಬಳಕೆ
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
ಕ್ಯಾಡೆನ್ಸ್_ಪ್ರಿಕಂಪೈಲ್ಡ್_ಲೈಬ್ರರಿಗಳ_ಸ್ಥಳ
Cadence_parser.pl
#!/usr/bin/perl -w
############################################### #########################################
###################
#ಬಳಕೆ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
ಮೈಕ್ರೋಸೆಮಿ_ಫ್ಯಾಮಿಲಿ ಪ್ರಿಕಂಪೈಲ್ಡ್_ಲೈಬ್ರರೀಸ್_ಸ್ಥಳ#
############################################### #########################################
###################
POSIX ಬಳಸಿ;
ಕಟ್ಟುನಿಟ್ಟಾಗಿ ಬಳಸಿ;
ನನ್ನ ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
ಉಪ questa_parser {
ನನ್ನ $ModelSim_run_do = $_[0];
ನನ್ನ $actel_family = $_[1];
ನನ್ನ $lib_location = $_[2];
ನನ್ನ $ರಾಜ್ಯ;
ವೇಳೆ (-e “$ModelSim_run_do”)
{
ತೆರೆಯಿರಿ (INFILE,”$ModelSim_run_do”);
ನನ್ನ @ModelSim_run_do =FILE>;
ನನ್ನ $ಲೈನ್;
ವೇಳೆ ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
ತೆರೆಯಿರಿ (ಔಟ್FILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$ರಾಜ್ಯ = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
ತೆರೆಯಿರಿ (ಔಟ್FILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$ರಾಜ್ಯ = $1;
} elsif ($ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
ತೆರೆಯಿರಿ (ಔಟ್FILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$ರಾಜ್ಯ = $1;
} ಬೇರೆ
{
"ತಪ್ಪಾದ ಇನ್ಪುಟ್ಗಳನ್ನು ನೀಡಲಾಗಿದೆ" ಎಂದು ಮುದ್ರಿಸಿ file\n”;
ಪ್ರಿಂಟ್ “#ಬಳಕೆ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Libraries_location\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#ಸಾಮಾನ್ಯ ಕಾರ್ಯಾಚರಣೆಗಳು
$ಲೈನ್ =~ s/..\/designer.*simulation\///g;
$ಲೈನ್ =~ s/$state/$state\_questa/g;
#ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
ವೇಳೆ ($ಲೈನ್ =~ m/vmap\s+.*($actel_family)/)
{
ಪ್ರಿಂಟ್ ಔಟ್FILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$ಲೈನ್ =~ s/..\/component/..\/..\/component/g;
ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
} ಎಲ್ಸಿಫ್ ($ಲೈನ್ =~ ಮೀ/ವಿಸಿಮ್/)
{
$ಲೈನ್ =~ s/vsim/vsim -novopt/g;
ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
} ಬೇರೆ
{
ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
}
}
ಸನಿಹFILE);
ಮುಚ್ಚುFILE);
} ಬೇರೆ {
ಮುದ್ರಿಸು “$ModelSim_run_do ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ. ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಮತ್ತೊಮ್ಮೆ ಮರುರನ್ ಮಾಡಿ \n”;
}
}
ಕ್ಯಾಡೆನ್ಸ್ Xcelium ಸೆಟಪ್ (ಮೈಕ್ರೋಚಿಪ್ ಲಾಗಿನ್)
ನೀವು ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರಚಿಸಬೇಕಾಗಿದೆ file Cadence Xcelium ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ಚಲಾಯಿಸಲು ModelSim ME/ModelSim Pro ME run.do ಅನ್ನು ಹೋಲುತ್ತದೆ. ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ ಮತ್ತು ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರಚಿಸಿ file Xcelium ಗಾಗಿ ಅಥವಾ ಸ್ಕ್ರಿಪ್ಟ್ ಬಳಸಿ file ModelSim ME/ModelSim Pro ME run.do ಅನ್ನು ಪರಿವರ್ತಿಸಲು ಒದಗಿಸಲಾಗಿದೆ fileಸಂರಚನೆಯಲ್ಲಿ ರು fileXcelium ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಲಾಯಿಸಲು ರು ಅಗತ್ಯವಿದೆ.
4.1 ಪರಿಸರ ಅಸ್ಥಿರ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
Cadence Xcelium ಅನ್ನು ಚಲಾಯಿಸಲು, ಈ ಕೆಳಗಿನ ಪರಿಸರ ವೇರಿಯೇಬಲ್ಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ:
- LM_LICENSE_FILE: ಪರವಾನಗಿಗೆ ಪಾಯಿಂಟರ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು file.
- cds_root: ಕ್ಯಾಡೆನ್ಸ್ ಇನ್ಸೈಸಿವ್ ಇನ್ಸ್ಟಾಲೇಶನ್ನ ಹೋಮ್ ಡೈರೆಕ್ಟರಿ ಸ್ಥಳಕ್ಕೆ ಸೂಚಿಸಬೇಕು.
- PATH: cds_root ಮೂಲಕ ಸೂಚಿಸಲಾದ ಪರಿಕರಗಳ ಡೈರೆಕ್ಟರಿ ಅಡಿಯಲ್ಲಿ ಬಿನ್ ಸ್ಥಳವನ್ನು ಸೂಚಿಸಬೇಕು (ಅಂದರೆ
$cds_root/tools/bin/64bit (64 ಬಿಟ್ ಯಂತ್ರಕ್ಕೆ ಮತ್ತು $cds_root/tools/bin ಗಾಗಿ 32 ಬಿಟ್
ಯಂತ್ರ).
64-ಬಿಟ್ ಮತ್ತು 32-ಬಿಟ್ ಆಪರೇಟಿಂಗ್ ಸಿಸ್ಟಂಗಳ ನಡುವಿನ ಬದಲಾವಣೆಯ ಸಂದರ್ಭದಲ್ಲಿ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರವನ್ನು ಹೊಂದಿಸಲು ಮೂರು ಮಾರ್ಗಗಳಿವೆ:
ಪ್ರಕರಣ 1: PATH ವೇರಿಯೇಬಲ್
ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ = (install_dir/tools/bin/64bit $path) 64bit ಯಂತ್ರಗಳಿಗೆ ಮತ್ತು
32ಬಿಟ್ ಯಂತ್ರಗಳಿಗೆ ಪಥವನ್ನು ಹೊಂದಿಸಿ = (install_dir/tools/bin $path).
ಪ್ರಕರಣ 2: -64bit ಕಮಾಂಡ್-ಲೈನ್ ಆಯ್ಕೆಯನ್ನು ಬಳಸುವುದು
ಕಮಾಂಡ್-ಲೈನ್ನಲ್ಲಿ 64-ಬಿಟ್ ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ ಅನ್ನು ಆಹ್ವಾನಿಸಲು -64ಬಿಟ್ ಆಯ್ಕೆಯನ್ನು ಸೂಚಿಸಿ.
ಪ್ರಕರಣ 3: INCA_64BIT ಅಥವಾ CDS_AUTO_64BIT ಎನ್ವಿರಾನ್ಮೆಂಟ್ ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಲಾಗುತ್ತಿದೆ
INCA_64BIT ವೇರಿಯೇಬಲ್ ಅನ್ನು ಬೂಲಿಯನ್ ಎಂದು ಪರಿಗಣಿಸಲಾಗುತ್ತದೆ. ನೀವು ಈ ವೇರಿಯಬಲ್ ಅನ್ನು ಯಾವುದೇ ಮೌಲ್ಯಕ್ಕೆ ಅಥವಾ ಶೂನ್ಯಕ್ಕೆ ಹೊಂದಿಸಬಹುದು
ಸ್ಟ್ರಿಂಗ್.
setenv INCA_64BIT
ಪ್ರಮುಖ: ದಿ INCA_64BIT ಪರಿಸರ ವೇರಿಯೇಬಲ್ IC ಪರಿಕರಗಳಂತಹ ಇತರ ಕ್ಯಾಡೆನ್ಸ್ ಪರಿಕರಗಳ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರುವುದಿಲ್ಲ. ಆದಾಗ್ಯೂ, Incisive ಟೂಲ್ಗಳಿಗಾಗಿ, INCA_64BIT ವೇರಿಯೇಬಲ್ CDS_AUTO_64BIT ಪರಿಸರ ವೇರಿಯಬಲ್ಗಾಗಿ ಸೆಟ್ಟಿಂಗ್ ಅನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ. INCA_64BIT ಎನ್ವಿರಾನ್ಮೆಂಟ್ ವೇರಿಯೇಬಲ್ et ಆಗಿದ್ದರೆ, ಎಲ್ಲಾ Incisive ಉಪಕರಣಗಳು 64-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಆಗುತ್ತವೆ.
setenv CDS_AUTO_64BIT ಒಳಗೊಂಡಿದೆ:INCA
ಪ್ರಮುಖ: ದಿ INCA ಸ್ಟ್ರಿಂಗ್ ದೊಡ್ಡಕ್ಷರದಲ್ಲಿರಬೇಕು. ಎಲ್ಲಾ ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ಗಳನ್ನು 2-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ಅಥವಾ 64-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಬೇಕು, ಕೆಳಗಿನಂತೆ ಒಂದು ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ ಅನ್ನು ಸೇರಿಸಲು ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಬೇಡಿ:
setenv CDS_AUTO_64BIT ಒಳಗೊಂಡಿದೆ:ncelab
IC ಪರಿಕರಗಳಂತಹ ಇತರ ಕ್ಯಾಡೆನ್ಸ್ ಉಪಕರಣಗಳು 64-ಬಿಟ್ ಅಥವಾ 32-ಬಿಟ್ ಎಕ್ಸಿಕ್ಯೂಟಬಲ್ಗಳ ಆಯ್ಕೆಯನ್ನು ನಿಯಂತ್ರಿಸಲು CDS_AUTO_64BIT ಪರಿಸರ ವೇರಿಯಬಲ್ ಅನ್ನು ಸಹ ಬಳಸುತ್ತವೆ. ಕೆಳಗಿನ ಕೋಷ್ಟಕವು CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ ಅನ್ನು ಎಲ್ಲಾ ಮೋಡ್ಗಳಲ್ಲಿ Incisive ಉಪಕರಣಗಳು ಮತ್ತು IC ಪರಿಕರಗಳನ್ನು ಚಲಾಯಿಸಲು ಹೇಗೆ ಹೊಂದಿಸಬಹುದು ಎಂಬುದನ್ನು ತೋರಿಸುತ್ತದೆ.
ಕೋಷ್ಟಕ 4-1. CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ಗಳು
CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ | ಛೇದಕ ಉಪಕರಣಗಳು | IC ಪರಿಕರಗಳು |
setenv CDS_AUTO_64BIT ALL | 64-ಬಿಟ್ | 64-ಬಿಟ್ |
setenv CDS_AUTO_64BIT ಇಲ್ಲ | 32-ಬಿಟ್ | 32-ಬಿಟ್ |
setenv CDS_AUTO_64BIT ಹೊರತುಪಡಿಸಿ:ic_binary |
64-ಬಿಟ್ | 32-ಬಿಟ್ |
setenv CDS_AUTO_64BIT ಹೊರತುಪಡಿಸಿ:INCA | 32-ಬಿಟ್ | 64-ಬಿಟ್ |
ಪ್ರಮುಖ: ಎಲ್ಲಾ ಛೇದಕ ಉಪಕರಣಗಳನ್ನು 32-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ಅಥವಾ 64-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ಚಲಾಯಿಸಬೇಕು, ಈ ಕೆಳಗಿನಂತೆ ನಿರ್ದಿಷ್ಟ ಕಾರ್ಯಗತಗೊಳಿಸುವಿಕೆಯನ್ನು ಹೊರಗಿಡಲು EXCLUDE ಅನ್ನು ಬಳಸಬೇಡಿ:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
ನೀವು CDS_AUTO_64BIT ವೇರಿಯೇಬಲ್ ಅನ್ನು ಹೊಂದಿಸಿದರೆ ಛೇದಕ ಉಪಕರಣಗಳನ್ನು (setenv) ಹೊರಗಿಡಲು
CDS_AUTO_64BIT EXCLUDE:INCA), ಎಲ್ಲಾ ಛೇದಕ ಉಪಕರಣಗಳನ್ನು 32-ಬಿಟ್ ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಲಾಗುತ್ತದೆ. ಆದಾಗ್ಯೂ, ದಿ
-64bit ಕಮಾಂಡ್-ಲೈನ್ ಆಯ್ಕೆಯು ಪರಿಸರ ವೇರಿಯಬಲ್ ಅನ್ನು ಅತಿಕ್ರಮಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಸಂರಚನೆ fileನಿಮ್ಮ ಡೇಟಾವನ್ನು ನಿರ್ವಹಿಸಲು ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳು ಮತ್ತು ಉಪಯುಕ್ತತೆಗಳ ಕಾರ್ಯಾಚರಣೆಯನ್ನು ನಿಯಂತ್ರಿಸಲು ನಿಮಗೆ ಸಹಾಯ ಮಾಡುತ್ತದೆ:
- ಲೈಬ್ರರಿ ಮ್ಯಾಪಿಂಗ್ file (cds.lib) ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಸ್ಥಳಕ್ಕಾಗಿ ತಾರ್ಕಿಕ ಹೆಸರನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತದೆ.
- ಗ್ರಂಥಾಲಯಗಳು ಮತ್ತು ಅವುಗಳನ್ನು ಭೌತಿಕ ಡೈರೆಕ್ಟರಿ ಹೆಸರುಗಳೊಂದಿಗೆ ಸಂಯೋಜಿಸುತ್ತದೆ.
- ಅಸ್ಥಿರ file (hdl.var) ಸಿಮ್ಯುಲೇಶನ್ ಉಪಕರಣಗಳು ಮತ್ತು ಉಪಯುಕ್ತತೆಗಳ ವರ್ತನೆಯ ಮೇಲೆ ಪರಿಣಾಮ ಬೀರುವ ಅಸ್ಥಿರಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸುತ್ತದೆ.
4.2 ಕಂಪೈಲ್ಡ್ ಲೈಬ್ರರಿಯನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
Microsemi's ನಿಂದ Cadence Xcelium ಗಾಗಿ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ webಸೈಟ್.
4.3 Xcelium ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರಚಿಸುವುದು file (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
run.do ನ ನಕಲನ್ನು ರಚಿಸಿದ ನಂತರ files, Xcelium ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಈ ಕೆಳಗಿನ ಹಂತಗಳನ್ನು ನಿರ್ವಹಿಸಿ file.
- cds.lib ಅನ್ನು ರಚಿಸಿ file ಯಾವ ಗ್ರಂಥಾಲಯಗಳು ಪ್ರವೇಶಿಸಬಹುದು ಮತ್ತು ಅವು ಎಲ್ಲಿವೆ ಎಂಬುದನ್ನು ವಿವರಿಸುತ್ತದೆ.
ದಿ file ಲೈಬ್ರರಿಯ ತಾರ್ಕಿಕ ಹೆಸರುಗಳನ್ನು ಅವುಗಳ ಭೌತಿಕ ಡೈರೆಕ್ಟರಿ ಮಾರ್ಗಗಳಿಗೆ ನಕ್ಷೆ ಮಾಡುವ ಹೇಳಿಕೆಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. ಉದಾಹರಣೆಗೆample, ನೀವು ಪ್ರಿಸಿಂತ್ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಾಲನೆ ಮಾಡುತ್ತಿದ್ದರೆ, cds.lib file ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ಬರೆಯಬಹುದು.
ಪ್ರಿಸಿಂತ್ ./ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
COREAHBLITE_LIB ./COREAHBLITE_LIB ಅನ್ನು ವಿವರಿಸಿ
ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್ 2 ಅನ್ನು ವಿವರಿಸಿ - hdl.var ಅನ್ನು ರಚಿಸಿ file ಇದು ಐಚ್ಛಿಕ ಸಂರಚನೆಯಾಗಿದೆ file ಇದು ಕಾನ್ಫಿಗರೇಶನ್ ವೇರಿಯಬಲ್ಗಳನ್ನು ಒಳಗೊಂಡಿರುತ್ತದೆ, ಅದು ನಿಮ್ಮ ವಿನ್ಯಾಸ ಪರಿಸರವನ್ನು ಹೇಗೆ ಕಾನ್ಫಿಗರ್ ಮಾಡಲಾಗಿದೆ ಎಂಬುದನ್ನು ನಿರ್ಧರಿಸುತ್ತದೆ. ಇವುಗಳ ಸಹಿತ:
- ಕಂಪೈಲರ್ ಸಂಕಲಿಸಿದ ವಸ್ತುಗಳು ಮತ್ತು ಇತರ ಪಡೆದ ಡೇಟಾವನ್ನು ಸಂಗ್ರಹಿಸುವ ಕೆಲಸದ ಲೈಬ್ರರಿಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಬಳಸಲಾಗುವ ವೇರಿಯೇಬಲ್ಗಳು.
- ವೆರಿಲಾಗ್ಗಾಗಿ, ವೇರಿಯೇಬಲ್ಗಳು (LIB_MAP, VIEW_MAP, WORK) ಲೈಬ್ರರಿಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಬಳಸಲಾಗುತ್ತದೆ ಮತ್ತು viewವಿವರಣಕಾರರು ನಿದರ್ಶನಗಳನ್ನು ಪರಿಹರಿಸಿದಾಗ ಹುಡುಕಲು ರು.
- ಕಂಪೈಲರ್, ಎಲಾಬೋರೇಟರ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಟರ್ ಕಮಾಂಡ್-ಲೈನ್ ಆಯ್ಕೆಗಳು ಮತ್ತು ಆರ್ಗ್ಯುಮೆಂಟ್ಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುವ ವೇರಿಯೇಬಲ್ಗಳು.
ಪ್ರಿಸಿಂತ್ ಸಿಮ್ಯುಲೇಶನ್ ಸಂದರ್ಭದಲ್ಲಿ ಮಾಜಿampಮೇಲೆ ತೋರಿಸಲಾಗಿದೆ, ನಾವು 3 RTL ಹೊಂದಿದ್ದೇವೆ ಎಂದು ಹೇಳಿ files av, bv, ಮತ್ತು testbench.v, ಇವುಗಳನ್ನು ಕ್ರಮವಾಗಿ ಪ್ರಿಸಿಂತ್, COREAHBLITE_LIB ಮತ್ತು ಪ್ರಿಸಿಂತ್ ಲೈಬ್ರರಿಗಳಾಗಿ ಸಂಕಲಿಸಬೇಕಾಗಿದೆ. hdl.var file ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ಬರೆಯಬಹುದು.
ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
PROJECT_DIR ಅನ್ನು ವಿವರಿಸಿ files>
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/av => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/testbench.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, + => ಪ್ರಿಸಿಂತ್ ) - ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ filencvlog ಆಯ್ಕೆಯನ್ನು ಬಳಸುತ್ತಿದ್ದಾರೆ.
xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - ಎನ್ಸೆಲ್ಯಾಬ್ ಬಳಸಿ ವಿನ್ಯಾಸವನ್ನು ವಿವರಿಸಿ. ವಿನ್ಯಾಸದಲ್ಲಿ ತತ್ಕ್ಷಣ ಮತ್ತು ಕಾನ್ಫಿಗರೇಶನ್ ಮಾಹಿತಿಯ ಆಧಾರದ ಮೇಲೆ ವಿನ್ಯಾಸದ ಶ್ರೇಣಿಯನ್ನು ಎಲಾಬೋರೇಟರ್ ನಿರ್ಮಿಸುತ್ತದೆ, ಸಿಗ್ನಲ್ ಸಂಪರ್ಕವನ್ನು ಸ್ಥಾಪಿಸುತ್ತದೆ ಮತ್ತು ವಿನ್ಯಾಸದಲ್ಲಿನ ಎಲ್ಲಾ ವಸ್ತುಗಳಿಗೆ ಆರಂಭಿಕ ಮೌಲ್ಯಗಳನ್ನು ಲೆಕ್ಕಾಚಾರ ಮಾಡುತ್ತದೆ. ವಿಸ್ತೃತ ವಿನ್ಯಾಸ ಕ್ರಮಾನುಗತವನ್ನು ಸಿಮ್ಯುಲೇಶನ್ ಸ್ನ್ಯಾಪ್ಶಾಟ್ನಲ್ಲಿ ಸಂಗ್ರಹಿಸಲಾಗಿದೆ, ಇದು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸಿಮ್ಯುಲೇಟರ್ ಬಳಸುವ ನಿಮ್ಮ ವಿನ್ಯಾಸದ ಪ್ರಾತಿನಿಧ್ಯವಾಗಿದೆ.
Xcelium –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
ಪ್ರವೇಶ + rwc - ಸ್ಥಿತಿ ವರ್ಕ್ಲಿಬ್. :ಘಟಕ
ಲೇಔಟ್ ನಂತರದ ಸಿಮ್ಯುಲೇಶನ್ ಸಮಯದಲ್ಲಿ ವಿವರಣೆ
ಲೇಔಟ್ ನಂತರದ ಸಿಮ್ಯುಲೇಶನ್ಗಳ ಸಂದರ್ಭದಲ್ಲಿ, ಮೊದಲು SDF file ncsdfc ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ವಿಸ್ತಾರಗೊಳಿಸುವ ಮೊದಲು ಕಂಪೈಲ್ ಮಾಡಬೇಕಾಗುತ್ತದೆ.
Xceliumfileಹೆಸರು>.sdf –ಔಟ್ಪುಟ್fileಹೆಸರು>.sdf.X
ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ –autosdf ಆಯ್ಕೆಯೊಂದಿಗೆ ಸಂಕಲಿಸಿದ SDF ಔಟ್ಪುಟ್ ಅನ್ನು ಬಳಸಿ.
xmelab -autosdf –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
15 -ಪ್ರವೇಶ + rwc - ಸ್ಥಿತಿ ವರ್ಕ್ಲಿಬ್. :ಮಾಡ್ಯೂಲ್ –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ಇರಬೇಕು.
COMPILED_SDF_FILE =" file>> - Xcelium ಬಳಸಿ ಅನುಕರಿಸಿ. ವಿವರಣೆಯ ನಂತರ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ನ್ಯಾಪ್ಶಾಟ್ ಅನ್ನು ರಚಿಸಲಾಗುತ್ತದೆ, ಇದನ್ನು ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ Xcelium ನಿಂದ ಲೋಡ್ ಮಾಡಲಾಗುತ್ತದೆ. ಇದನ್ನು ಬ್ಯಾಚ್ ಮೋಡ್ ಅಥವಾ GUI ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಬಹುದು.
xmsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log -
ಎರರ್ಮ್ಯಾಕ್ಸ್ 15 - ಸ್ಥಿತಿ ವರ್ಕ್ಲಿಬ್. :ಘಟಕ
ಕ್ಯಾಡೆನ್ಸ್ Xcelium ಸೆಟಪ್
ಪ್ರಮುಖ: ಎಲ್ಲಾ ಕಂಪೈಲಿಂಗ್, ವಿವರಿಸುವುದು ಮತ್ತು ಅನುಕರಿಸುವ ಮೇಲಿನ ಮೂರು ಹಂತಗಳನ್ನು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ಗೆ ಹಾಕಬಹುದು file ಮತ್ತು ಕಮಾಂಡ್-ಲೈನ್ನಿಂದ ಪಡೆಯಲಾಗಿದೆ. ಈ ಮೂರು ಹಂತಗಳನ್ನು ಬಳಸುವ ಬದಲು, ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ವಿನ್ಯಾಸವನ್ನು ncverilog ಅಥವಾ xrun ಆಯ್ಕೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಒಂದು ಹಂತದಲ್ಲಿ ಅನುಕರಿಸಬಹುದು.
xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileವಿನ್ಯಾಸದಲ್ಲಿ ಬಳಸಲಾಗಿದೆ>
xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
ವಿನ್ಯಾಸದಲ್ಲಿ ಬಳಸಲಾಗಿದೆ>
4.3.1 ತಿಳಿದಿರುವ ಸಮಸ್ಯೆಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಟೆಸ್ಟ್ಬೆಂಚ್ ವರ್ಕೌಂಡ್
ಬಳಕೆದಾರರಿಂದ ರಚಿಸಲಾದ ಟೆಸ್ಟ್ಬೆಂಚ್ನಲ್ಲಿ ಗಡಿಯಾರದ ಆವರ್ತನವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಈ ಕೆಳಗಿನ ಹೇಳಿಕೆಯನ್ನು ಬಳಸುವುದು ಅಥವಾ Libero SoC ನಿಂದ ರಚಿಸಲಾದ ಡೀಫಾಲ್ಟ್ ಟೆಸ್ಟ್ಬೆಂಚ್ Xcelium ನೊಂದಿಗೆ ಕಾರ್ಯನಿರ್ವಹಿಸುವುದಿಲ್ಲ.
ಯಾವಾಗಲೂ @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಈ ಕೆಳಗಿನಂತೆ ಮಾರ್ಪಡಿಸಿ:
ಯಾವಾಗಲೂ #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
ಪ್ರಮುಖ: Xcelium ಗಾಗಿ ಸಂಕಲಿಸಿದ ಲೈಬ್ರರಿಗಳು ಪ್ಲಾಟ್ಫಾರ್ಮ್ ನಿರ್ದಿಷ್ಟವಾಗಿವೆ (ಅಂದರೆ 64 ಬಿಟ್ ಲೈಬ್ರರಿಗಳು 32 ಬಿಟ್ ಪ್ಲಾಟ್ಫಾರ್ಮ್ಗೆ ಹೊಂದಿಕೆಯಾಗುವುದಿಲ್ಲ ಮತ್ತು ಪ್ರತಿಯಾಗಿ).
MSS ಮತ್ತು SERDES ಬಳಸಿಕೊಂಡು ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳು
MSS ಬ್ಲಾಕ್ ಹೊಂದಿರುವ ವಿನ್ಯಾಸಗಳ ಪೋಸ್ಟ್ಸಿಂಥ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳು ಅಥವಾ SERDES ಅನ್ನು ಬಳಸಿಕೊಂಡು ವಿನ್ಯಾಸಗಳ ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಾಲನೆ ಮಾಡುವಾಗ, -ಲಿಬ್ಮ್ಯಾಪ್ ಆಯ್ಕೆಯನ್ನು ವಿಸ್ತೃತ ಸಮಯದಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸದಿದ್ದರೆ BFM ಸಿಮ್ಯುಲೇಶನ್ಗಳು ಕಾರ್ಯನಿರ್ವಹಿಸುವುದಿಲ್ಲ. ಏಕೆಂದರೆ ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ, MSS ಅನ್ನು ವರ್ಕ್ ಲೈಬ್ರರಿಯಿಂದ ಪರಿಹರಿಸಲಾಗುತ್ತದೆ (ಡೀಫಾಲ್ಟ್ ಬೈಂಡಿಂಗ್ ಮತ್ತು ವರ್ಕ್ಲಿಬ್ ಪೋಸ್ಟ್ಸಿಂತ್/ಪೋಸ್ಟ್-ಲೇಔಟ್ ಆಗಿರುವುದರಿಂದ) ಅಲ್ಲಿ ಅದು ಕೇವಲ ಸ್ಥಿರ ಕಾರ್ಯವಾಗಿದೆ.
SmartFusion2 ಪ್ರಿಕಂಪೈಲ್ಡ್ ಲೈಬ್ರರಿಯಿಂದ MSS ಬ್ಲಾಕ್ ಅನ್ನು ಪರಿಹರಿಸಲು ಕೆಳಗಿನ ಕೋಡ್ ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ ncelab ಆಜ್ಞೆಯನ್ನು ಬರೆಯಬೇಕು.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
ಮತ್ತು lib.map file ಈ ಕೆಳಗಿನಂತಿರಬೇಕು:
ಸಂರಚನಾ cfg1;
ವಿನ್ಯಾಸ ;
ಡೀಫಾಲ್ಟ್ ಲಿಬ್ಲಿಸ್ಟ್ ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್2 ;
endconfig
ಇದು ಕೆಲಸದ ಲೈಬ್ರರಿಯಲ್ಲಿ ನೋಡುವ ಮೊದಲು SmartFusion2 ಲೈಬ್ರರಿಯಲ್ಲಿ ಯಾವುದೇ ಸೆಲ್ ಅನ್ನು ಪರಿಹರಿಸಬೇಕು ಅಂದರೆ postsynth/post-layout.
ಪ್ರತಿ ಸಿಮ್ಯುಲೇಶನ್ಗೆ (ಪ್ರಿಸಿಂತ್, ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್) ವಿಸ್ತರಣೆಯ ಸಮಯದಲ್ಲಿ -ಲಿಬ್ಮ್ಯಾಪ್ ಆಯ್ಕೆಯನ್ನು ಪೂರ್ವನಿಯೋಜಿತವಾಗಿ ಬಳಸಬಹುದು. ಇದು ಲೈಬ್ರರಿಗಳಿಂದ ನಿದರ್ಶನಗಳ ರೆಸಲ್ಯೂಶನ್ನಿಂದ ಉಂಟಾಗುವ ಸಿಮ್ಯುಲೇಶನ್ ಸಮಸ್ಯೆಗಳನ್ನು ತಪ್ಪಿಸುತ್ತದೆ.
xmelab: *F, INTERR: ಆಂತರಿಕ ವಿನಾಯಿತಿ
SmartFusion2 ಮತ್ತು IGLOO2 ನಲ್ಲಿ FDDR ಹೊಂದಿರುವ ವಿನ್ಯಾಸಗಳಿಗೆ ಈ ncelab ಟೂಲ್ ವಿನಾಯಿತಿಯು ಒಂದು ಎಚ್ಚರಿಕೆಯಾಗಿದೆ
ಪೋಸ್ಟ್ಸಿಂತ್ ಮತ್ತು ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್ಗಳ ಸಮಯದಲ್ಲಿ -ಲಿಬ್ಮ್ಯಾಪ್ ಆಯ್ಕೆಯನ್ನು ಬಳಸಿ.
ಪ್ರಮುಖ: ಈ ಸಮಸ್ಯೆಯನ್ನು ಕ್ಯಾಡೆನ್ಸ್ ಬೆಂಬಲ ತಂಡಕ್ಕೆ (SAR 52113) ವರದಿ ಮಾಡಲಾಗಿದೆ.
4.4 ಎಸ್ample Tcl ಮತ್ತು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ fileರು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ fileಗಳು ಸಂರಚನೆಯಾಗಿದೆ fileವಿನ್ಯಾಸ ಮತ್ತು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಹೊಂದಿಸಲು ರು ಅಗತ್ಯವಿದೆ file Xcelium ಆಜ್ಞೆಗಳನ್ನು ಚಲಾಯಿಸಲು.
Cds.lib
ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್2 /ಸ್ಕ್ರ್ಯಾಚ್/ಕ್ರಿಡಾರ್/ಟಿಎಂಪಿಸ್ಪೇಸ್/ಬಳಕೆದಾರರು/ಮೀ/ಎನ್ಸಿ-ವ್ಲಾಗ್64/ಸ್ಮಾರ್ಟ್ಫ್ಯೂಷನ್2 ಅನ್ನು ವಿವರಿಸಿ
COREAHBLITE_LIB ./COREAHBLITE_LIB ಅನ್ನು ವಿವರಿಸಿ
ಪ್ರಿಸಿಂತ್ ./ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
Hdl.var
ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ ಅನ್ನು ವಿವರಿಸಿ
ಡಿಫೈನ್ PROJECT_DIR /ಸ್ಕ್ರ್ಯಾಚ್/ಕ್ರಿಡಾರ್/ಟಿಎಂಪಿಸ್ಪೇಸ್/ಸ್ಕ್ವಾಸರ್ಸ್/ಮೀ/3ನೇ_ಪಾರ್ಟಿ_ಸಿಮ್ಯುಲೇಟರ್ಗಳು/ಕ್ಯಾಡೆನ್ಸ್/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/ ಅನ್ನು ವಿವರಿಸಿ
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/ ಅನ್ನು ವಿವರಿಸಿ
SB_top_SERDES_IF_0_SERDES_IF.v => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => ಪ್ರಿಸಿಂತ್ )
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => ಪ್ರಿಸಿಂತ್)
LIB_MAP ಅನ್ನು ವಿವರಿಸಿ ($LIB_MAP, + => ಪ್ರಿಸಿಂತ್ )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಎಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲಾಗ್/ಕೋರ್/
coreahblite_defaultslavesm.v
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಹೆಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲಾಗ್/ಕೋರ್/ಕೋರೆಹ್ಬ್ಲೈಟ್_ಮಾಸ್ಟರ್ಸ್tagಇವಿ
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಹೆಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲೋಗ್/ಕೋರ್/ಕೋರೆಹ್ಬ್ಲೈಟ್_ಸ್ಲಾವರ್ಬಿಟರ್.ವಿ
../../ಘಟಕ/ಆಕ್ಟೆಲ್/ಡೈರೆಕ್ಟ್ಕೋರ್/ಕೋರ್ಎಎಚ್ಬಿಲೈಟ್/4.0.100/ಆರ್ಟಿಎಲ್/ವ್ಲಾಗ್/ಕೋರ್/ಕೋರೆಹ್ಬ್ಲೈಟ್_ಸ್ಲೇವ್ಸ್tagಇವಿ
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../ಘಟಕ/ಕೆಲಸ/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../ಘಟಕ/ಕೆಲಸ/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ -ಲಾಗ್file ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -ಸ್ಥಿತಿ presynth.testbench:module
4.5 ಆಟೋಮೇಷನ್ (ಮೈಕ್ರೋಚಿಪ್ ಲಾಗಿನ್)
ಕೆಳಗಿನ ಸ್ಕ್ರಿಪ್ಟ್ file ModelSim run.do ಅನ್ನು ಪರಿವರ್ತಿಸುತ್ತದೆ fileಸಂರಚನೆಯಲ್ಲಿ ರು fileXcelium ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಲಾಯಿಸಲು ರು ಅಗತ್ಯವಿದೆ.
ಸ್ಕ್ರಿಪ್ಟ್ File ಬಳಕೆ
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
ಕ್ಯಾಡೆನ್ಸ್_ಪ್ರಿಕಂಪೈಲ್ಡ್_ಲೈಬ್ರರಿಗಳ_ಸ್ಥಳ
Cadence_parser.pl
#!/usr/bin/perl -w
############################################### #########################################
###################
#ಬಳಕೆ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
ಮೈಕ್ರೋಸೆಮಿ_ಫ್ಯಾಮಿಲಿ ಪ್ರಿಕಂಪೈಲ್ಡ್_ಲೈಬ್ರರೀಸ್_ಸ್ಥಳ#
############################################### #########################################
###################
POSIX ಬಳಸಿ;
ಕಟ್ಟುನಿಟ್ಟಾಗಿ ಬಳಸಿ;
ನನ್ನ ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
ಉಪ questa_parser {
ನನ್ನ $ModelSim_run_do = $_[0];
ನನ್ನ $actel_family = $_[1];
ನನ್ನ $lib_location = $_[2];
ನನ್ನ $ರಾಜ್ಯ;
ವೇಳೆ (-e “$ModelSim_run_do”)
{
ತೆರೆಯಿರಿ (INFILE,”$ModelSim_run_do”);
ನನ್ನ @ModelSim_run_do =FILE>;
ನನ್ನ $ಲೈನ್;
ವೇಳೆ ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
ತೆರೆಯಿರಿ (ಔಟ್FILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$ರಾಜ್ಯ = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
ತೆರೆಯಿರಿ (ಔಟ್FILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$ರಾಜ್ಯ = $1;
} elsif ($ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
ತೆರೆಯಿರಿ (ಔಟ್FILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$ರಾಜ್ಯ = $1;
} ಬೇರೆ
{
"ತಪ್ಪಾದ ಇನ್ಪುಟ್ಗಳನ್ನು ನೀಡಲಾಗಿದೆ" ಎಂದು ಮುದ್ರಿಸಿ file\n”;
ಪ್ರಿಂಟ್ “#ಬಳಕೆ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Libraries_location\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#ಸಾಮಾನ್ಯ ಕಾರ್ಯಾಚರಣೆಗಳು
$ಲೈನ್ =~ s/..\/designer.*simulation\///g;
$ಲೈನ್ =~ s/$state/$state\_questa/g;
#ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
ವೇಳೆ ($ಲೈನ್ =~ m/vmap\s+.*($actel_family)/)
{
ಪ್ರಿಂಟ್ ಔಟ್FILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$ಲೈನ್ =~ s/..\/component/..\/..\/component/g;
ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
} ಎಲ್ಸಿಫ್ ($ಲೈನ್ =~ ಮೀ/ವಿಸಿಮ್/)
{
$ಲೈನ್ =~ s/vsim/vsim -novopt/g;
ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
} ಬೇರೆ
{
ಪ್ರಿಂಟ್ ಔಟ್FILE “$ಲೈನ್ \n”;
}
}
ಸನಿಹFILE);
ಮುಚ್ಚುFILE);
} ಬೇರೆ {
ಮುದ್ರಿಸು “$ModelSim_run_do ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ. ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಮತ್ತೊಮ್ಮೆ ಮರುರನ್ ಮಾಡಿ \n”;
}
}
ಸೀಮೆನ್ಸ್ ಕ್ವೆಸ್ಟಾಸಿಮ್ ಸೆಟಪ್/ಮಾಡೆಲ್ ಸಿಮ್ ಸೆಟಪ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ರನ್.ಡು files, ಮಾಡೆಲ್ಸಿಮ್ ಮೈಕ್ರೋಸೆಮಿ ಆವೃತ್ತಿಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗಾಗಿ ಲಿಬೆರೊ SoC ನಿಂದ ರಚಿಸಲಾಗಿದೆ, ಒಂದೇ ಬದಲಾವಣೆಯೊಂದಿಗೆ QuestaSim/ModelSim SE/DE/PE ಅನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗೆ ಬಳಸಬಹುದು. ModelSim ME/ModelSim Pro ME run.do ನಲ್ಲಿ file, ಪೂರ್ವಸಂಯೋಜಿತ ಗ್ರಂಥಾಲಯಗಳ ಸ್ಥಳವನ್ನು ಮಾರ್ಪಡಿಸುವ ಅಗತ್ಯವಿದೆ.
ಪ್ರಮುಖ:
ಪೂರ್ವನಿಯೋಜಿತವಾಗಿ, ModelSim Pro ME ಹೊರತುಪಡಿಸಿ ಸಿಮ್ಯುಲೇಶನ್ ಉಪಕರಣವು ಸಿಮ್ಯುಲೇಶನ್ ಸಮಯದಲ್ಲಿ ವಿನ್ಯಾಸ ಆಪ್ಟಿಮೈಸೇಶನ್ ಅನ್ನು ನಿರ್ವಹಿಸುತ್ತದೆ, ಇದು ವಿನ್ಯಾಸ ವಸ್ತುಗಳು ಮತ್ತು ಇನ್ಪುಟ್ ಪ್ರಚೋದನೆಯಂತಹ ಸಿಮ್ಯುಲೇಶನ್ ಕಲಾಕೃತಿಗಳಲ್ಲಿ ಗೋಚರತೆಯನ್ನು ಪ್ರಭಾವಿಸುತ್ತದೆ.
ಸಂಕೀರ್ಣ ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗೆ ಸಿಮ್ಯುಲೇಶನ್ ರನ್ಟೈಮ್ ಅನ್ನು ಕಡಿಮೆ ಮಾಡಲು ಇದು ವಿಶಿಷ್ಟವಾಗಿ ಸಹಾಯಕವಾಗಿದೆ, ಮೌಖಿಕ, ಸ್ವಯಂ-ಪರಿಶೀಲಿಸುವ ಪರೀಕ್ಷಾ ಬೆಂಚುಗಳನ್ನು ಬಳಸಿ. ಆದಾಗ್ಯೂ, ಡೀಫಾಲ್ಟ್ ಆಪ್ಟಿಮೈಸೇಶನ್ಗಳು ಎಲ್ಲಾ ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗೆ ಸೂಕ್ತವಾಗಿರುವುದಿಲ್ಲ, ವಿಶೇಷವಾಗಿ ನೀವು ತರಂಗ ವಿಂಡೋವನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ ಫಲಿತಾಂಶಗಳನ್ನು ಸಚಿತ್ರವಾಗಿ ಪರಿಶೀಲಿಸಲು ನಿರೀಕ್ಷಿಸುವ ಸಂದರ್ಭಗಳಲ್ಲಿ.
ಈ ಆಪ್ಟಿಮೈಸೇಶನ್ನಿಂದ ಉಂಟಾದ ಸಮಸ್ಯೆಗಳನ್ನು ಪರಿಹರಿಸಲು, ವಿನ್ಯಾಸದಲ್ಲಿ ಗೋಚರತೆಯನ್ನು ಮರುಸ್ಥಾಪಿಸಲು ಸಿಮ್ಯುಲೇಶನ್ ಸಮಯದಲ್ಲಿ ನೀವು ಸೂಕ್ತವಾದ ಆಜ್ಞೆಗಳು ಮತ್ತು ಸಂಬಂಧಿತ ವಾದಗಳನ್ನು ಸೇರಿಸಬೇಕು. ಪರಿಕರ-ನಿರ್ದಿಷ್ಟ ಆಜ್ಞೆಗಳಿಗಾಗಿ, ಬಳಕೆಯಲ್ಲಿರುವ ಸಿಮ್ಯುಲೇಟರ್ನ ದಾಖಲಾತಿಯನ್ನು ನೋಡಿ.
5.1 ಪರಿಸರ ಅಸ್ಥಿರ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಅಗತ್ಯವಿರುವ ಪರಿಸರ ವೇರಿಯಬಲ್ಗಳು ಈ ಕೆಳಗಿನಂತಿವೆ.
- LM_LICENSE_FILE: ಪರವಾನಗಿಯ ಮಾರ್ಗವನ್ನು ಒಳಗೊಂಡಿರಬೇಕು file.
- MODEL_TECH: QuestaSim ಅನುಸ್ಥಾಪನೆಯ ಹೋಮ್ ಡೈರೆಕ್ಟರಿ ಸ್ಥಳಕ್ಕೆ ಮಾರ್ಗವನ್ನು ಗುರುತಿಸಬೇಕು.
- PATH: MODEL_TECH ಮೂಲಕ ಸೂಚಿಸಲಾದ ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ ಸ್ಥಳಕ್ಕೆ ಸೂಚಿಸಬೇಕು.
5.2 ಮಾರ್ಗದರ್ಶಕ ಕ್ವೆಸ್ಟಾಸಿಮ್ಗಾಗಿ run.do ಅನ್ನು ಪರಿವರ್ತಿಸಲಾಗುತ್ತಿದೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ರನ್.ಡು fileModelSim ಮೈಕ್ರೋಸೆಮಿ ಆವೃತ್ತಿಗಳನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗಾಗಿ Libero SoC ನಿಂದ ರಚಿಸಲಾದ ಗಳನ್ನು ಒಂದೇ ಬದಲಾವಣೆಯೊಂದಿಗೆ QuestaSim/ModelSim_SE ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ಗಳಿಗೆ ಬಳಸಬಹುದು.
ಪ್ರಮುಖ: ಎಲ್ಲಾ QuestaSim ಅನ್ನು ಬಳಸಿಕೊಂಡು ಅನುಕರಿಸುವ ವಿನ್ಯಾಸಗಳು -novopt ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು
run.do ಸ್ಕ್ರಿಪ್ಟ್ನಲ್ಲಿ vsim ಕಮಾಂಡ್ ಜೊತೆಗೆ ಆಯ್ಕೆ files.
5.3 ಕಂಪೈಲ್ ಮಾಡಿದ ಲೈಬ್ರರಿಯನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಮೈಕ್ರೋಸೆಮಿಯಿಂದ ಮೆಂಟರ್ ಗ್ರಾಫಿಕ್ಸ್ ಕ್ವೆಸ್ಟಾಸಿಮ್ಗಾಗಿ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ webಸೈಟ್.
ಸಾರಾಂಶ VCS ಸೆಟಪ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಮೈಕ್ರೋಸೆಮಿ ಶಿಫಾರಸು ಮಾಡಿದ ಹರಿವು VCS ನಲ್ಲಿ ವಿಸ್ತಾರವಾದ ಮತ್ತು ಕಂಪೈಲ್ ಹರಿವಿನ ಮೇಲೆ ಅವಲಂಬಿತವಾಗಿದೆ. ಈ ಡಾಕ್ಯುಮೆಂಟ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಒಳಗೊಂಡಿದೆ file ಅದು run.do ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಬಳಸುತ್ತದೆ files ಅನ್ನು Libero SoC ನಿಂದ ರಚಿಸಲಾಗಿದೆ ಮತ್ತು ಸೆಟಪ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ fileVCS ಸಿಮ್ಯುಲೇಶನ್ಗೆ ರು ಅಗತ್ಯವಿದೆ. ಬರಹ file run.do ಅನ್ನು ಬಳಸುತ್ತದೆ file ಕೆಳಗಿನವುಗಳನ್ನು ಮಾಡಲು.
- ಲೈಬ್ರರಿ ಮ್ಯಾಪಿಂಗ್ ಅನ್ನು ರಚಿಸಿ file, ಇದನ್ನು synopsys_sim.setup ಬಳಸಿ ಮಾಡಲಾಗುತ್ತದೆ file VCS ಸಿಮ್ಯುಲೇಶನ್ ಚಾಲನೆಯಲ್ಲಿರುವ ಅದೇ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿದೆ.
- ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ ರಚಿಸಿ file VCS ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ವಿವರಿಸಲು ಮತ್ತು ಕಂಪೈಲ್ ಮಾಡಲು.
6.1 ಪರಿಸರ ಅಸ್ಥಿರ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ನಿಮ್ಮ ಸೆಟಪ್ ಅನ್ನು ಆಧರಿಸಿ VCS ಗಾಗಿ ಸೂಕ್ತವಾದ ಪರಿಸರ ವೇರಿಯಬಲ್ಗಳನ್ನು ಹೊಂದಿಸಿ. VCS ದಸ್ತಾವೇಜನ್ನು ಪ್ರಕಾರ ಅಗತ್ಯವಿರುವ ಪರಿಸರ ವೇರಿಯಬಲ್ಗಳು:
- LM_LICENSE_FILE: ಪರವಾನಗಿ ಸರ್ವರ್ಗೆ ಪಾಯಿಂಟರ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು.
- VCS_HOME: VCS ಅನುಸ್ಥಾಪನೆಯ ಹೋಮ್ ಡೈರೆಕ್ಟರಿ ಸ್ಥಳಕ್ಕೆ ಸೂಚಿಸಬೇಕು.
- PATH: VCS_HOME ಡೈರೆಕ್ಟರಿಯ ಕೆಳಗಿನ ಬಿನ್ ಡೈರೆಕ್ಟರಿಗೆ ಪಾಯಿಂಟರ್ ಅನ್ನು ಒಳಗೊಂಡಿರಬೇಕು.
6.2 ಕಂಪೈಲ್ಡ್ ಲೈಬ್ರರಿಯನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಮೈಕ್ರೋಸೆಮಿಯಿಂದ ಸಿನೊಪ್ಸಿಸ್ VCS ಗಾಗಿ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್ಲೋಡ್ ಮಾಡಿ webಸೈಟ್.
6.3 VCS ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ File (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
VCS ಅನ್ನು ಸ್ಥಾಪಿಸಿದ ನಂತರ ಮತ್ತು ವಿನ್ಯಾಸ ಮತ್ತು ವಿಭಿನ್ನ ರನ್.ಡೊ ಅನ್ನು ರಚಿಸಿದ ನಂತರ fileLibero SoC ನಿಂದ, ನೀವು ಮಾಡಬೇಕು:
- ಲೈಬ್ರರಿ ಮ್ಯಾಪಿಂಗ್ ಅನ್ನು ರಚಿಸಿ file synopsys_sim.setup; ಇದು file ವಿನ್ಯಾಸದಿಂದ ಬಳಸಬೇಕಾದ ಎಲ್ಲಾ ಲೈಬ್ರರಿಗಳ ಸ್ಥಳಕ್ಕೆ ಪಾಯಿಂಟರ್ಗಳನ್ನು ಒಳಗೊಂಡಿದೆ.
ಪ್ರಮುಖ: ದಿ file ಹೆಸರು ಬದಲಾಗಬಾರದು ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಚಾಲನೆಯಲ್ಲಿರುವ ಅದೇ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ಅದು ನೆಲೆಗೊಂಡಿರಬೇಕು. ಇಲ್ಲಿ ಒಬ್ಬ ಮಾಜಿampಅಂತಹ ಒಂದು file ಪ್ರಿಸಿಂಥೆಸಿಸ್ ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ.
ಕೆಲಸ > EFAULT
SmartFusion2:
ಪ್ರಿಸಿಂತ್: ./ಪ್ರಿಸಿಂತ್
ಡೀಫಾಲ್ಟ್: ./ಕೆಲಸ - ವಿಭಿನ್ನ ವಿನ್ಯಾಸವನ್ನು ವಿವರಿಸಿ fileVCS ನಲ್ಲಿ vlogan ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಟೆಸ್ಟ್ಬೆಂಚ್ ಸೇರಿದಂತೆ s. ಈ ಆಜ್ಞೆಗಳನ್ನು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ನಲ್ಲಿ ಸೇರಿಸಿಕೊಳ್ಳಬಹುದು file. ಕೆಳಗಿನವರು ಮಾಜಿamprtl.v ನಲ್ಲಿ ವ್ಯಾಖ್ಯಾನಿಸಲಾದ ವಿನ್ಯಾಸವನ್ನು ಅದರ ಟೆಸ್ಟ್ಬೆಂಚ್ನೊಂದಿಗೆ ವಿವರಿಸಲು ಅಗತ್ಯವಿರುವ ಆಜ್ಞೆಗಳ le
testbench.v
vlogan +v2k -work presynth rtl.v
vlogan +v2k -work presynth testbench.v - ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು VCS ಅನ್ನು ಬಳಸಿಕೊಂಡು ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ.
vcs –sim_res=1fs presynth.testbench
ಗಮನಿಸಿ: ದಿ ಸರಿಯಾದ ಕ್ರಿಯಾತ್ಮಕ ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ನ ಸಮಯದ ನಿರ್ಣಯವನ್ನು 1fs ಗೆ ಹೊಂದಿಸಬೇಕು. - ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿದ ನಂತರ, ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ.
./simv - ಬ್ಯಾಕ್-ನೋಟೇಟೆಡ್ ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ, ಕೆಳಗಿನ ಕೋಡ್ಬ್ಲಾಕ್ನಲ್ಲಿ ತೋರಿಸಿರುವಂತೆ VCS ಆಜ್ಞೆಯು ಇರಬೇಕು.
vcs postlayout.testbench –sim_res=1fs –sdf max: .
ಹೆಸರು>: file ಮಾರ್ಗ> –gui –l postlayout.log
6.4 ಮಿತಿಗಳು/ವಿನಾಯತಿಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಸಿನೊಪ್ಸಿಸ್ VCS ಸೆಟಪ್ನ ಮಿತಿಗಳು/ವಿನಾಯತಿಗಳು ಈ ಕೆಳಗಿನಂತಿವೆ.
- ಲಿಬೆರೊ SoC ನ ವೆರಿಲಾಗ್ ಯೋಜನೆಗಳಿಗೆ ಮಾತ್ರ VCS ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ಚಲಾಯಿಸಬಹುದು. VCS ಸಿಮ್ಯುಲೇಟರ್ ಕಟ್ಟುನಿಟ್ಟಾದ VHDL ಭಾಷೆಯ ಅವಶ್ಯಕತೆಗಳನ್ನು ಹೊಂದಿದೆ, ಅದು Libero SoC ಸ್ವಯಂ-ಉತ್ಪಾದಿತ VHDL ನಿಂದ ಪೂರೈಸಲ್ಪಡುವುದಿಲ್ಲ files.
- ನೀವು ಬಯಸಿದಾಗ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ನಿಲ್ಲಿಸಲು ವೆರಿಲಾಗ್ ಟೆಸ್ಟ್ಬೆಂಚ್ನಲ್ಲಿ ನೀವು $ಫಿನಿಶ್ ಸ್ಟೇಟ್ಮೆಂಟ್ ಅನ್ನು ಹೊಂದಿರಬೇಕು.
ಪ್ರಮುಖ: ಯಾವಾಗ ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು GUI ಮೋಡ್ನಲ್ಲಿ ರನ್ ಮಾಡಲಾಗುತ್ತದೆ, ರನ್ ಸಮಯವನ್ನು GUI ನಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಬಹುದು.
6.5 ಎಸ್ample Tcl ಮತ್ತು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ Fileರು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ ಪರ್ಲ್ synopsys_sim.setup ನ ಉತ್ಪಾದನೆಯನ್ನು ಸ್ವಯಂಚಾಲಿತಗೊಳಿಸುತ್ತದೆ file ಜೊತೆಗೆ ಅನುಗುಣವಾದ ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ fileವಿನ್ಯಾಸವನ್ನು ವಿವರಿಸಲು, ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಅನುಕರಿಸಲು ರು ಅಗತ್ಯವಿದೆ.
ವಿನ್ಯಾಸವು MSS ಅನ್ನು ಬಳಸಿದರೆ, test.vec ಅನ್ನು ನಕಲಿಸಿ file Libero SoC ಯೋಜನೆಯ ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್ನಲ್ಲಿ VCS ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್ನಲ್ಲಿದೆ. ಕೆಳಗಿನ ವಿಭಾಗಗಳು s ಅನ್ನು ಒಳಗೊಂಡಿವೆample run.do fileಅನುಗುಣವಾದ ಲೈಬ್ರರಿ ಮ್ಯಾಪಿಂಗ್ ಮತ್ತು ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ ಸೇರಿದಂತೆ Libero SoC ನಿಂದ ರಚಿಸಲಾಗಿದೆ fileVCS ಸಿಮ್ಯುಲೇಶನ್ಗೆ ರು ಅಗತ್ಯವಿದೆ.
6.5.1 ಪೂರ್ವ ಸಂಶ್ಲೇಷಣೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
Presynth_run.do
ACTELLIBNAME SmartFusion2 ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ
PROJECT_DIR ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ “/sqa/users/me/VCS_Tests/Test_DFF”
ಒಂದು ವೇಳೆ {[file ಪ್ರಿಸಿಂತ್ ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ/_ಮಾಹಿತಿ]} {
ಪ್ರತಿಧ್ವನಿ "ಮಾಹಿತಿ: ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ಪ್ರಿಸಿಂತ್ ಈಗಾಗಲೇ ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ"
} ಬೇರೆ {
vlib ಪ್ರಿಸಿಂತ್
}
vmap ಪ್ರಿಸಿಂತ್ ಪ್ರಿಸಿಂತ್
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog “+incdir+${PROJECT_DIR}/ಪ್ರಚೋದನೆ” -ವರ್ಕ್ ಪ್ರಿಸಿಂತ್ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
ತರಂಗ ಸೇರಿಸಿ /SD1_TB1/*
ಲಾಗ್ ಸೇರಿಸಿ -r /*
1000ಎನ್ಎಸ್ ಓಡಿ
presynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” ಹೊಂದಿಸಿ
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/ಘಟಕ/
ಕೆಲಸ/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
ಪ್ರಿಸಿಂತ್ “${PROJECT_DIR}/ಸ್ಟಿಮುಲಸ್/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
ಕೆಲಸ > ಡೀಫಾಲ್ಟ್
SmartFusion2 : /VCS/SmartFusion2
ಪ್ರಿಸಿಂತ್: ./ಪ್ರಿಸಿಂತ್
ಡೀಫಾಲ್ಟ್: ./ಕೆಲಸ
6.5.2 ನಂತರದ ಸಂಶ್ಲೇಷಣೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
postsynth_run.do
ACTELLIBNAME SmartFusion2 ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ
PROJECT_DIR ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ “/sqa/users/Me/VCS_Tests/Test_DFF”
ಒಂದು ವೇಳೆ {[file ಪೋಸ್ಟ್ಸಿಂತ್ ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ/_ಮಾಹಿತಿ]} {
ಪ್ರತಿಧ್ವನಿ "ಮಾಹಿತಿ: ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ಪೋಸ್ಟ್ಸಿಂತ್ ಈಗಾಗಲೇ ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ"
} ಬೇರೆ {
vlib ಪೋಸ್ಟ್ಸಿಂತ್
}
vmap ಪೋಸ್ಟ್ಸಿಂತ್ ಪೋಸ್ಟ್ಸಿಂತ್
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
vlog “+incdir+${PROJECT_DIR}/ಪ್ರಚೋದನೆ” -ವರ್ಕ್ ಪೋಸ್ಟ್ಸಿಂತ್ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
ತರಂಗ ಸೇರಿಸಿ /SD1_TB1/*
ಲಾಗ್ ಸೇರಿಸಿ -r /*
1000ಎನ್ಎಸ್ ಓಡಿ
ಲಾಗ್ SD1_TB1/*
ನಿರ್ಗಮಿಸಿ
Postsynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” ಹೊಂದಿಸಿ
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
ಪೋಸ್ಟ್ಸಿಂತ್ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
ಕೆಲಸ > ಡೀಫಾಲ್ಟ್
SmartFusion2 : /VCS/SmartFusion2
postsynth : ./postsynth
ಡೀಫಾಲ್ಟ್: ./ಕೆಲಸ
6.5.3 ಪೋಸ್ಟ್-ಲೇಔಟ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
postlayout_run.do
ACTELLIBNAME SmartFusion2 ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ
PROJECT_DIR "E:/ModelSim_Work/Test_DFF" ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ
ಒಂದು ವೇಳೆ {[file ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ ../designer/SD1/simulation/postlayout/_info]} {
ಪ್ರತಿಧ್ವನಿ "ಮಾಹಿತಿ: ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ../ಡಿಸೈನರ್/SD1/ಸಿಮ್ಯುಲೇಶನ್/ಪೋಸ್ಟ್ಲೇಔಟ್ ಈಗಾಗಲೇ ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ"
} ಬೇರೆ {
vlib ../designer/SD1/simulation/postlayout
}
vmap ಪೋಸ್ಟ್ಲೇಔಟ್ ../ಡಿಸೈನರ್/SD1/ಸಿಮ್ಯುಲೇಶನ್/ಪೋಸ್ಟ್ಲೇಔಟ್
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -ವರ್ಕ್ ಪೋಸ್ಟ್ಲೇಔಟ್ “${PROJECT_DIR}/designer/SD1/SD1_ba.v”
vlog “+incdir+${PROJECT_DIR}/ಪ್ರಚೋದನೆ” -ವರ್ಕ್ ಪೋಸ್ಟ್ಲೇಔಟ್ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postlayout -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
ತರಂಗ ಸೇರಿಸಿ /SD1_TB1/*
ಲಾಗ್ ಸೇರಿಸಿ -r /*
1000ಎನ್ಎಸ್ ಓಡಿ
Postlayout_main.csh
#!/bin/csh -f
PROJECT_DIR = "/VCS_Tests/Test_DFF" ಹೊಂದಿಸಿ
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -ವರ್ಕ್ ಪೋಸ್ಟ್ಲೇಔಟ್ “${PROJECT_DIR}/
ವಿನ್ಯಾಸಕ/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
ಪೋಸ್ಟ್ಲೇಔಟ್ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf
max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
ಕೆಲಸ > ಡೀಫಾಲ್ಟ್
SmartFusion2 : /VCS/SmartFusion2
postlayout : ./postlayout
ಡೀಫಾಲ್ಟ್: ./workVCS
6.6 ಆಟೋಮೇಷನ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ ಪರ್ಲ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ಹರಿವನ್ನು ಸ್ವಯಂಚಾಲಿತಗೊಳಿಸಬಹುದು file ModelSim run.do ಅನ್ನು ಪರಿವರ್ತಿಸಲು fileVCS ಹೊಂದಾಣಿಕೆಯ ಶೆಲ್ ಸ್ಕ್ರಿಪ್ಟ್ಗೆ ರು files, Libero SoC ಸಿಮ್ಯುಲೇಶನ್ ಡೈರೆಕ್ಟರಿಯೊಳಗೆ ಸರಿಯಾದ ಡೈರೆಕ್ಟರಿಗಳನ್ನು ರಚಿಸಿ, ತದನಂತರ ಸಿಮ್ಯುಲೇಶನ್ಗಳನ್ನು ರನ್ ಮಾಡಿ.
ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರನ್ ಮಾಡಿ file ಕೆಳಗಿನ ಸಿಂಟ್ಯಾಕ್ಸ್ ಬಳಸಿ.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
############################################### #############################
#
#ಬಳಕೆ: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
############################################### #############################
ನನ್ನ ($presynth, $postsynth, $postlayout) = @ARGV;
if(system(“mkdir VCS_Presynth”)) {print “mkdir ವಿಫಲವಾಗಿದೆ:\n”;}
if(system(“mkdir VCS_Postsynth”)) {print “mkdir ವಿಫಲವಾಗಿದೆ:\n”;}
if(system(“mkdir VCS_Postlayout”)) {print “mkdir ವಿಫಲವಾಗಿದೆ:\n”;}
chdir (VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,”presynth”);
chdir ("../");
chdir (VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,”postsynth”);
chdir ("../");
chdir (VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,”postlayout”);
chdir ("../");
ಉಪ ಪಾರ್ಸ್_ಮಾಡು {
ನನ್ನ $vlog = "/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k" ;
ನನ್ನ %LIB = ();
ನನ್ನ $file = $_[0] ;
ನನ್ನ $ರಾಜ್ಯ = $_[1];
ತೆರೆಯಿರಿ (INFILE"$file”) || ಸಾಯಲು "ತೆರೆಯಲು ಸಾಧ್ಯವಿಲ್ಲ File ಕಾರಣ ಹೀಗಿರಬಹುದು:$!”;
ವೇಳೆ ($state eq "presynth" )
{
open(OUT1,”>presynth_main.csh”) || ಡೈ “ಕಮಾಂಡ್ ರಚಿಸಲು ಸಾಧ್ಯವಿಲ್ಲ File ಕಾರಣ ಹೀಗಿರಬಹುದು:$!”;
}
elsif ($state eq "postsynth" )
{
open(OUT1,”>postsynth_main.csh”) || ಡೈ “ಕಮಾಂಡ್ ರಚಿಸಲು ಸಾಧ್ಯವಿಲ್ಲ File ಕಾರಣ ಹೀಗಿರಬಹುದು:$!”;
}
elsif ($state eq "postlayout" )
{
open(OUT1,”>postlayout_main.csh”) || ಡೈ “ಕಮಾಂಡ್ ರಚಿಸಲು ಸಾಧ್ಯವಿಲ್ಲ File ಕಾರಣ ಹೀಗಿರಬಹುದು:$!”;
}
ಬೇರೆ
{
"ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಟೇಟ್ ಕಾಣೆಯಾಗಿದೆ \n" ;
}
open(OUT2,”>synopsys_sim.setup”) || ಡೈ “ಕಮಾಂಡ್ ರಚಿಸಲು ಸಾಧ್ಯವಿಲ್ಲ File ಕಾರಣ ಹೀಗಿರಬಹುದು:$!”;
# .csh file
ಪ್ರಿಂಟ್ OUT1 “#!/bin/csh -f\n\n\n” ;
#ಹೊಂದಿಸಿ FILE
ಪ್ರಿಂಟ್ OUT2 “ಕೆಲಸ > ಡೀಫಾಲ್ಟ್\n” ;
ಪ್ರಿಂಟ್ OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
ಅದೇ ಸಮಯದಲ್ಲಿ ($ ಲೈನ್ =FILE>)
{
ಸಾರಾಂಶ VCS ಸೆಟಪ್
ಒಂದು ವೇಳೆ ($ಲೈನ್ =~ ಮೀ/ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ PROJECT_DIR\s+\”(.*?)\”/)
{
ಪ್ರಿಂಟ್ OUT1 “ಸೆಟ್ PROJECT_DIR = \”$1\”\n\n\n” ;
}
ಎಲ್ಸಿಫ್ ($ಲೈನ್ =~ m/vlog.*\.v\"/)
{
ವೇಳೆ ($ಲೈನ್ =~ m/\s+(\w*?)\_LIB/)
{
#ಮುದ್ರಣ “\$1 =$1 \n” ;
$ಟೆಂಪ್ = “$1″.”_LIB”;
#ಮುದ್ರಣ “ತಾಪ = $ಟೆಂಪ್ \n” ;
$LIB{$temp}++;
}
chomp ($ ಲೈನ್);
$ಲೈನ್ =~ s/^vlog/$vlog/ ;
$ಲೈನ್ =~ s/ //g;
ಪ್ರಿಂಟ್ OUT1 “$line\n”;
}
elsif ( ($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp ($ tb);
#ಮುದ್ರಣ “ಟಿಬಿ ಹೆಸರು : $tb \n”;
ವೇಳೆ ($ಲೈನ್ =~ m/sdf(.*)\.sdf/)
{
chomp ($ ಲೈನ್);
$ಲೈನ್ = $1 ;
#ಮುದ್ರಣ “LINE : $line \n” ;
ಒಂದು ವೇಳೆ ($ಲೈನ್ =~ ಮೀ/ಗರಿಷ್ಠ/)
{
$ಲೈನ್ =~ s/ಗರಿಷ್ಠ \/// ;
$ಲೈನ್ =~ s/=/:/;
ಪ್ರಿಂಟ್ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max:$tb.$line.sdf -l compile.log\n” ;
}
ಎಲ್ಸಿಫ್ ($ಲೈನ್ =~ ಮೀ/ನಿಮಿ/)
{
$ಲೈನ್ =~ ಸೆ/ನಿಮಿ \/// ;
$ಲೈನ್ =~ s/=/:/;
ಪ್ರಿಂಟ್ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
ನಿಮಿಷ:$tb.$line.sdf -l compile.log\n” ;
}
ಎಲ್ಸಿಫ್ ($ಲೈನ್ =~ ಮೀ/ಟೈಪ್/)
{
$ಲೈನ್ =~ s/typ \/// ;
$ಲೈನ್ =~ s/=/:/;
ಪ್ರಿಂಟ್ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
ಟೈಪ್:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — ModelSim SDF ಫಾರ್ಮ್ಯಾಟ್
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -ವಿಸಿಎಸ್
SDF ಸ್ವರೂಪ
}
}
}
ಮುದ್ರಿಸು
ಔಟ್1 "\n\n"
;
if
( $state eq "presynth"
)
{
ಮುದ್ರಿಸು
OUT2 "ಪ್ರಿಸಿಂತ್
: ./presynth\n”
;
ಮುದ್ರಿಸು
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
ಎಲ್ಸಿಫ್
( $state eq "postsynth"
)
{
ಮುದ್ರಿಸು
OUT2 "ಪೋಸ್ಟ್ಸಿಂತ್
: ./postsynth\n”
;
ಮುದ್ರಿಸು
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
ಎಲ್ಸಿಫ್
( $state eq "postlayout"
)
{
ಪ್ರಿಂಟ್ OUT2 “postlayout : ./postlayout\n” ;
}
ಬೇರೆ
{
"ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಟೇಟ್ ಕಾಣೆಯಾಗಿದೆ \n" ;
}
foreach $i (ಕೀಗಳು %LIB)
{
#ಪ್ರಿಂಟ್ “ಕೀ: $i ಮೌಲ್ಯ: $LIB{$i} \n” ;
ಪ್ರಿಂಟ್ OUT2 “$i : ./$i\n” ;
}
ಪ್ರಿಂಟ್ OUT1 “\n\n” ;
ಪ್ರಿಂಟ್ OUT1 “./simv -l run.log\n” ;
ಪ್ರಿಂಟ್ OUT2 “ಡೀಫಾಲ್ಟ್ : ./ವರ್ಕ್\n” ;
ಸನಿಹFILE;
OUT1 ಅನ್ನು ಮುಚ್ಚಿ;
OUT2 ಅನ್ನು ಮುಚ್ಚಿ;
}
ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ (ಮೈಕ್ರೋಚಿಪ್ ಲಾಗಿನ್
ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸವು ಡಾಕ್ಯುಮೆಂಟ್ನಲ್ಲಿ ಅಳವಡಿಸಲಾದ ಬದಲಾವಣೆಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ. ಬದಲಾವಣೆಗಳು
ಪರಿಷ್ಕರಣೆ ಮೂಲಕ ಪಟ್ಟಿಮಾಡಲಾಗಿದೆ, ಇದು ಅತ್ಯಂತ ಪ್ರಸ್ತುತ ಪ್ರಕಟಣೆಯಿಂದ ಪ್ರಾರಂಭವಾಗುತ್ತದೆ.
ಪರಿಷ್ಕರಣೆ | ದಿನಾಂಕ | ವಿವರಣೆ |
A | 12/2023 | ಈ ಪರಿಷ್ಕರಣೆಯಲ್ಲಿ ಈ ಕೆಳಗಿನ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡಲಾಗಿದೆ: • ಡಾಕ್ಯುಮೆಂಟ್ ಅನ್ನು ಮೈಕ್ರೋಚಿಪ್ ಟೆಂಪ್ಲೇಟ್ಗೆ ಪರಿವರ್ತಿಸಲಾಗಿದೆ. ಆರಂಭಿಕ ಪರಿಷ್ಕರಣೆ. • ನವೀಕರಿಸಿದ ವಿಭಾಗ 5. ಸಿಮ್ಯುಲೇಶನ್ ಮತ್ತು ಆಪ್ಟಿಮೈಸೇಶನ್ ಸಮಯದಲ್ಲಿ ಗೋಚರತೆಯ ಮೇಲೆ ಪ್ರಭಾವವನ್ನು ವಿವರಿಸುವ ಹೊಸ ಟಿಪ್ಪಣಿಯನ್ನು ಸೇರಿಸಲು ಸೀಮೆನ್ಸ್ ಕ್ವೆಸ್ಟಾಸಿಮ್ ಸೆಟಪ್/ಮಾಡೆಲ್ ಸಿಮ್ ಸೆಟಪ್. |
ಮೈಕ್ರೋಚಿಪ್ FPGA ಬೆಂಬಲ
ಮೈಕ್ರೋಚಿಪ್ FPGA ಉತ್ಪನ್ನಗಳ ಗುಂಪು ತನ್ನ ಉತ್ಪನ್ನಗಳನ್ನು ಗ್ರಾಹಕ ಸೇವೆ, ಗ್ರಾಹಕ ತಾಂತ್ರಿಕ ಬೆಂಬಲ ಕೇಂದ್ರ ಸೇರಿದಂತೆ ವಿವಿಧ ಬೆಂಬಲ ಸೇವೆಗಳೊಂದಿಗೆ ಬೆಂಬಲಿಸುತ್ತದೆ. webಸೈಟ್, ಮತ್ತು ವಿಶ್ವಾದ್ಯಂತ ಮಾರಾಟ ಕಚೇರಿಗಳು.
ಗ್ರಾಹಕರು ಬೆಂಬಲವನ್ನು ಸಂಪರ್ಕಿಸುವ ಮೊದಲು ಮೈಕ್ರೋಚಿಪ್ ಆನ್ಲೈನ್ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಭೇಟಿ ಮಾಡಲು ಸೂಚಿಸಲಾಗಿದೆ ಏಕೆಂದರೆ ಅವರ ಪ್ರಶ್ನೆಗಳಿಗೆ ಈಗಾಗಲೇ ಉತ್ತರಿಸಲಾಗಿದೆ.
ಮೂಲಕ ತಾಂತ್ರಿಕ ಬೆಂಬಲ ಕೇಂದ್ರವನ್ನು ಸಂಪರ್ಕಿಸಿ webನಲ್ಲಿ ಸೈಟ್ www.microchip.com/support. FPGA ಸಾಧನದ ಭಾಗ ಸಂಖ್ಯೆಯನ್ನು ಉಲ್ಲೇಖಿಸಿ, ಸೂಕ್ತವಾದ ಕೇಸ್ ವರ್ಗವನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ವಿನ್ಯಾಸವನ್ನು ಅಪ್ಲೋಡ್ ಮಾಡಿ fileತಾಂತ್ರಿಕ ಬೆಂಬಲ ಪ್ರಕರಣವನ್ನು ರಚಿಸುವಾಗ ರು.
ಉತ್ಪನ್ನ ಬೆಲೆ, ಉತ್ಪನ್ನ ಅಪ್ಗ್ರೇಡ್ಗಳು, ಅಪ್ಡೇಟ್ ಮಾಹಿತಿ, ಆರ್ಡರ್ ಸ್ಥಿತಿ ಮತ್ತು ದೃಢೀಕರಣದಂತಹ ತಾಂತ್ರಿಕವಲ್ಲದ ಉತ್ಪನ್ನ ಬೆಂಬಲಕ್ಕಾಗಿ ಗ್ರಾಹಕ ಸೇವೆಯನ್ನು ಸಂಪರ್ಕಿಸಿ.
- ಉತ್ತರ ಅಮೆರಿಕಾದಿಂದ, 800.262.1060 ಗೆ ಕರೆ ಮಾಡಿ
- ಪ್ರಪಂಚದ ಇತರ ಭಾಗಗಳಿಂದ, 650.318.4460 ಗೆ ಕರೆ ಮಾಡಿ
- ಫ್ಯಾಕ್ಸ್, ಜಗತ್ತಿನ ಎಲ್ಲಿಂದಲಾದರೂ, 650.318.8044
ಮೈಕ್ರೋಚಿಪ್ ಮಾಹಿತಿ
ಮೈಕ್ರೋಚಿಪ್ Webಸೈಟ್
ಮೈಕ್ರೋಚಿಪ್ ನಮ್ಮ ಮೂಲಕ ಆನ್ಲೈನ್ ಬೆಂಬಲವನ್ನು ಒದಗಿಸುತ್ತದೆ webನಲ್ಲಿ ಸೈಟ್ www.microchip.com/. ಈ webಸೈಟ್ ಮಾಡಲು ಬಳಸಲಾಗುತ್ತದೆ fileಗಳು ಮತ್ತು ಮಾಹಿತಿಯು ಗ್ರಾಹಕರಿಗೆ ಸುಲಭವಾಗಿ ಲಭ್ಯವಿದೆ. ಲಭ್ಯವಿರುವ ಕೆಲವು ವಿಷಯಗಳು ಸೇರಿವೆ:
- ಉತ್ಪನ್ನ ಬೆಂಬಲ - ಡೇಟಾ ಶೀಟ್ಗಳು ಮತ್ತು ದೋಷಗಳು, ಅಪ್ಲಿಕೇಶನ್ ಟಿಪ್ಪಣಿಗಳು ಮತ್ತು ರುample ಪ್ರೋಗ್ರಾಂಗಳು, ವಿನ್ಯಾಸ ಸಂಪನ್ಮೂಲಗಳು, ಬಳಕೆದಾರರ ಮಾರ್ಗದರ್ಶಿಗಳು ಮತ್ತು ಹಾರ್ಡ್ವೇರ್ ಬೆಂಬಲ ದಾಖಲೆಗಳು, ಇತ್ತೀಚಿನ ಸಾಫ್ಟ್ವೇರ್ ಬಿಡುಗಡೆಗಳು ಮತ್ತು ಆರ್ಕೈವ್ ಮಾಡಿದ ಸಾಫ್ಟ್ವೇರ್
- ಸಾಮಾನ್ಯ ತಾಂತ್ರಿಕ ಬೆಂಬಲ - ಪದೇ ಪದೇ ಕೇಳಲಾಗುವ ಪ್ರಶ್ನೆಗಳು (FAQಗಳು), ತಾಂತ್ರಿಕ ಬೆಂಬಲ ವಿನಂತಿಗಳು, ಆನ್ಲೈನ್ ಚರ್ಚಾ ಗುಂಪುಗಳು, ಮೈಕ್ರೋಚಿಪ್ ವಿನ್ಯಾಸ ಪಾಲುದಾರ ಕಾರ್ಯಕ್ರಮದ ಸದಸ್ಯರ ಪಟ್ಟಿ
- ಮೈಕ್ರೋಚಿಪ್ ವ್ಯವಹಾರ - ಉತ್ಪನ್ನ ಆಯ್ಕೆ ಮತ್ತು ಆದೇಶ ಮಾರ್ಗದರ್ಶಿಗಳು, ಇತ್ತೀಚಿನ ಮೈಕ್ರೋಚಿಪ್ ಪತ್ರಿಕಾ ಪ್ರಕಟಣೆಗಳು, ಸೆಮಿನಾರ್ಗಳು ಮತ್ತು ಈವೆಂಟ್ಗಳ ಪಟ್ಟಿ, ಮೈಕ್ರೋಚಿಪ್ ಮಾರಾಟ ಕಚೇರಿಗಳು, ವಿತರಕರು ಮತ್ತು ಕಾರ್ಖಾನೆ ಪ್ರತಿನಿಧಿಗಳ ಪಟ್ಟಿಗಳು
ಉತ್ಪನ್ನ ಬದಲಾವಣೆ ಅಧಿಸೂಚನೆ ಸೇವೆ
ಮೈಕ್ರೋಚಿಪ್ನ ಉತ್ಪನ್ನ ಬದಲಾವಣೆ ಅಧಿಸೂಚನೆ ಸೇವೆಯು ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳಲ್ಲಿ ಗ್ರಾಹಕರನ್ನು ಪ್ರಸ್ತುತವಾಗಿರಿಸಲು ಸಹಾಯ ಮಾಡುತ್ತದೆ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಉತ್ಪನ್ನ ಕುಟುಂಬ ಅಥವಾ ಆಸಕ್ತಿಯ ಅಭಿವೃದ್ಧಿ ಸಾಧನಕ್ಕೆ ಸಂಬಂಧಿಸಿದ ಬದಲಾವಣೆಗಳು, ನವೀಕರಣಗಳು, ಪರಿಷ್ಕರಣೆಗಳು ಅಥವಾ ದೋಷಗಳು ಇದ್ದಾಗ ಚಂದಾದಾರರು ಇಮೇಲ್ ಅಧಿಸೂಚನೆಯನ್ನು ಸ್ವೀಕರಿಸುತ್ತಾರೆ.
ನೋಂದಾಯಿಸಲು, ಇಲ್ಲಿಗೆ ಹೋಗಿ www.microchip.com/pcn ಮತ್ತು ನೋಂದಣಿ ಸೂಚನೆಗಳನ್ನು ಅನುಸರಿಸಿ.
ಗ್ರಾಹಕ ಬೆಂಬಲ
ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳ ಬಳಕೆದಾರರು ಹಲವಾರು ಚಾನಲ್ಗಳ ಮೂಲಕ ಸಹಾಯವನ್ನು ಪಡೆಯಬಹುದು:
- ವಿತರಕ ಅಥವಾ ಪ್ರತಿನಿಧಿ
- ಸ್ಥಳೀಯ ಮಾರಾಟ ಕಚೇರಿ
- ಎಂಬೆಡೆಡ್ ಸೊಲ್ಯೂಷನ್ಸ್ ಇಂಜಿನಿಯರ್ (ಇಎಸ್ಇ)
- ತಾಂತ್ರಿಕ ಬೆಂಬಲ
ಬೆಂಬಲಕ್ಕಾಗಿ ಗ್ರಾಹಕರು ತಮ್ಮ ವಿತರಕರು, ಪ್ರತಿನಿಧಿ ಅಥವಾ ESE ಅನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು. ಗ್ರಾಹಕರಿಗೆ ಸಹಾಯ ಮಾಡಲು ಸ್ಥಳೀಯ ಮಾರಾಟ ಕಚೇರಿಗಳು ಸಹ ಲಭ್ಯವಿದೆ. ಮಾರಾಟ ಕಚೇರಿಗಳು ಮತ್ತು ಸ್ಥಳಗಳ ಪಟ್ಟಿಯನ್ನು ಈ ಡಾಕ್ಯುಮೆಂಟ್ನಲ್ಲಿ ಸೇರಿಸಲಾಗಿದೆ.
ಮೂಲಕ ತಾಂತ್ರಿಕ ಬೆಂಬಲ ಲಭ್ಯವಿದೆ webಸೈಟ್: www.microchip.com/support
ಮೈಕ್ರೋಚಿಪ್ ಸಾಧನಗಳ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯ
ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳಲ್ಲಿನ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯದ ಕೆಳಗಿನ ವಿವರಗಳನ್ನು ಗಮನಿಸಿ:
- ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳು ತಮ್ಮ ನಿರ್ದಿಷ್ಟ ಮೈಕ್ರೋಚಿಪ್ ಡೇಟಾ ಶೀಟ್ನಲ್ಲಿರುವ ವಿಶೇಷಣಗಳನ್ನು ಪೂರೈಸುತ್ತವೆ.
- ಉದ್ದೇಶಿತ ರೀತಿಯಲ್ಲಿ, ಕಾರ್ಯಾಚರಣೆಯ ವಿಶೇಷಣಗಳಲ್ಲಿ ಮತ್ತು ಸಾಮಾನ್ಯ ಪರಿಸ್ಥಿತಿಗಳಲ್ಲಿ ಬಳಸಿದಾಗ ಅದರ ಉತ್ಪನ್ನಗಳ ಕುಟುಂಬವು ಸುರಕ್ಷಿತವಾಗಿದೆ ಎಂದು ಮೈಕ್ರೋಚಿಪ್ ನಂಬುತ್ತದೆ.
- ಮೈಕ್ರೋಚಿಪ್ ತನ್ನ ಬೌದ್ಧಿಕ ಆಸ್ತಿ ಹಕ್ಕುಗಳನ್ನು ಮೌಲ್ಯೀಕರಿಸುತ್ತದೆ ಮತ್ತು ಆಕ್ರಮಣಕಾರಿಯಾಗಿ ರಕ್ಷಿಸುತ್ತದೆ. ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನದ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ಉಲ್ಲಂಘಿಸುವ ಪ್ರಯತ್ನಗಳನ್ನು ಕಟ್ಟುನಿಟ್ಟಾಗಿ ನಿಷೇಧಿಸಲಾಗಿದೆ ಮತ್ತು ಡಿಜಿಟಲ್ ಮಿಲೇನಿಯಮ್ ಹಕ್ಕುಸ್ವಾಮ್ಯ ಕಾಯಿದೆಯನ್ನು ಉಲ್ಲಂಘಿಸಬಹುದು.
- ಮೈಕ್ರೋಚಿಪ್ ಅಥವಾ ಯಾವುದೇ ಇತರ ಸೆಮಿಕಂಡಕ್ಟರ್ ತಯಾರಕರು ಅದರ ಕೋಡ್ನ ಸುರಕ್ಷತೆಯನ್ನು ಖಾತರಿಪಡಿಸುವುದಿಲ್ಲ. ಕೋಡ್ ರಕ್ಷಣೆ ಎಂದರೆ ಉತ್ಪನ್ನವು "ಮುರಿಯಲಾಗದು" ಎಂದು ನಾವು ಖಾತರಿಪಡಿಸುತ್ತೇವೆ ಎಂದು ಅರ್ಥವಲ್ಲ.
ಕೋಡ್ ರಕ್ಷಣೆ ನಿರಂತರವಾಗಿ ವಿಕಸನಗೊಳ್ಳುತ್ತಿದೆ. ಮೈಕ್ರೋಚಿಪ್ ನಮ್ಮ ಉತ್ಪನ್ನಗಳ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನಿರಂತರವಾಗಿ ಸುಧಾರಿಸಲು ಬದ್ಧವಾಗಿದೆ.
ಕಾನೂನು ಸೂಚನೆ
ನಿಮ್ಮ ಅಪ್ಲಿಕೇಶನ್ನೊಂದಿಗೆ ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳನ್ನು ವಿನ್ಯಾಸಗೊಳಿಸಲು, ಪರೀಕ್ಷಿಸಲು ಮತ್ತು ಸಂಯೋಜಿಸಲು ಸೇರಿದಂತೆ ಈ ಪ್ರಕಟಣೆ ಮತ್ತು ಇಲ್ಲಿರುವ ಮಾಹಿತಿಯನ್ನು ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳೊಂದಿಗೆ ಮಾತ್ರ ಬಳಸಬಹುದು. ಈ ಮಾಹಿತಿಯನ್ನು ಬೇರೆ ಯಾವುದೇ ರೀತಿಯಲ್ಲಿ ಬಳಸುವುದು ಈ ನಿಯಮಗಳನ್ನು ಉಲ್ಲಂಘಿಸುತ್ತದೆ. ಸಾಧನದ ಅಪ್ಲಿಕೇಶನ್ಗಳಿಗೆ ಸಂಬಂಧಿಸಿದ ಮಾಹಿತಿಯನ್ನು ನಿಮ್ಮ ಅನುಕೂಲಕ್ಕಾಗಿ ಮಾತ್ರ ಒದಗಿಸಲಾಗಿದೆ ಮತ್ತು ನವೀಕರಣಗಳ ಮೂಲಕ ಅದನ್ನು ರದ್ದುಗೊಳಿಸಬಹುದು. ನಿಮ್ಮ ಅಪ್ಲಿಕೇಶನ್ ನಿಮ್ಮ ವಿಶೇಷಣಗಳನ್ನು ಪೂರೈಸುತ್ತದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳುವುದು ನಿಮ್ಮ ಜವಾಬ್ದಾರಿಯಾಗಿದೆ. ಹೆಚ್ಚುವರಿ ಬೆಂಬಲಕ್ಕಾಗಿ ನಿಮ್ಮ ಸ್ಥಳೀಯ ಮೈಕ್ರೋಚಿಪ್ ಮಾರಾಟ ಕಚೇರಿಯನ್ನು ಸಂಪರ್ಕಿಸಿ ಅಥವಾ, ಹೆಚ್ಚುವರಿ ಬೆಂಬಲವನ್ನು ಪಡೆದುಕೊಳ್ಳಿ www.microchip.com/en-us/support/design-help/client-support-services.
ಈ ಮಾಹಿತಿಯನ್ನು ಮೈಕ್ರೋಚಿಪ್ "ಇರುವಂತೆ" ಒದಗಿಸಿದೆ. MICROCHIP ಯಾವುದೇ ರೀತಿಯ ಪ್ರಾತಿನಿಧ್ಯಗಳನ್ನು ಅಥವಾ ವಾರಂಟಿಗಳನ್ನು ನೀಡುವುದಿಲ್ಲ, ಲಿಖಿತ ಅಥವಾ ಮೌಖಿಕ, ಲಿಖಿತ ಅಥವಾ ಮೌಖಿಕ, ಶಾಸನಬದ್ಧ ಅಥವಾ ಇನ್ನಾವುದೇ, ಸೂಚಿಸಿರುವ ಮಾಹಿತಿಗೆ ಸಂಬಂಧಿಸಿದೆ ಉಲ್ಲಂಘನೆಯಿಲ್ಲದ, ವ್ಯಾಪಾರದ ಮತ್ತು ನಿರ್ದಿಷ್ಟ ಉದ್ದೇಶಕ್ಕಾಗಿ ಫಿಟ್ನೆಸ್, ಅಥವಾ ಅದರ ಸ್ಥಿತಿ, ಗುಣಮಟ್ಟ ಅಥವಾ ಕಾರ್ಯಕ್ಷಮತೆಗೆ ಸಂಬಂಧಿಸಿದ ವಾರಂಟಿಗಳು.
ಯಾವುದೇ ಸಂದರ್ಭದಲ್ಲಿ ಮೈಕ್ರೋಚಿಪ್ ಯಾವುದೇ ಪರೋಕ್ಷ, ವಿಶೇಷ, ದಂಡನಾತ್ಮಕ, ಪ್ರಾಸಂಗಿಕ, ಅಥವಾ ಅನುಕ್ರಮವಾದ ನಷ್ಟ, ಹಾನಿ, ವೆಚ್ಚ, ಅಥವಾ ಯಾವುದೇ ರೀತಿಯ ಬಳಕೆಗೆ ಸಂಬಂಧಿಸಿದ ಯಾವುದೇ ವೆಚ್ಚಗಳಿಗೆ ಜವಾಬ್ದಾರನಾಗಿರುವುದಿಲ್ಲ ಆದಾಗ್ಯೂ, ಮೈಕ್ರೋಚಿಪ್ ಸಾಧ್ಯತೆಯ ಬಗ್ಗೆ ಸಲಹೆ ನೀಡಿದ್ದರೂ ಅಥವಾ ಹಾನಿಗಳು ನಿರೀಕ್ಷಿತವೇ ಆಗಿದ್ದರೂ ಸಹ. ಕಾನೂನಿನಿಂದ ಅನುಮತಿಸಲಾದ ಪೂರ್ಣ ಪ್ರಮಾಣದಲ್ಲಿ, ಮಾಹಿತಿಗೆ ಸಂಬಂಧಿಸಿದ ಯಾವುದೇ ರೀತಿಯಲ್ಲಿ ಎಲ್ಲಾ ಹಕ್ಕುಗಳ ಮೇಲೆ ಮೈಕ್ರೋಚಿಪ್ನ ಒಟ್ಟು ಹೊಣೆಗಾರಿಕೆ ಅಥವಾ ಅದರ ಬಳಕೆಯು ನೀವು ಎಷ್ಟು ಪ್ರಮಾಣದ ಫೀಡ್ಗಳನ್ನು ಮೀರುವುದಿಲ್ಲ, ಮಾಹಿತಿಗಾಗಿ ನೇರವಾಗಿ ಮೈಕ್ರೋಚಿಪ್ಗೆ.
ಲೈಫ್ ಸಪೋರ್ಟ್ ಮತ್ತು/ಅಥವಾ ಸುರಕ್ಷತಾ ಅಪ್ಲಿಕೇಶನ್ಗಳಲ್ಲಿ ಮೈಕ್ರೋಚಿಪ್ ಸಾಧನಗಳ ಬಳಕೆಯು ಸಂಪೂರ್ಣವಾಗಿ ಖರೀದಿದಾರನ ಅಪಾಯದಲ್ಲಿದೆ, ಮತ್ತು ಅಂತಹ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಮತ್ತು ಎಲ್ಲಾ ಹಾನಿಗಳು, ಕ್ಲೈಮ್ಗಳು, ಸೂಟ್ಗಳು ಅಥವಾ ವೆಚ್ಚಗಳಿಂದ ನಿರುಪದ್ರವ ಮೈಕ್ರೋಚಿಪ್ ಅನ್ನು ರಕ್ಷಿಸಲು, ಸರಿದೂಗಿಸಲು ಮತ್ತು ಹಿಡಿದಿಡಲು ಖರೀದಿದಾರರು ಒಪ್ಪುತ್ತಾರೆ. ಯಾವುದೇ ಮೈಕ್ರೋಚಿಪ್ ಬೌದ್ಧಿಕ ಆಸ್ತಿ ಹಕ್ಕುಗಳ ಅಡಿಯಲ್ಲಿ ಸೂಚಿಸದ ಹೊರತು ಯಾವುದೇ ಪರವಾನಗಿಗಳನ್ನು ಸೂಚ್ಯವಾಗಿ ಅಥವಾ ಬೇರೆ ರೀತಿಯಲ್ಲಿ ತಿಳಿಸಲಾಗುವುದಿಲ್ಲ.
ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳು
ಮೈಕ್ರೋಚಿಪ್ ಹೆಸರು ಮತ್ತು ಲೋಗೋ, ಮೈಕ್ರೋಚಿಪ್ ಲೋಗೋ, ಅಡಾಪ್ಟೆಕ್, AVR, AVR ಲೋಗೋ, AVR ಫ್ರೀಕ್ಸ್, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeLoq, KeLoq, , MediaLB, megaAVR, ಮೈಕ್ರೋಸೆಮಿ, ಮೈಕ್ರೋಸೆಮಿ ಲೋಗೋ, MOST, MOST ಲೋಗೋ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 ಲೋಗೋ, PolarFire, Prochip ಡಿಸೈನರ್, QTouch, SAM-BA, SenGenuity, SpyNIC, SpyNIC, ಎಸ್ಎಸ್ಟಿ ಲೋಗೋ , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, ಮತ್ತು XMEGA ಗಳು USA ಮತ್ತು ಇತರ ದೇಶಗಳಲ್ಲಿ ಮೈಕ್ರೊಚಿಪ್ ತಂತ್ರಜ್ಞಾನದ ನೋಂದಾಯಿತ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ.
AgileSwitch, APT, ClockWorks, The EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, Proasic, ProASIC ಪ್ಲಸ್, ಕ್ವಾಸಿಕ್ ಪ್ಲಸ್ ಲೋಗೋ, ಕ್ವಾಸಿಕ್ ಪ್ಲಸ್ ಲೋಗೋ Cesium, TimeHub, TimePictra, TimeProvider, TrueTime, ಮತ್ತು ZL ಇವುಗಳು USA ನಲ್ಲಿ ಅಳವಡಿಸಲಾಗಿರುವ ಮೈಕ್ರೋಚಿಪ್ ತಂತ್ರಜ್ಞಾನದ ನೋಂದಾಯಿತ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ.
ಪಕ್ಕದ ಕೀ ಸಪ್ರೆಶನ್, AKS, ಅನಲಾಗ್-ಫಾರ್-ದಿ-ಡಿಜಿಟಲ್ ಏಜ್, ಯಾವುದೇ ಕೆಪಾಸಿಟರ್, AnyIn, AnyOut, ವರ್ಧಿತ ಸ್ವಿಚಿಂಗ್, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoCompanion, CryptoCompanion, CryptoCompanion. ಡೈನಾಮಿಕ್ ಆವರೇಜ್ ಮ್ಯಾಚಿಂಗ್, DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, ಇನ್-ಸರ್ಕ್ಯೂಟ್ ಸೀರಿಯಲ್ ಪ್ರೋಗ್ರಾಮಿಂಗ್, ICSP, INICnet, ಇಂಟೆಲಿಜೆಂಟ್ ಪ್ಯಾರಲಲಿಂಗ್, IntelliMOS, ಇಂಟರ್-ಚಿಪ್ ಕನೆಕ್ಟಿವಿಟಿ, Kitterblocker, ಮ್ಯಾಚ್ಬ್ಲಾಕರ್, ಜಿಟ್ಟರ್ಬ್ಲಾಕರ್View, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB ಪ್ರಮಾಣೀಕೃತ ಲೋಗೋ, MPLIB, MPLINK, MultiTRAK, NetDetach, ಸರ್ವಜ್ಞ ಕೋಡ್ ಜನರೇಷನ್, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, ರಿಯಲ್ ಐಸ್, ರಿಪ್ಪಲ್ ಬ್ಲಾಕರ್, RTAX, RTG4, SAMICE, ಸೀರಿಯಲ್ ಕ್ವಾಡ್ I/O, ಸಿಂಪಲ್ಮ್ಯಾಪ್, ಸಿಂಪ್ಲಿಫಿ, ಸ್ಮಾರ್ಟ್ಬಫರ್, SmartHLS, SMART-IS, storClad, SQI,
SuperSwitcher II, Switchtec, SynchroPHY, ಒಟ್ಟು ಸಹಿಷ್ಣುತೆ, ವಿಶ್ವಾಸಾರ್ಹ ಸಮಯ, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, Viewಸ್ಪ್ಯಾನ್, ವೈಪರ್ಲಾಕ್, ಎಕ್ಸ್ಪ್ರೆಸ್ಕನೆಕ್ಟ್ ಮತ್ತು ಝೆನಾ ಮೈಕ್ರೊಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಇನ್ಕಾರ್ಪೊರೇಟೆಡ್ನ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ
USA ಮತ್ತು ಇತರ ದೇಶಗಳಲ್ಲಿ.
SQTP ಯುಎಸ್ಎಯಲ್ಲಿ ಮೈಕ್ರೊಚಿಪ್ ತಂತ್ರಜ್ಞಾನದ ಸೇವಾ ಚಿಹ್ನೆಯಾಗಿದೆ
ಅಡಾಪ್ಟೆಕ್ ಲೋಗೋ, ಫ್ರೀಕ್ವೆನ್ಸಿ ಆನ್ ಡಿಮ್ಯಾಂಡ್, ಸಿಲಿಕಾನ್ ಸ್ಟೋರೇಜ್ ಟೆಕ್ನಾಲಜಿ ಮತ್ತು ಸಿಮ್ಕಾಮ್ ಇತರ ದೇಶಗಳಲ್ಲಿ ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಇಂಕ್.ನ ನೋಂದಾಯಿತ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ.
GestIC ಎಂಬುದು ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಜರ್ಮನಿ II GmbH & Co. KG ನ ನೋಂದಾಯಿತ ಟ್ರೇಡ್ಮಾರ್ಕ್ ಆಗಿದೆ, ಇದು ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ Inc. ನ ಅಂಗಸಂಸ್ಥೆಯಾಗಿದೆ.
ಇಲ್ಲಿ ಉಲ್ಲೇಖಿಸಲಾದ ಎಲ್ಲಾ ಇತರ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳು ಆಯಾ ಕಂಪನಿಗಳ ಆಸ್ತಿ.
© 2023, ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಇನ್ಕಾರ್ಪೊರೇಟೆಡ್ ಮತ್ತು ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳು. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ.
ISBN: 978-1-6683-3694-6
ಗುಣಮಟ್ಟ ನಿರ್ವಹಣಾ ವ್ಯವಸ್ಥೆ
ಮೈಕ್ರೋಚಿಪ್ನ ಗುಣಮಟ್ಟ ನಿರ್ವಹಣಾ ವ್ಯವಸ್ಥೆಗಳ ಕುರಿತು ಮಾಹಿತಿಗಾಗಿ, ದಯವಿಟ್ಟು ಭೇಟಿ ನೀಡಿ www.microchip.com/qualitty.
ಅಮೇರಿಕಾ | ASIA/PACIFIC | ASIA/PACIFIC | ಯುರೋಪ್ |
ಕಾರ್ಪೊರೇಟ್ ಕಚೇರಿ 2355 ವೆಸ್ಟ್ ಚಾಂಡ್ಲರ್ ಬುಲೇವಾರ್ಡ್. ಚಾಂಡ್ಲರ್, AZ 85224-6199 ದೂರವಾಣಿ: 480-792-7200 ಫ್ಯಾಕ್ಸ್: 480-792-7277 ತಾಂತ್ರಿಕ ಬೆಂಬಲ: www.microchip.com/support Web ವಿಳಾಸ: www.microchip.com ಅಟ್ಲಾಂಟಾ ಡುಲುತ್, ಜಿಎ ದೂರವಾಣಿ: 678-957-9614 ಫ್ಯಾಕ್ಸ್: 678-957-1455 ಆಸ್ಟಿನ್, TX ದೂರವಾಣಿ: 512-257-3370 ಬೋಸ್ಟನ್ ವೆಸ್ಟ್ಬರೋ, MA ದೂರವಾಣಿ: 774-760-0087 ಫ್ಯಾಕ್ಸ್: 774-760-0088 ಚಿಕಾಗೋ ಇಟಾಸ್ಕಾ, IL ದೂರವಾಣಿ: 630-285-0071 ಫ್ಯಾಕ್ಸ್: 630-285-0075 ಡಲ್ಲಾಸ್ ಅಡಿಸನ್, ಟಿಎಕ್ಸ್ ದೂರವಾಣಿ: 972-818-7423 ಫ್ಯಾಕ್ಸ್: 972-818-2924 ಡೆಟ್ರಾಯಿಟ್ ನೋವಿ, MI ದೂರವಾಣಿ: 248-848-4000 ಹೂಸ್ಟನ್, TX ದೂರವಾಣಿ: 281-894-5983 ಇಂಡಿಯಾನಾಪೊಲಿಸ್ ನೋಬಲ್ಸ್ವಿಲ್ಲೆ, IN ದೂರವಾಣಿ: 317-773-8323 ಫ್ಯಾಕ್ಸ್: 317-773-5453 ದೂರವಾಣಿ: 317-536-2380 ಲಾಸ್ ಏಂಜಲೀಸ್ ಮಿಷನ್ ವಿಜೊ, CA ದೂರವಾಣಿ: 949-462-9523 ಫ್ಯಾಕ್ಸ್: 949-462-9608 ದೂರವಾಣಿ: 951-273-7800 ರೇಲಿ, NC ದೂರವಾಣಿ: 919-844-7510 ನ್ಯೂಯಾರ್ಕ್, NY ದೂರವಾಣಿ: 631-435-6000 ಸ್ಯಾನ್ ಜೋಸ್, CA ದೂರವಾಣಿ: 408-735-9110 ದೂರವಾಣಿ: 408-436-4270 ಕೆನಡಾ - ಟೊರೊಂಟೊ ದೂರವಾಣಿ: 905-695-1980 ಫ್ಯಾಕ್ಸ್: 905-695-2078 |
ಆಸ್ಟ್ರೇಲಿಯಾ - ಸಿಡ್ನಿ ದೂರವಾಣಿ: 61-2-9868-6733 ಚೀನಾ - ಬೀಜಿಂಗ್ ದೂರವಾಣಿ: 86-10-8569-7000 ಚೀನಾ - ಚೆಂಗ್ಡು ದೂರವಾಣಿ: 86-28-8665-5511 ಚೀನಾ - ಚಾಂಗ್ಕಿಂಗ್ ದೂರವಾಣಿ: 86-23-8980-9588 ಚೀನಾ - ಡಾಂಗ್ಗುವಾನ್ ದೂರವಾಣಿ: 86-769-8702-9880 ಚೀನಾ - ಗುವಾಂಗ್ಝೌ ದೂರವಾಣಿ: 86-20-8755-8029 ಚೀನಾ - ಹ್ಯಾಂಗ್ಝೌ ದೂರವಾಣಿ: 86-571-8792-8115 ಚೀನಾ - ಹಾಂಗ್ ಕಾಂಗ್ SAR ದೂರವಾಣಿ: 852-2943-5100 ಚೀನಾ - ನಾನ್ಜಿಂಗ್ ದೂರವಾಣಿ: 86-25-8473-2460 ಚೀನಾ - ಕಿಂಗ್ಡಾವೊ ದೂರವಾಣಿ: 86-532-8502-7355 ಚೀನಾ - ಶಾಂಘೈ ದೂರವಾಣಿ: 86-21-3326-8000 ಚೀನಾ - ಶೆನ್ಯಾಂಗ್ ದೂರವಾಣಿ: 86-24-2334-2829 ಚೀನಾ - ಶೆನ್ಜೆನ್ ದೂರವಾಣಿ: 86-755-8864-2200 ಚೀನಾ - ಸುಝೌ ದೂರವಾಣಿ: 86-186-6233-1526 ಚೀನಾ - ವುಹಾನ್ ದೂರವಾಣಿ: 86-27-5980-5300 ಚೀನಾ - ಕ್ಸಿಯಾನ್ ದೂರವಾಣಿ: 86-29-8833-7252 ಚೀನಾ - ಕ್ಸಿಯಾಮೆನ್ ದೂರವಾಣಿ: 86-592-2388138 ಚೀನಾ - ಝುಹೈ ದೂರವಾಣಿ: 86-756-3210040 |
ಭಾರತ - ಬೆಂಗಳೂರು ದೂರವಾಣಿ: 91-80-3090-4444 ಭಾರತ - ನವದೆಹಲಿ ದೂರವಾಣಿ: 91-11-4160-8631 ಭಾರತ - ಪುಣೆ ದೂರವಾಣಿ: 91-20-4121-0141 ಜಪಾನ್ - ಒಸಾಕಾ ದೂರವಾಣಿ: 81-6-6152-7160 ಜಪಾನ್ - ಟೋಕಿಯೋ ದೂರವಾಣಿ: 81-3-6880- 3770 ಕೊರಿಯಾ - ಡೇಗು ದೂರವಾಣಿ: 82-53-744-4301 ಕೊರಿಯಾ - ಸಿಯೋಲ್ ದೂರವಾಣಿ: 82-2-554-7200 ಮಲೇಷ್ಯಾ - ಕೌಲಾಲಂಪುರ್ ದೂರವಾಣಿ: 60-3-7651-7906 ಮಲೇಷ್ಯಾ - ಪೆನಾಂಗ್ ದೂರವಾಣಿ: 60-4-227-8870 ಫಿಲಿಪೈನ್ಸ್ - ಮನಿಲಾ ದೂರವಾಣಿ: 63-2-634-9065 ಸಿಂಗಾಪುರ ದೂರವಾಣಿ: 65-6334-8870 ತೈವಾನ್ - ಹ್ಸಿನ್ ಚು ದೂರವಾಣಿ: 886-3-577-8366 ತೈವಾನ್ - ಕಾಹ್ಸಿಯುಂಗ್ ದೂರವಾಣಿ: 886-7-213-7830 ತೈವಾನ್ - ತೈಪೆ ದೂರವಾಣಿ: 886-2-2508-8600 ಥೈಲ್ಯಾಂಡ್ - ಬ್ಯಾಂಕಾಕ್ ದೂರವಾಣಿ: 66-2-694-1351 ವಿಯೆಟ್ನಾಂ - ಹೋ ಚಿ ಮಿನ್ಹ್ ದೂರವಾಣಿ: 84-28-5448-2100 |
ಆಸ್ಟ್ರಿಯಾ - ವೆಲ್ಸ್ ದೂರವಾಣಿ: 43-7242-2244-39 ಫ್ಯಾಕ್ಸ್: 43-7242-2244-393 ಡೆನ್ಮಾರ್ಕ್ - ಕೋಪನ್ ಹ್ಯಾಗನ್ ದೂರವಾಣಿ: 45-4485-5910 ಫ್ಯಾಕ್ಸ್: 45-4485-2829 ಫಿನ್ಲ್ಯಾಂಡ್ - ಎಸ್ಪೂ ದೂರವಾಣಿ: 358-9-4520-820 ಫ್ರಾನ್ಸ್ - ಪ್ಯಾರಿಸ್ Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 ಜರ್ಮನಿ - ಗಾರ್ಚಿಂಗ್ ದೂರವಾಣಿ: 49-8931-9700 ಜರ್ಮನಿ - ಹಾನ್ ದೂರವಾಣಿ: 49-2129-3766400 ಜರ್ಮನಿ - ಹೈಲ್ಬ್ರಾನ್ ದೂರವಾಣಿ: 49-7131-72400 ಜರ್ಮನಿ - ಕಾರ್ಲ್ಸ್ರುಹೆ ದೂರವಾಣಿ: 49-721-625370 ಜರ್ಮನಿ - ಮ್ಯೂನಿಚ್ Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 ಜರ್ಮನಿ - ರೋಸೆನ್ಹೈಮ್ ದೂರವಾಣಿ: 49-8031-354-560 ಇಸ್ರೇಲ್ - ರಾಅನಾನಾ ದೂರವಾಣಿ: 972-9-744-7705 ಇಟಲಿ - ಮಿಲನ್ ದೂರವಾಣಿ: 39-0331-742611 ಫ್ಯಾಕ್ಸ್: 39-0331-466781 ಇಟಲಿ - ಪಡೋವಾ ದೂರವಾಣಿ: 39-049-7625286 ನೆದರ್ಲ್ಯಾಂಡ್ಸ್ - ಡ್ರುನೆನ್ ದೂರವಾಣಿ: 31-416-690399 ಫ್ಯಾಕ್ಸ್: 31-416-690340 ನಾರ್ವೆ - ಟ್ರೊಂಡೆಮ್ ದೂರವಾಣಿ: 47-72884388 ಪೋಲೆಂಡ್ - ವಾರ್ಸಾ ದೂರವಾಣಿ: 48-22-3325737 ರೊಮೇನಿಯಾ - ಬುಕಾರೆಸ್ಟ್ Tel: 40-21-407-87-50 ಸ್ಪೇನ್ - ಮ್ಯಾಡ್ರಿಡ್ Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 ಸ್ವೀಡನ್ - ಗೋಥೆನ್ಬರ್ಗ್ Tel: 46-31-704-60-40 ಸ್ವೀಡನ್ - ಸ್ಟಾಕ್ಹೋಮ್ ದೂರವಾಣಿ: 46-8-5090-4654 ಯುಕೆ - ವೋಕಿಂಗ್ಹ್ಯಾಮ್ ದೂರವಾಣಿ: 44-118-921-5800 ಫ್ಯಾಕ್ಸ್: 44-118-921-5820 |
© 2023 ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ Inc. ಮತ್ತು ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳು
DS50003627A -
ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು
![]() |
ಮೈಕ್ರೋಚಿಪ್ ಲಿಬೆರೊ SoC ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ಸಾಫ್ಟ್ವೇರ್ [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ DS50003627A, Libero SoC ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ಸಾಫ್ಟ್ವೇರ್, SoC ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ಸಾಫ್ಟ್ವೇರ್, ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ ಸಾಫ್ಟ್ವೇರ್, ಲೈಬ್ರರಿ ಸಾಫ್ಟ್ವೇರ್, ಸಾಫ್ಟ್ವೇರ್ |