માઈક્રોચીપ લોગો Libero SoC સિમ્યુલેશન
લાઇબ્રેરી સેટઅપ સૂચનાઓ

પરિચય

(પ્રશ્ન પૂછો)

આ દસ્તાવેજનો હેતુ ઇનપુટ તરીકે Libero SoC પ્રોજેક્ટનો ઉપયોગ કરીને સિમ્યુલેશન એન્વાયર્નમેન્ટ સેટ કરવાની પ્રક્રિયાનું વર્ણન કરવાનો છે. આ દસ્તાવેજીકરણ Libero SoC v11.9 અને નવા સોફ્ટવેર રીલીઝ સાથે ઉપયોગ માટે પૂરી પાડવામાં આવેલ પૂર્વ-સંકલિત પુસ્તકાલયોને અનુરૂપ છે. પૂરી પાડવામાં આવેલ લાઈબ્રેરીઓ વેરીલોગ માટે સંકલિત કરવામાં આવી છે. VHDL વપરાશકર્તાઓને મિશ્ર-મોડ સિમ્યુલેશનને મંજૂરી આપતા લાયસન્સની જરૂર છે.
સંકલિત સિમ્યુલેશન લાઇબ્રેરીઓ નીચેના સાધનો માટે ઉપલબ્ધ છે:

  • એલ્ડેક એક્ટિવ-એચડીએલ
  • એલ્ડેક રિવેરા-પ્રો
  • કેડન્સ ઇન્સીસીવ એન્ટરપ્રાઇઝ અને એક્સેલિયમ
  • સિમેન્સ ક્વેસ્ટાસિમ
  • સિનોપ્સિસ વીસીએસ

અલગ સિમ્યુલેટર માટે લાઇબ્રેરીની વિનંતી કરવા માટે, સંપર્ક કરો માઇક્રોચિપ ટેકનિકલ સપોર્ટ.

લિબેરો એસઓસી એકીકરણ

(પ્રશ્ન પૂછો)

Libero SoC એક run.do જનરેટ કરીને ModelSim ME નો ઉપયોગ કરીને સિમ્યુલેશનને સપોર્ટ કરે છે file. આ file સિમ્યુલેશન સેટ કરવા અને ચલાવવા માટે ModelSim ME/ModelSim Pro ME દ્વારા ઉપયોગમાં લેવાય છે. અન્ય સિમ્યુલેશન સાધનોનો ઉપયોગ કરવા માટે, તમે ModelSim ME/ModelSim Pro ME run.do જનરેટ કરી શકો છો અને Tcl સ્ક્રિપ્ટમાં ફેરફાર કરી શકો છો. file તમારા સિમ્યુલેટર સાથે સુસંગત હોય તેવા આદેશોનો ઉપયોગ કરવા માટે.
1.1 Libero SoC Tcl File પેઢી (પ્રશ્ન પૂછો)
Libero SoC માં ડિઝાઇન બનાવ્યા અને જનરેટ કર્યા પછી, તમામ ડિઝાઇન તબક્કાઓ (presynth, postsynth, અને post-layout) હેઠળ એક ModelSim ME/ModelSim Pro ME સિમ્યુલેશન શરૂ કરો. આ પગલું run.do જનરેટ કરે છે file દરેક ડિઝાઇન તબક્કા માટે ModelSim ME/ModelSim Pro ME માટે.
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: દરેક સિમ્યુલેશન રન શરૂ કર્યા પછી, ઓટો-જનરેટેડ run.do નું નામ બદલો file Libero SoC ને ઓવરરાઇટ કરવાથી અટકાવવા માટે સિમ્યુલેશન ડિરેક્ટરી હેઠળ file. માજી માટેampલે, ધ files નું નામ બદલીને presynth_run.do, postsynth_run.do અને postlayout_run.do કરી શકાય છે.

એક્ટિવ-એચડીએલ અને રિવેરા-પ્રો માટે એલ્ડેક સેટઅપ (પ્રશ્ન પૂછો)

રન.ડો file ModelSim ME/ModelSim Pro ME દ્વારા ઉપયોગમાં લેવાયેલ એલ્ડેક સિમ્યુલેટરનો ઉપયોગ કરીને સિમ્યુલેશન માટે સુધારી શકાય છે અને તેનો ઉપયોગ કરી શકાય છે.
2.1 પર્યાવરણ ચલ (પ્રશ્ન પૂછો)
તમારા લાઇસન્સ માટે તમારા પર્યાવરણ ચલ સેટ કરો file સ્થાન:
LM_LICENSE_FILE: લાયસન્સ સર્વર પર નિર્દેશક શામેલ હોવું આવશ્યક છે.
2.2 સંકલિત પુસ્તકાલય ડાઉનલોડ કરો (પ્રશ્ન પૂછો)
માઇક્રોચિપમાંથી Aldec Active-HDL અને Aldec Riviera-PRO માટે લાઇબ્રેરીઓ ડાઉનલોડ કરો webસાઇટ
2.3 એલ્ડેક સિમ્યુલેશન માટે run.do ને કન્વર્ટ કરવું (પ્રશ્ન પૂછો)
રન.ડો fileએક્ટિવ-એચડીએલ અને રિવેરા-પ્રો ટૂલનો ઉપયોગ કરીને સિમ્યુલેશન માટે લિબેરો એસઓસી દ્વારા જનરેટ કરવામાં આવે છે, એક જ ફેરફાર સાથે એક્ટિવ-એચડીએલ અને રિવેરા-પ્રોનો ઉપયોગ કરીને સિમ્યુલેશન માટે ઉપયોગ કરી શકાય છે. નીચેનું કોષ્ટક ModelSim run.do માં ફેરફાર કરવા માટે Aldec-સમકક્ષ આદેશોની યાદી આપે છે. file.
કોષ્ટક 2-1. એલ્ડેક સમકક્ષ આદેશો

મોડલસિમ સક્રિય-એચડીએલ
vlog અલગ રીતે
vcom acom
vlib અલિબ
vsim અસીમ
vmap amap

નીચે મુજબ છેampએલ્ડેક સિમ્યુલેટરથી સંબંધિત le run.do.

  1. વર્તમાન કાર્યકારી નિર્દેશિકાનું સ્થાન સેટ કરો.
    dsn સેટ કરો
  2. કાર્યકારી લાઇબ્રેરીનું નામ સેટ કરો, તેના સ્થાનનો નકશો બનાવો અને પછી માઇક્રોચિપ એફપીજીએ પરિવારના સ્થાનનો નકશો બનાવો
    પૂર્વસંકલિત પુસ્તકાલયો (દા.તample, SmartFusion2) જેના પર તમે તમારી ડિઝાઇન ચલાવી રહ્યા છો.
    અલિબ પ્રેસિન્થ
    amap presynth presynth
    amap SmartFusion2
  3. બધા જરૂરી HDL કમ્પાઇલ કરો files નો ઉપયોગ જરૂરી લાઇબ્રેરી સાથે ડિઝાઇનમાં થાય છે.
    alog -work presynth temp.v (વેરીલોગ માટે)
    alog -work presynth testbench.v
    acom -work presynth temp.vhd (Vhdl માટે)
    acom -work presynth testbench.vhd
  4. ડિઝાઇનનું અનુકરણ કરો.
    asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
    10us ચલાવો

2.4 જાણીતા મુદ્દાઓ (પ્રશ્ન પૂછો)
આ વિભાગ જાણીતા મુદ્દાઓ અને મર્યાદાઓની યાદી આપે છે.

  • Riviera-PRO નો ઉપયોગ કરીને કમ્પાઈલ કરવામાં આવેલ લાઈબ્રેરીઓ પ્લેટફોર્મ સ્પેસિફિક છે (એટલે ​​​​કે 64-બીટ લાઈબ્રેરીઓ 32-બીટ પ્લેટફોર્મ પર ચલાવી શકાતી નથી અને તેનાથી વિપરીત).
  • SERDES/MDDR/FDDR ધરાવતી ડિઝાઇન માટે, તમારા run.do માં નીચેના વિકલ્પનો ઉપયોગ કરો files તેમની ડિઝાઇનનું સંકલન કર્યા પછી સિમ્યુલેશન ચલાવતી વખતે:
    - સક્રિય-HDL: અસીમ -o2
    – રિવેરા-પ્રો: અસિમ –ઓ2 (પ્રેસિન્થ અને પોસ્ટ-લેઆઉટ સિમ્યુલેશન માટે) અને અસિમ –ઓ5 (લેઆઉટ પછીના સિમ્યુલેશન માટે)
    Active-HDL અને Riviera-Pro માટે Aldec સેટઅપમાં નીચેના બાકી SAR છે. વધુ માહિતી માટે, સંપર્ક કરો માઇક્રોચિપ ટેકનિકલ સપોર્ટ.
  • SAR 49908 - સક્રિય-HDL: ગણિત બ્લોક સિમ્યુલેશન માટે VHDL ભૂલ
  • SAR 50627 – Riviera-PRO 2013.02: SERDES ડિઝાઇન માટે સિમ્યુલેશન ભૂલો
  • SAR 50461 – Riviera-PRO: સિમ્યુલેશનમાં અસીમ -O2/-O5 વિકલ્પ

કેડન્સ ઇન્સીસીવ સેટઅપ (પ્રશ્ન પૂછો)

તમારે સ્ક્રિપ્ટ બનાવવાની જરૂર છે file ચલાવવા માટે ModelSim ME/ModelSim Pro ME run.do જેવું જ છે
કેડન્સ ઇન્સીસિવ સિમ્યુલેટર. આ પગલાં અનુસરો અને સ્ક્રિપ્ટ બનાવો file NCSim માટે અથવા સ્ક્રિપ્ટનો ઉપયોગ કરો file
ModelSim ME/ModelSim Pro ME run.do ને કન્વર્ટ કરવા માટે પ્રદાન કરેલ છે fileરૂપરેખાંકનમાં s files
NCSim નો ઉપયોગ કરીને સિમ્યુલેશન ચલાવવા માટે જરૂરી છે.
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: કેડેન્સ ઇન્સીસિવ એન્ટરપ્રાઇઝના નવા વર્ઝનને રિલીઝ કરવાનું બંધ કરી દીધું છે
સિમ્યુલેટર અને Xcelium સિમ્યુલેટરને ટેકો આપવાનું શરૂ કર્યું.

3.1 પર્યાવરણ ચલો (પ્રશ્ન પૂછો)
Cadence Incisive સિમ્યુલેટર ચલાવવા માટે, નીચેના પર્યાવરણ ચલોને ગોઠવો:

  1. LM_LICENSE_FILE: લાઇસન્સ માટે નિર્દેશક શામેલ હોવું આવશ્યક છે file.
  2. cds_root: કેડેન્સ ઇન્સીસિવ ઇન્સ્ટોલેશનના હોમ ડિરેક્ટરી સ્થાન તરફ નિર્દેશ કરવો આવશ્યક છે.
  3. PATH: cds_root દ્વારા નિર્દેશિત ટૂલ્સ ડાયરેક્ટરી હેઠળ બિન સ્થાન તરફ નિર્દેશ કરવો આવશ્યક છે એટલે કે,
    $cds_root/tools/bin/64bit (64-bit મશીન માટે અને $cds_root/tools/bin 32-bit મશીન માટે).
    64-બીટ અને 32-બીટ ઓપરેટિંગ સિસ્ટમ વચ્ચે સ્વિચ કરવાના કિસ્સામાં સિમ્યુલેશન એન્વાયર્નમેન્ટ સેટ કરવાની ત્રણ રીતો છે:

કેસ 1: PATH ચલ
નીચેનો આદેશ ચલાવો:
64bit મશીનો માટે પાથ = (install_dir/tools/bin/64bit $path) સેટ કરો અને
32bit મશીનો માટે પાથ = (install_dir/tools/bin $path) સેટ કરો
કેસ 2: -64bit કમાન્ડ-લાઇન વિકલ્પનો ઉપયોગ કરીને
કમાન્ડ-લાઇનમાં 64bit એક્ઝિક્યુટેબલનો ઉપયોગ કરવા માટે -64bit વિકલ્પનો ઉલ્લેખ કરો.
કેસ 3: INCA_64BIT અથવા CDS_AUTO_64BIT એન્વાયર્નમેન્ટ વેરીએબલ સેટ કરી રહ્યું છે
INCA_64BIT ચલને બુલિયન તરીકે ગણવામાં આવે છે. તમે આ ચલને કોઈપણ મૂલ્ય અથવા નલ સ્ટ્રિંગ પર સેટ કરી શકો છો.
setenv INCA_64BIT

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: ધ INCA_64BIT પર્યાવરણ વેરીએબલ અન્ય કેડેન્સ ટૂલ્સ, જેમ કે IC ટૂલ્સને અસર કરતું નથી. જો કે, ઇન્સીસીવ ટૂલ્સ માટે, INCA_64BIT વેરીએબલ CDS_AUTO_64BIT એન્વાયર્નમેન્ટ વેરીએબલ માટે સેટિંગને ઓવરરાઇડ કરે છે. જો INCA_64BIT એન્વાયર્નમેન્ટ વેરીએબલ સેટ કરેલ હોય, તો તમામ ઇન્સીસીવ ટૂલ્સ 64-બીટ મોડમાં ચાલે છે. setenv CDS_AUTO_64BIT સમાવેશ થાય છે:INCA
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: ધ INCA શબ્દમાળા અપરકેસમાં હોવી આવશ્યક છે. બધા એક્ઝિક્યુટેબલ્સ ક્યાં તો 32-બીટ મોડમાં અથવા 64-બીટ મોડમાં ચાલવા જોઈએ, એક એક્ઝેક્યુટેબલનો સમાવેશ કરવા માટે વેરીએબલને સેટ કરશો નહીં, નીચેની જેમ:
setenv CDS_AUTO_64BIT સમાવેશ થાય છે:ncelab

અન્ય કેડન્સ ટૂલ્સ, જેમ કે IC ટૂલ્સ, 64-બીટ અથવા 32-બીટ એક્ઝિક્યુટેબલની પસંદગીને નિયંત્રિત કરવા માટે CDS_AUTO_64BIT એન્વાયર્નમેન્ટ વેરીએબલનો પણ ઉપયોગ કરે છે. નીચેનું કોષ્ટક બતાવે છે કે તમે કેવી રીતે CDS_AUTO_64BIT વેરીએબલને તમામ મોડમાં ઇન્સીસિવ ટૂલ્સ અને IC ટૂલ્સને ચલાવવા માટે સેટ કરી શકો છો.
કોષ્ટક 3-1. CDS_AUTO_64BIT ચલો

CDS_AUTO_64BIT ચલ ચીકણું સાધનો IC સાધનો
setenv CDS_AUTO_64BIT બધા 64 બીટ 64 બીટ
setenv CDS_AUTO_64BIT કંઈ નહીં 32 બીટ 32 બીટ
setenv CDS_AUTO_64BIT બાકાત:ic_binary 64 બીટ 32 બીટ
setenv CDS_AUTO_64BIT બાકાત: INCA 32 બીટ 64 બીટ

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: બધા ઇન્સીસીવ ટૂલ્સ 32-બીટ મોડમાં અથવા 64-બીટ મોડમાં ચલાવવા જોઈએ, ચોક્કસ એક્ઝેક્યુટેબલને બાકાત રાખવા માટે EXCLUDE નો ઉપયોગ કરશો નહીં, જેમ કે નીચે મુજબ છે: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
જો તમે CDS_AUTO_64BIT વેરીએબલને ઇન્સીસિવ ટૂલ્સને બાકાત રાખવા માટે સેટ કરો છો (setenv CDS_AUTO_64BIT EXCLUDE:INCA), તો બધા ઇન્સિસિવ ટૂલ્સ 32-બીટ મોડમાં ચાલે છે. જો કે, -64bit કમાન્ડ-લાઇન વિકલ્પ પર્યાવરણ ચલને ઓવરરાઇડ કરે છે.
નીચેના રૂપરેખાંકન files તમને તમારા ડેટાનું સંચાલન કરવામાં અને સિમ્યુલેશન ટૂલ્સ અને ઉપયોગિતાઓના સંચાલનને નિયંત્રિત કરવામાં મદદ કરે છે:

  • લાઇબ્રેરી મેપિંગ file (cds.lib)-તમારી ડિઝાઇનના સ્થાન માટે તાર્કિક નામ વ્યાખ્યાયિત કરે છે.
  • પુસ્તકાલયો અને તેમને ભૌતિક નિર્દેશિકા નામો સાથે સાંકળે છે.
  • ચલો file (hdl.var) - ચલોને વ્યાખ્યાયિત કરે છે જે સિમ્યુલેશન સાધનો અને ઉપયોગિતાઓના વર્તનને અસર કરે છે.

3.2 સંકલિત પુસ્તકાલય ડાઉનલોડ કરો (પ્રશ્ન પૂછો)
Microsemi's પરથી Cadence Incisive માટે લાઇબ્રેરીઓ ડાઉનલોડ કરો webસાઇટ
3.3 NCSim સ્ક્રિપ્ટ બનાવવી File (પ્રશ્ન પૂછો)
run.do ની નકલ બનાવ્યા પછી files, NCSim નો ઉપયોગ કરીને તમારું સિમ્યુલેશન ચલાવવા માટે આ પગલાંઓ કરો:

  1. cds.lib બનાવો file જે સુલભ છે તે પુસ્તકાલયો અને તેમના સ્થાનને વ્યાખ્યાયિત કરે છે. આ file નિવેદનો સમાવે છે જે લાઇબ્રેરીના લોજિકલ નામોને તેમના ભૌતિક નિર્દેશિકા પાથ પર મેપ કરે છે. માજી માટેample, જો તમે presynth સિમ્યુલેશન ચલાવી રહ્યા છો, cds.lib file નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે લખાયેલ છે.
    presynth ./presynth વ્યાખ્યાયિત કરો
    COREAHBLITE_LIB ./COREAHBLITE_LIB વ્યાખ્યાયિત કરો
    સ્માર્ટફ્યુઝનને વ્યાખ્યાયિત કરો2
  2. hdl.var બનાવો file, વૈકલ્પિક રૂપરેખાંકન file જેમાં રૂપરેખાંકન ચલોનો સમાવેશ થાય છે, જે નક્કી કરે છે કે તમારું ડિઝાઇન પર્યાવરણ કેવી રીતે ગોઠવેલ છે. નીચેના ચલ files સમાવેશ થાય છે:
    - વેરિયેબલ્સ કે જે વર્ક લાઇબ્રેરીને સ્પષ્ટ કરવા માટે ઉપયોગમાં લેવાય છે જ્યાં કમ્પાઇલર કમ્પાઇલ કરેલા ઑબ્જેક્ટ્સ અને અન્ય મેળવેલા ડેટાને સ્ટોર કરે છે.
    - વેરીલોગ માટે, ચલ (LIB_MAP, VIEW_MAP, WORK) કે જે પુસ્તકાલયોને સ્પષ્ટ કરવા માટે વપરાય છે અને views જ્યારે વિસ્તૃતકર્તા ઉદાહરણો ઉકેલે ત્યારે શોધવા માટે.
    - વેરીએબલ્સ કે જે તમને કમ્પાઇલર, એલોરેટર અને સિમ્યુલેટર કમાન્ડ-લાઇન વિકલ્પો અને દલીલોને વ્યાખ્યાયિત કરવાની મંજૂરી આપે છે.
    પ્રેસિન્થ સિમ્યુલેશનના કિસ્સામાં ભૂતપૂર્વample ઉપર બતાવેલ છે, કહો કે અમારી પાસે ત્રણ RTL છે files: av, bv, અને testbench.v, જેને અનુક્રમે presynth, COREAHBLITE_LIB અને presynth લાઇબ્રેરીઓમાં સંકલિત કરવાની જરૂર છે. hdl.var file નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે લખી શકાય છે.
    વર્ક presynth વ્યાખ્યાયિત
    PROJECT_DIR ને વ્યાખ્યાયિત કરો files>
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/av => presynth )
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth )
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, + => presynth )
  3. ડિઝાઇન કમ્પાઇલ કરો filencvlog વિકલ્પનો ઉપયોગ કરીને.
    ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log –update –linedebug av bv testbench.v
  4. એનસેલેબનો ઉપયોગ કરીને ડિઝાઇનને વિસ્તૃત કરો. વિસ્તરણકર્તા ડિઝાઇનમાં ઇન્સ્ટિએશન અને રૂપરેખાંકન માહિતીના આધારે ડિઝાઇન વંશવેલો બનાવે છે, સિગ્નલ કનેક્ટિવિટી સ્થાપિત કરે છે અને ડિઝાઇનમાંના તમામ ઑબ્જેક્ટ્સ માટે પ્રારંભિક મૂલ્યોની ગણતરી કરે છે. વિસ્તૃત ડિઝાઇન વંશવેલો સિમ્યુલેશન સ્નેપશોટમાં સંગ્રહિત થાય છે, જે તમારી ડિઝાઇનનું પ્રતિનિધિત્વ છે જેનો ઉપયોગ સિમ્યુલેટર સિમ્યુલેશન ચલાવવા માટે કરે છે.
    ncelab –સંદેશ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax 15 -
    ઍક્સેસ +rwc -status worklib. : મોડ્યુલ
    પોસ્ટ-લેઆઉટ સિમ્યુલેશન દરમિયાન વિસ્તરણ
    પોસ્ટ-લેઆઉટ સિમ્યુલેશનના કિસ્સામાં, પ્રથમ SDF file ncsdfc આદેશનો ઉપયોગ કરીને વિસ્તરણ પહેલાં કમ્પાઇલ કરવાની જરૂર છે.
    ncsdfcfileનામ>.sdf -આઉટપુટfileનામ>.sdf.X
    વિસ્તૃતીકરણ દરમિયાન નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે –autosdf વિકલ્પ સાથે સંકલિત SDF આઉટપુટનો ઉપયોગ કરો.
    ncelab -autosdf –સંદેશ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
    15 -એક્સેસ +rwc -સ્ટેટસ વર્કલિબ. : મોડ્યુલ –sdf_cmd_file ./
    sdf_cmd_file
    sdf_cmd_file નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે હોવું જોઈએ.
    COMPILED_SDF_FILE = “ file>"
  5. ncsim નો ઉપયોગ કરીને અનુકરણ કરો. વિસ્તૃતીકરણ પછી સિમ્યુલેશન સ્નેપશોટ બનાવવામાં આવે છે, જે સિમ્યુલેશન માટે ncsim દ્વારા લોડ કરવામાં આવે છે. તમે બેચ મોડ અથવા GUI મોડમાં ચલાવી શકો છો.
    ncsim –સંદેશ –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log -
    એરરમેક્સ 15 - સ્ટેટસ વર્કલિબ. : મોડ્યુલ

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: કમ્પાઈલિંગ, એલોરેટીંગ અને સિમ્યુલેટીંગના ઉપરોક્ત ત્રણેય સ્ટેપ્સ શેલ સ્ક્રિપ્ટમાં મૂકી શકાય છે. file અને કમાન્ડ-લાઇનમાંથી મેળવેલ છે. આ ત્રણ પગલાઓનો ઉપયોગ કરવાને બદલે, નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે ncverilog અથવા irun વિકલ્પનો ઉપયોગ કરીને ડિઝાઇનને એક પગલામાં સિમ્યુલેટ કરી શકાય છે.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileડિઝાઇનમાં વપરાયેલ છે
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
ડિઝાઇનમાં વપરાયેલ>

3.3.1 જાણીતા મુદ્દાઓ (પ્રશ્ન પૂછો)
ટેસ્ટબેન્ચ વર્કઅરાઉન્ડ
વપરાશકર્તા દ્વારા જનરેટ કરાયેલ ટેસ્ટબેન્ચમાં ઘડિયાળની આવર્તનનો ઉલ્લેખ કરવા માટે નીચેના સ્ટેટમેન્ટનો ઉપયોગ કરવો અથવા Libero SoC દ્વારા જનરેટ કરાયેલ ડિફોલ્ટ ટેસ્ટબેન્ચ NCSim સાથે કામ કરતું નથી.
હંમેશા @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
સિમ્યુલેશન ચલાવવા માટે નીચે પ્રમાણે ફેરફાર કરો:
હંમેશા #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: સંકલિત NCSim માટેની લાઇબ્રેરીઓ પ્લેટફોર્મ વિશિષ્ટ છે (એટલે ​​​​કે 64 બીટ લાઇબ્રેરીઓ 32 બીટ પ્લેટફોર્મ સાથે સુસંગત નથી અને તેનાથી વિપરીત).
MSS અને SERDES નો ઉપયોગ કરીને પોસ્ટસિન્થ અને પોસ્ટ-લેઆઉટ સિમ્યુલેશન્સ MSS બ્લોક ધરાવતી ડિઝાઇનના પોસ્ટ-સિન્થ સિમ્યુલેશન અથવા SERDES નો ઉપયોગ કરીને ડિઝાઇનના પોસ્ટ-લેઆઉટ સિમ્યુલેશન ચલાવતી વખતે, BFM સિમ્યુલેશન્સ કામ કરતા નથી જો –libmap વિકલ્પ હોય.
વિસ્તરણ દરમિયાન ઉલ્લેખિત નથી. આ એટલા માટે છે કારણ કે વિસ્તરણ દરમિયાન, MSS વર્ક લાઇબ્રેરીમાંથી ઉકેલાઈ જાય છે (કારણ કે ડિફોલ્ટ બાઈન્ડિંગ અને વર્કલિબ પોસ્ટસિન્થ/પોસ્ટ-લેઆઉટ હોવાને કારણે) જ્યાં તે માત્ર એક નિશ્ચિત કાર્ય છે.
MSS ઉકેલવા માટે નીચેના કોડ બ્લોકમાં બતાવ્યા પ્રમાણે ncelab આદેશ લખવો આવશ્યક છે
SmartFusion2 પ્રીકમ્પાઇલ લાઇબ્રેરીમાંથી બ્લોક કરો.

ncelab -libmap lib.map -libverbose -સંદેશ -એક્સેસ +rwc cfg1
અને lib.map file નીચે મુજબ હોવું જોઈએ:
રૂપરેખા cfg1;
ડિઝાઇન ;
ડિફૉલ્ટ લિબલિસ્ટ સ્માર્ટફ્યુઝન2 ;
endconfig
આ વર્ક લાઇબ્રેરી એટલે કે postsynth/ post-layout માં જોતા પહેલા SmartFusion2 લાઇબ્રેરીમાં કોઈપણ કોષને ઉકેલે છે.
–libmap વિકલ્પ દરેક સિમ્યુલેશન (presynth, postsynth, અને post-layout) માટે વિસ્તૃતીકરણ દરમિયાન મૂળભૂત રીતે વાપરી શકાય છે. આ સિમ્યુલેશન સમસ્યાઓને ટાળે છે જે લાઇબ્રેરીઓમાંથી દાખલાઓના રિઝોલ્યુશનને કારણે થાય છે.
ncelab: *F,INTERR: આંતરિક અપવાદ
આ ncelab ટૂલ અપવાદ એ –libmap વિકલ્પનો ઉપયોગ કરીને પોસ્ટસિન્થ અને પોસ્ટ-લેઆઉટ સિમ્યુલેશન દરમિયાન SmartFusion 2 અને IGLOO 2 માં FDDR ધરાવતી ડિઝાઇન માટે ચેતવણી છે.
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: આ સમસ્યાની જાણ કેડન્સ સપોર્ટ ટીમ (SAR 52113)ને કરવામાં આવી છે.

3.4 એસample Tcl અને શેલ સ્ક્રિપ્ટ Fileઓ (પ્રશ્ન પૂછો)
નીચેના files રૂપરેખાંકન છે fileડિઝાઇન અને શેલ સ્ક્રિપ્ટ સેટ કરવા માટે જરૂરી છે file NCSim આદેશો ચલાવવા માટે.
Cds.lib
NE smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB વ્યાખ્યાયિત કરો
presynth ./presynth વ્યાખ્યાયિત કરો

Hdl.var
વર્ક presynth વ્યાખ્યાયિત
PROJECT_DIR/scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/ વ્યાખ્યાયિત કરો
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
પ્રેસિન્થ)
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
પ્રેસિન્થ)
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, + => presynth )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -સંદેશ -cdslib ./cds.lib -hdlvar ./hdl.var
-વર્ક presynth -લોગfile ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -સંદેશ -બેચ -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:module

3.5 ઓટોમેશન (પ્રશ્ન પૂછો)
નીચેની સ્ક્રિપ્ટ file ModelSim run.do ને કન્વર્ટ કરે છે fileરૂપરેખાંકનમાં છે fileNCSim નો ઉપયોગ કરીને સિમ્યુલેશન ચલાવવા માટે જરૂરી છે.
સ્ક્રિપ્ટ File ઉપયોગ
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
કેડન્સ_પ્રીકમ્પાઈલ_લાઈબ્રેરીઓનું_સ્થાન

Cadence_parser.pl
#!/usr/bin/perl -w

################################# ################################
##################
#ઉપયોગ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#

################################# ################################
##################
POSIX નો ઉપયોગ કરો;
કડક ઉપયોગ કરો;
my ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
સબ ક્વેસ્ટા_પાર્સર {
મારું $ModelSim_run_do = $_[0];
મારું $actel_family = $_[1];
મારું $lib_location = $_[2];
મારું $રાજ્ય;
જો ( -e “$ModelSim_run_do” )
{
ઓપન (INFILE,"$ModelSim_run_do");
મારું @ModelSim_run_do =FILE>;
મારી $લાઇન;
જો ( $ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
ઓપન (આઉટFILE,">QUESTA_PRESYNTH/presynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
ઓપન (આઉટFILE,">QUESTA_POSTSYNTH/postsynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(પોસ્ટલેઆઉટ)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
ઓપન (આઉટFILE,">QUESTA_POSTLAYOUT/postlayout_questa.do");
$state = $1;
} બીજું
{
પ્રિન્ટ “ખોટા ઇનપુટ્સ આપવામાં આવ્યા છે file\n";
પ્રિન્ટ “#ઉપયોગ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\"Libraries_location\"\n";
}
foreach $line (@ModelSim_run_do)
{
#સામાન્ય કામગીરી
$line =~ s/..\/designer.*simulation\///g;
$લાઇન =~ s/$state/$state\_questa/g;
# પ્રિન્ટ આઉટFILE "$લાઇન \n";
જો ($લાઇન =~ m/vmap\s+.*($actel_family)/)
{
છાપોFILE "vmap $actel_family \"$lib_location\"\n";
} elsif ($લાઇન =~ m/vmap\s+(.*._LIB)/)
{
$લાઇન =~ s/..\/component/..\/..\/component/g;
છાપોFILE "$લાઇન \n";
} elsif ($લાઇન =~ m/vsim/)
{
$લાઇન =~ s/vsim/vsim -novopt/g;
છાપોFILE "$લાઇન \n";
} બીજું
{
છાપોFILE "$લાઇન \n";
}
}
બંધ (INFILE);
બંધ કરી દોFILE);
} બીજું {
પ્રિન્ટ “$ModelSim_run_do અસ્તિત્વમાં નથી. સિમ્યુલેશન ફરીથી ચલાવો \n”;
}
}

કેડન્સ એક્સેલિયમ સેટઅપ (માઇક્રોચિપ લોગિન)

તમારે સ્ક્રિપ્ટ બનાવવાની જરૂર છે file કેડન્સ એક્સેલિયમ સિમ્યુલેટરને ચલાવવા માટે ModelSim ME/ModelSim Pro ME run.do જેવું જ છે. આ પગલાં અનુસરો અને સ્ક્રિપ્ટ બનાવો file Xcelium માટે અથવા સ્ક્રિપ્ટનો ઉપયોગ કરો file ModelSim ME/ModelSim Pro ME run.do ને કન્વર્ટ કરવા માટે પ્રદાન કરેલ છે fileરૂપરેખાંકનમાં s fileXcelium નો ઉપયોગ કરીને સિમ્યુલેશન ચલાવવા માટે જરૂરી છે.
4.1 પર્યાવરણ ચલો (પ્રશ્ન પૂછો)
કેડેન્સ એક્સેલિયમ ચલાવવા માટે, નીચેના પર્યાવરણ ચલોને ગોઠવો:

  1. LM_LICENSE_FILE: લાઇસન્સ માટે નિર્દેશક શામેલ હોવું આવશ્યક છે file.
  2. cds_root: કેડેન્સ ઇન્સીસિવ ઇન્સ્ટોલેશનના હોમ ડિરેક્ટરી સ્થાન તરફ નિર્દેશ કરવો આવશ્યક છે.
  3. PATH: cds_root (દા.ત
    $cds_root/tools/bin/64bit (64 બીટ મશીન માટે અને $cds_root/tools/bin 32 બીટ માટે
    મશીન).

64-બીટ અને 32-બીટ ઓપરેટિંગ સિસ્ટમ વચ્ચે સ્વિચ કરવાના કિસ્સામાં સિમ્યુલેશન એન્વાયર્નમેન્ટ સેટ કરવાની ત્રણ રીતો છે:
કેસ 1: PATH ચલ
64bit મશીનો માટે પાથ = (install_dir/tools/bin/64bit $path) સેટ કરો અને
32bit મશીનો માટે પાથ = (install_dir/tools/bin $path) સેટ કરો
કેસ 2: -64bit કમાન્ડ-લાઇન વિકલ્પનો ઉપયોગ કરીને
કમાન્ડ-લાઇનમાં 64-બીટ એક્ઝિક્યુટેબલનો ઉપયોગ કરવા માટે -64bit વિકલ્પનો ઉલ્લેખ કરો.
કેસ 3: INCA_64BIT અથવા CDS_AUTO_64BIT એન્વાયર્નમેન્ટ વેરીએબલ સેટ કરી રહ્યું છે
INCA_64BIT ચલને બુલિયન તરીકે ગણવામાં આવે છે. તમે આ ચલને કોઈપણ મૂલ્ય અથવા નલ પર સેટ કરી શકો છો
શબ્દમાળા
setenv INCA_64BIT

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: ધ INCA_64BIT પર્યાવરણ વેરીએબલ અન્ય કેડેન્સ ટૂલ્સ, જેમ કે IC ટૂલ્સને અસર કરતું નથી. જો કે, ઇન્સીસીવ ટૂલ્સ માટે, INCA_64BIT વેરીએબલ CDS_AUTO_64BIT એન્વાયર્નમેન્ટ વેરીએબલ માટે સેટિંગને ઓવરરાઇડ કરે છે. જો INCA_64BIT એન્વાયર્નમેન્ટ વેરીએબલ એટ છે, તો બધા ઇન્સીસિવ ટૂલ્સ 64-બીટ મોડમાં ચાલે છે.
setenv CDS_AUTO_64BIT સમાવેશ થાય છે:INCA
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: ધ INCA શબ્દમાળા અપરકેસમાં હોવી આવશ્યક છે. બધા એક્ઝિક્યુટેબલ્સ ક્યાં તો 2-બીટ મોડમાં અથવા 64-બીટ મોડમાં ચાલવા જોઈએ, એક એક્ઝેક્યુટેબલનો સમાવેશ કરવા માટે વેરીએબલને સેટ કરશો નહીં, નીચેની જેમ:
setenv CDS_AUTO_64BIT સમાવેશ થાય છે:ncelab
અન્ય કેડન્સ ટૂલ્સ, જેમ કે IC ટૂલ્સ, 64-બીટ અથવા 32-બીટ એક્ઝિક્યુટેબલની પસંદગીને નિયંત્રિત કરવા માટે CDS_AUTO_64BIT એન્વાયર્નમેન્ટ વેરીએબલનો પણ ઉપયોગ કરે છે. નીચેનું કોષ્ટક બતાવે છે કે તમે કેવી રીતે CDS_AUTO_64BIT વેરીએબલને તમામ મોડમાં ઇન્સીસિવ ટૂલ્સ અને IC ટૂલ્સને ચલાવવા માટે સેટ કરી શકો છો.

કોષ્ટક 4-1. CDS_AUTO_64BIT ચલો

CDS_AUTO_64BIT ચલ ચીકણું સાધનો IC સાધનો
setenv CDS_AUTO_64BIT બધા 64-બીટ 64-બીટ
setenv CDS_AUTO_64BIT કંઈ નહીં 32-બીટ 32-બીટ
setenv CDS_AUTO_64BIT
બાકાત:ic_binary
64-બીટ 32-બીટ
setenv CDS_AUTO_64BIT બાકાત: INCA 32-બીટ 64-બીટ

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: બધા ઇન્સીસીવ ટૂલ્સ 32-બીટ મોડમાં અથવા 64-બીટ મોડમાં ચલાવવા જોઈએ, ચોક્કસ એક્ઝેક્યુટેબલને બાકાત રાખવા માટે EXCLUDE નો ઉપયોગ કરશો નહીં, નીચેની જેમ:
setenv CDS_AUTO_64BIT બાકાત:ncelab
જો તમે CDS_AUTO_64BIT વેરીએબલને ઇન્સીસિવ ટૂલ્સને બાકાત રાખવા માટે સેટ કરો છો (setenv
CDS_AUTO_64BIT એક્સક્લુડ:INCA), બધા ઇન્સિસિવ ટૂલ્સ 32-બીટ મોડમાં ચલાવવામાં આવે છે. જો કે, ધ
-64bit કમાન્ડ-લાઇન વિકલ્પ પર્યાવરણ વેરીએબલને ઓવરરાઇડ કરે છે.
નીચેના રૂપરેખાંકન files તમને તમારા ડેટાનું સંચાલન કરવામાં અને સિમ્યુલેશન ટૂલ્સ અને ઉપયોગિતાઓના સંચાલનને નિયંત્રિત કરવામાં મદદ કરે છે:

  • લાઇબ્રેરી મેપિંગ file (cds.lib) તમારી ડિઝાઇનના સ્થાન માટે તાર્કિક નામ વ્યાખ્યાયિત કરે છે.
  • પુસ્તકાલયો અને તેમને ભૌતિક નિર્દેશિકા નામો સાથે સાંકળે છે.
  • ચલો file (hdl.var) ચલોને વ્યાખ્યાયિત કરે છે જે સિમ્યુલેશન સાધનો અને ઉપયોગિતાઓના વર્તનને અસર કરે છે.

4.2 સંકલિત પુસ્તકાલય ડાઉનલોડ કરો (પ્રશ્ન પૂછો)
Microsemi's પરથી Cadence Xcelium માટેની લાઇબ્રેરીઓ ડાઉનલોડ કરો webસાઇટ
4.3 Xcelium સ્ક્રિપ્ટ બનાવવી file (પ્રશ્ન પૂછો)
run.do ની નકલ બનાવ્યા પછી files, Xcelium સ્ક્રિપ્ટનો ઉપયોગ કરીને તમારા સિમ્યુલેશનને ચલાવવા માટે નીચેના પગલાંઓ કરો file.

  1. cds.lib બનાવો file જે વ્યાખ્યાયિત કરે છે કે કઈ લાઈબ્રેરીઓ સુલભ છે અને તેઓ ક્યાં સ્થિત છે.
    આ file નિવેદનો સમાવે છે જે લાઇબ્રેરીના લોજિકલ નામોને તેમના ભૌતિક નિર્દેશિકા પાથ પર મેપ કરે છે. માજી માટેample, જો તમે presynth સિમ્યુલેશન ચલાવી રહ્યા છો, cds.lib file નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે લખી શકાય છે.
    presynth ./presynth વ્યાખ્યાયિત કરો
    COREAHBLITE_LIB ./COREAHBLITE_LIB વ્યાખ્યાયિત કરો
    સ્માર્ટફ્યુઝનને વ્યાખ્યાયિત કરો2
  2. hdl.var બનાવો file જે વૈકલ્પિક રૂપરેખાંકન છે file જેમાં રૂપરેખાંકન ચલોનો સમાવેશ થાય છે, જે નક્કી કરે છે કે તમારું ડિઝાઇન પર્યાવરણ કેવી રીતે ગોઠવેલ છે. આમાં શામેલ છે:
    - વેરિયેબલ્સ કે જે વર્ક લાઇબ્રેરીને સ્પષ્ટ કરવા માટે ઉપયોગમાં લેવાય છે જ્યાં કમ્પાઇલર કમ્પાઇલ કરેલા ઑબ્જેક્ટ્સ અને અન્ય મેળવેલા ડેટાને સ્ટોર કરે છે.
    - વેરીલોગ માટે, ચલ (LIB_MAP, VIEW_MAP, WORK) કે જે પુસ્તકાલયોને સ્પષ્ટ કરવા માટે વપરાય છે અને views જ્યારે વિસ્તૃતકર્તા ઉદાહરણો ઉકેલે ત્યારે શોધવા માટે.
    - વેરીએબલ્સ કે જે તમને કમ્પાઇલર, એલોરેટર અને સિમ્યુલેટર કમાન્ડ-લાઇન વિકલ્પો અને દલીલોને વ્યાખ્યાયિત કરવાની મંજૂરી આપે છે.
    પ્રેસિન્થ સિમ્યુલેશનના કિસ્સામાં ભૂતપૂર્વample ઉપર બતાવેલ છે, કહો કે અમારી પાસે 3 RTL છે files av, bv, અને testbench.v, જેને અનુક્રમે presynth, COREAHBLITE_LIB અને presynth લાઇબ્રેરીઓમાં સંકલિત કરવાની જરૂર છે. hdl.var file નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે લખી શકાય છે.
    વર્ક presynth વ્યાખ્યાયિત
    PROJECT_DIR ને વ્યાખ્યાયિત કરો files>
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/av => presynth )
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth )
    LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, + => presynth )
  3. ડિઝાઇન કમ્પાઇલ કરો filencvlog વિકલ્પનો ઉપયોગ કરીને.
    xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log –update –linedebug av bv testbench.v
  4. એનસેલેબનો ઉપયોગ કરીને ડિઝાઇનને વિસ્તૃત કરો. વિસ્તરણકર્તા ડિઝાઇનમાં ઇન્સ્ટિએશન અને રૂપરેખાંકન માહિતીના આધારે ડિઝાઇન વંશવેલો બનાવે છે, સિગ્નલ કનેક્ટિવિટી સ્થાપિત કરે છે અને ડિઝાઇનમાંના તમામ ઑબ્જેક્ટ્સ માટે પ્રારંભિક મૂલ્યોની ગણતરી કરે છે. વિસ્તૃત ડિઝાઇન વંશવેલો સિમ્યુલેશન સ્નેપશોટમાં સંગ્રહિત થાય છે, જે તમારી ડિઝાઇનનું પ્રતિનિધિત્વ છે જેનો ઉપયોગ સિમ્યુલેટર સિમ્યુલેશન ચલાવવા માટે કરે છે.
    Xcelium –સંદેશ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax 15 -
    ઍક્સેસ +rwc -status worklib. : મોડ્યુલ
    પોસ્ટ-લેઆઉટ સિમ્યુલેશન દરમિયાન વિસ્તરણ
    પોસ્ટ-લેઆઉટ સિમ્યુલેશનના કિસ્સામાં, પ્રથમ SDF file ncsdfc આદેશનો ઉપયોગ કરીને વિસ્તરણ પહેલાં કમ્પાઇલ કરવાની જરૂર છે.
    એક્સેલિયમfileનામ>.sdf -આઉટપુટfileનામ>.sdf.X
    વિસ્તૃતીકરણ દરમિયાન નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે –autosdf વિકલ્પ સાથે સંકલિત SDF આઉટપુટનો ઉપયોગ કરો.
    xmelab -autosdf –સંદેશ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
    15 -એક્સેસ +rwc -સ્ટેટસ વર્કલિબ. : મોડ્યુલ –sdf_cmd_file ./
    sdf_cmd_file
    sdf_cmd_file નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે હોવું જોઈએ.
    COMPILED_SDF_FILE = “ file>"
  5. Xcelium નો ઉપયોગ કરીને અનુકરણ કરો. વિસ્તરણ પછી એક સિમ્યુલેશન સ્નેપશોટ બનાવવામાં આવે છે જે સિમ્યુલેશન માટે Xcelium દ્વારા લોડ કરવામાં આવે છે. આ બેચ મોડ અથવા GUI મોડમાં ચલાવી શકાય છે.
    xmsim –સંદેશ –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log -
    એરરમેક્સ 15 - સ્ટેટસ વર્કલિબ. : મોડ્યુલ
    કેડન્સ એક્સેલિયમ સેટઅપ
    MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: બધા સંકલન, વિસ્તૃત અને અનુકરણના ઉપરોક્ત ત્રણ પગલાંને શેલ સ્ક્રિપ્ટમાં મૂકી શકાય છે file અને કમાન્ડ-લાઇનમાંથી મેળવેલ છે. આ ત્રણ પગલાંનો ઉપયોગ કરવાને બદલે, નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે ncverilog અથવા xrun વિકલ્પનો ઉપયોગ કરીને ડિઝાઇનને એક પગલામાં સિમ્યુલેટ કરી શકાય છે.
    xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
    fileડિઝાઇનમાં વપરાયેલ છે
    xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
    ડિઝાઇનમાં વપરાયેલ>

4.3.1 જાણીતા મુદ્દાઓ (પ્રશ્ન પૂછો)
ટેસ્ટબેન્ચ વર્કઅરાઉન્ડ
વપરાશકર્તા દ્વારા જનરેટ કરાયેલ ટેસ્ટબેન્ચમાં ઘડિયાળની આવર્તન અથવા Libero SoC દ્વારા જનરેટ કરાયેલ ડિફોલ્ટ ટેસ્ટબેન્ચમાં ઘડિયાળની આવર્તનનો ઉલ્લેખ કરવા માટે નીચેના નિવેદનનો ઉપયોગ Xcelium સાથે કામ કરતું નથી.
હંમેશા @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
સિમ્યુલેશન ચલાવવા માટે નીચે પ્રમાણે ફેરફાર કરો:
હંમેશા #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: Xcelium માટે સંકલિત લાઇબ્રેરીઓ પ્લેટફોર્મ વિશિષ્ટ છે (એટલે ​​​​કે 64 બીટ લાઇબ્રેરીઓ 32 બીટ પ્લેટફોર્મ સાથે સુસંગત નથી અને તેનાથી વિપરીત).
MSS અને SERDES નો ઉપયોગ કરીને પોસ્ટસિન્થ અને પોસ્ટ-લેઆઉટ સિમ્યુલેશન
MSS બ્લોક ધરાવતી ડિઝાઇનના પોસ્ટસિન્થ સિમ્યુલેશન ચલાવતી વખતે, અથવા SERDES નો ઉપયોગ કરીને ડિઝાઇનના પોસ્ટ-લેઆઉટ સિમ્યુલેશન ચલાવતી વખતે, BFM સિમ્યુલેશન કામ કરતું નથી જો –libmap વિકલ્પ વિસ્તૃતીકરણ દરમિયાન ઉલ્લેખિત ન હોય. આ એટલા માટે છે કારણ કે વિસ્તરણ દરમિયાન, MSS વર્ક લાઇબ્રેરીમાંથી ઉકેલાઈ જાય છે (કારણ કે ડિફોલ્ટ બાઈન્ડિંગ અને વર્કલિબ પોસ્ટસિન્થ/પોસ્ટ-લેઆઉટ હોવાને કારણે) જ્યાં તે માત્ર એક નિશ્ચિત કાર્ય છે.
SmartFusion2 પ્રીકમ્પાઇલ લાઇબ્રેરીમાંથી MSS બ્લોકને ઉકેલવા માટે નીચેના કોડ બ્લોકમાં બતાવ્યા પ્રમાણે ncelab આદેશ લખવો આવશ્યક છે.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
અને lib.map file નીચે મુજબ હોવું જોઈએ:
રૂપરેખા cfg1;
ડિઝાઇન ;
ડિફૉલ્ટ લિબલિસ્ટ સ્માર્ટફ્યુઝન2 ;
endconfig
વર્ક લાઇબ્રેરી એટલે કે postsynth/post-layout માં જોતા પહેલા આને SmartFusion2 લાઇબ્રેરીમાં કોઈપણ કોષને ઉકેલવા જ જોઈએ.
–libmap વિકલ્પ દરેક સિમ્યુલેશન (presynth, postsynth અને post-layout) માટે વિસ્તૃતીકરણ દરમિયાન મૂળભૂત રીતે વાપરી શકાય છે. આ સિમ્યુલેશન સમસ્યાઓને ટાળે છે જે લાઇબ્રેરીઓમાંથી દાખલાઓના રિઝોલ્યુશનને કારણે થાય છે.
xmelab: *F,INTERR: આંતરિક અપવાદ
આ ncelab ટૂલ અપવાદ એ SmartFusion2 અને IGLOO2 માં FDDR ધરાવતી ડિઝાઇન માટે ચેતવણી છે
–libmap વિકલ્પનો ઉપયોગ કરીને પોસ્ટસિન્થ અને પોસ્ટ-લેઆઉટ સિમ્યુલેશન દરમિયાન.
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: આ સમસ્યાની જાણ કેડન્સ સપોર્ટ ટીમ (SAR 52113)ને કરવામાં આવી છે.

4.4 એસample Tcl અને શેલ સ્ક્રિપ્ટ fileઓ (પ્રશ્ન પૂછો)
નીચેના files રૂપરેખાંકન છે fileડિઝાઇન અને શેલ સ્ક્રિપ્ટ સેટ કરવા માટે જરૂરી છે file Xcelium આદેશો ચલાવવા માટે.
Cds.lib
સ્માર્ટફ્યુઝન2 વ્યાખ્યાયિત કરો /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB વ્યાખ્યાયિત કરો
presynth ./presynth વ્યાખ્યાયિત કરો
Hdl.var
વર્ક presynth વ્યાખ્યાયિત
PROJECT_DIR/scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/ વ્યાખ્યાયિત કરો
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
પ્રેસિન્થ)
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
પ્રેસિન્થ)
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
LIB_MAP વ્યાખ્યાયિત કરો ( $LIB_MAP, + => presynth )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -સંદેશ -cdslib ./cds.lib -hdlvar ./hdl.var
-વર્ક presynth -લોગfile ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -સંદેશ -બેચ -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:module

4.5 ઓટોમેશન (માઇક્રોચિપ લોગિન)
નીચેની સ્ક્રિપ્ટ file ModelSim run.do કન્વર્ટ કરે છે fileરૂપરેખાંકનમાં છે fileXcelium નો ઉપયોગ કરીને સિમ્યુલેશન ચલાવવા માટે જરૂરી છે.
સ્ક્રિપ્ટ File ઉપયોગ
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
કેડન્સ_પ્રીકમ્પાઈલ_લાઈબ્રેરીઓનું_સ્થાન
Cadence_parser.pl
#!/usr/bin/perl -w

################################# ################################
##################
#ઉપયોગ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#

################################# ################################
##################
POSIX નો ઉપયોગ કરો;
કડક ઉપયોગ કરો;
my ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);

&questa_parser($postlayout, $family, $lib_location);
સબ ક્વેસ્ટા_પાર્સર {
મારું $ModelSim_run_do = $_[0];
મારું $actel_family = $_[1];
મારું $lib_location = $_[2];
મારું $રાજ્ય;
જો ( -e “$ModelSim_run_do” )
{
ઓપન (INFILE,"$ModelSim_run_do");
મારું @ModelSim_run_do =FILE>;
મારી $લાઇન;
જો ( $ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
ઓપન (આઉટFILE,">QUESTA_PRESYNTH/presynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
ઓપન (આઉટFILE,">QUESTA_POSTSYNTH/postsynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(પોસ્ટલેઆઉટ)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
ઓપન (આઉટFILE,">QUESTA_POSTLAYOUT/postlayout_questa.do");
$state = $1;
} બીજું
{
પ્રિન્ટ “ખોટા ઇનપુટ્સ આપવામાં આવ્યા છે file\n";
પ્રિન્ટ “#ઉપયોગ: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\"Libraries_location\"\n";
}
foreach $line (@ModelSim_run_do)
{
#સામાન્ય કામગીરી
$line =~ s/..\/designer.*simulation\///g;
$લાઇન =~ s/$state/$state\_questa/g;
# પ્રિન્ટ આઉટFILE "$લાઇન \n";
જો ($લાઇન =~ m/vmap\s+.*($actel_family)/)
{
છાપોFILE "vmap $actel_family \"$lib_location\"\n";
} elsif ($લાઇન =~ m/vmap\s+(.*._LIB)/)
{
$લાઇન =~ s/..\/component/..\/..\/component/g;
છાપોFILE "$લાઇન \n";
} elsif ($લાઇન =~ m/vsim/)
{
$લાઇન =~ s/vsim/vsim -novopt/g;
છાપોFILE "$લાઇન \n";
} બીજું
{
છાપોFILE "$લાઇન \n";
}
}
બંધ (INFILE);
બંધ કરી દોFILE);
} બીજું {
પ્રિન્ટ “$ModelSim_run_do અસ્તિત્વમાં નથી. સિમ્યુલેશન ફરીથી ચલાવો \n”;
}
}

સિમેન્સ ક્વેસ્ટાસિમ સેટઅપ/મોડલસિમ સેટઅપ (પ્રશ્ન પૂછો)

રન.ડો files, મોડલસિમ માઇક્રોસેમી એડિશનનો ઉપયોગ કરીને સિમ્યુલેશન માટે Libero SoC દ્વારા જનરેટ કરવામાં આવે છે, એક જ ફેરફાર સાથે QuestaSim/ModelSim SE/DE/PEનો ઉપયોગ કરીને સિમ્યુલેશન માટે ઉપયોગ કરી શકાય છે. ModelSim ME/ModelSim Pro ME run.do માં file, પૂર્વસંકલિત પુસ્તકાલયોના સ્થાનમાં ફેરફાર કરવાની જરૂર છે.
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: 
મૂળભૂત રીતે, મોડલસિમ પ્રો ME સિવાયનું સિમ્યુલેશન ટૂલ સિમ્યુલેશન દરમિયાન ડિઝાઇન ઑપ્ટિમાઇઝેશન કરે છે જે ડિઝાઇન ઑબ્જેક્ટ્સ અને ઇનપુટ સ્ટિમ્યુલસ જેવા સિમ્યુલેશન આર્ટિફેક્ટ્સમાં દૃશ્યતાને અસર કરી શકે છે.
વર્બોઝ, સેલ્ફ-ચેકિંગ ટેસ્ટબેન્ચનો ઉપયોગ કરીને જટિલ સિમ્યુલેશન માટે સિમ્યુલેશન રનટાઇમ ઘટાડવામાં આ સામાન્ય રીતે મદદરૂપ થાય છે. જો કે, ડિફૉલ્ટ ઑપ્ટિમાઇઝેશન બધા સિમ્યુલેશન માટે યોગ્ય ન હોઈ શકે, ખાસ કરીને એવા કિસ્સામાં જ્યાં તમે વેવ વિન્ડોનો ઉપયોગ કરીને સિમ્યુલેશન પરિણામોનું ગ્રાફિકલી નિરીક્ષણ કરવાની અપેક્ષા રાખો છો.
આ ઑપ્ટિમાઇઝેશનને કારણે થતી સમસ્યાઓને ઉકેલવા માટે, તમારે ડિઝાઇનમાં દૃશ્યતા પુનઃસ્થાપિત કરવા માટે સિમ્યુલેશન દરમિયાન યોગ્ય આદેશો અને સંબંધિત દલીલો ઉમેરવા આવશ્યક છે. ટૂલ-વિશિષ્ટ આદેશો માટે, ઉપયોગમાં લેવાતા સિમ્યુલેટરના દસ્તાવેજીકરણ જુઓ.

5.1 પર્યાવરણ ચલો (પ્રશ્ન પૂછો)
નીચેના જરૂરી પર્યાવરણ ચલો છે.

  • LM_LICENSE_FILE: લાયસન્સના પાથનો સમાવેશ કરવો આવશ્યક છે file.
  • MODEL_TECH: QuestaSim ઇન્સ્ટોલેશનના હોમ ડિરેક્ટરી સ્થાનનો પાથ ઓળખવો આવશ્યક છે.
  • PATH: MODEL_TECH દ્વારા નિર્દેશિત એક્ઝિક્યુટેબલ સ્થાન તરફ નિર્દેશ કરવો આવશ્યક છે.

5.2 મેન્ટર ક્વેસ્ટાસિમ માટે run.do કન્વર્ટ કરવું (પ્રશ્ન પૂછો)
રન.ડો fileમોડલસિમ માઇક્રોસેમી એડિશનનો ઉપયોગ કરીને સિમ્યુલેશન માટે Libero SoC દ્વારા જનરેટ કરેલ s, QuestaSim/ModelSim_SE નો ઉપયોગ કરીને સિમ્યુલેશન માટે એક જ ફેરફાર સાથે ઉપયોગ કરી શકાય છે.
MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: બધા QuestaSim નો ઉપયોગ કરીને સિમ્યુલેટેડ ડિઝાઇનમાં -novopt શામેલ હોવું આવશ્યક છે
run.do સ્ક્રિપ્ટમાં vsim આદેશ સાથેનો વિકલ્પ files.
5.3 સંકલિત પુસ્તકાલય ડાઉનલોડ કરો (પ્રશ્ન પૂછો)
માઈક્રોસેમીમાંથી મેન્ટર ગ્રાફિક્સ ક્વેસ્ટાસિમ માટેની લાઈબ્રેરીઓ ડાઉનલોડ કરો webસાઇટ

Synopsys VCS સેટઅપ (પ્રશ્ન પૂછો)

માઇક્રોસેમી દ્વારા ભલામણ કરાયેલ પ્રવાહ VCS માં વિસ્તૃત અને કમ્પાઇલ પ્રવાહ પર આધાર રાખે છે. આ દસ્તાવેજમાં સ્ક્રિપ્ટનો સમાવેશ થાય છે file જે run.do સ્ક્રિપ્ટનો ઉપયોગ કરે છે files Libero SoC દ્વારા જનરેટ કરે છે અને સેટઅપ જનરેટ કરે છે fileVCS સિમ્યુલેશન માટે જરૂરી છે. સ્ક્રિપ્ટ file run.do નો ઉપયોગ કરે છે file નીચેના કરવા માટે.

  • લાઇબ્રેરી મેપિંગ બનાવો file, જે synopsys_sim.setup નો ઉપયોગ કરીને કરવામાં આવે છે file તે જ ડિરેક્ટરીમાં સ્થિત છે જ્યાં VCS સિમ્યુલેશન ચાલી રહ્યું છે.
  • શેલ સ્ક્રિપ્ટ બનાવો file VCS નો ઉપયોગ કરીને તમારી ડિઝાઇનને વિસ્તૃત અને કમ્પાઇલ કરવા.

6.1 પર્યાવરણ ચલો (પ્રશ્ન પૂછો)
તમારા સેટઅપના આધારે VCS માટે યોગ્ય પર્યાવરણ ચલો સેટ કરો. VCS દસ્તાવેજીકરણ મુજબ જરૂરી પર્યાવરણ ચલો છે:

  • LM_LICENSE_FILE: લાયસન્સ સર્વર પર નિર્દેશક શામેલ હોવું આવશ્યક છે.
  • VCS_HOME: VCS ઇન્સ્ટોલેશનના હોમ ડિરેક્ટરી સ્થાન તરફ નિર્દેશ કરવો આવશ્યક છે.
  • PATH: VCS_HOME ડાયરેક્ટરી નીચે બિન ડિરેક્ટરી માટે નિર્દેશક શામેલ હોવું આવશ્યક છે.

6.2 સંકલિત પુસ્તકાલય ડાઉનલોડ કરો (પ્રશ્ન પૂછો)
Synopsys VCS માટેની લાઇબ્રેરીઓ Microsemi's પરથી ડાઉનલોડ કરો webસાઇટ
6.3 VCS સિમ્યુલેશન સ્ક્રિપ્ટ File (પ્રશ્ન પૂછો)
VCS સુયોજિત કર્યા પછી અને ડિઝાઇન અને વિવિધ run.do જનરેટ કર્યા પછી fileLibero SoC તરફથી, તમારે આ કરવું જોઈએ:

  1. લાઇબ્રેરી મેપિંગ બનાવો file synopsys_sim.setup; આ file ડિઝાઇન દ્વારા ઉપયોગમાં લેવાતી તમામ લાઇબ્રેરીઓના સ્થાનના નિર્દેશકો ધરાવે છે.
    MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન  મહત્વપૂર્ણ: ધ file નામ બદલવું જોઈએ નહીં અને તે તે જ ડિરેક્ટરીમાં સ્થિત હોવું જોઈએ જ્યાં સિમ્યુલેશન ચાલી રહ્યું છે. અહીં એક ભૂતપૂર્વ છેampઆવા માટે le file પ્રિસન્થેસિસ સિમ્યુલેશન માટે.
    કાર્ય > EFAULT
    સ્માર્ટફ્યુઝન2 :
    presynth : ./presynth
    ડિફૉલ્ટ: ./કામ
  2. વિવિધ ડિઝાઇનને વિસ્તૃત કરો files, VCS માં vlogan આદેશનો ઉપયોગ કરીને, testbench સહિત. આ આદેશો શેલ સ્ક્રિપ્ટમાં સમાવી શકાય છે file. નીચે એક ભૂતપૂર્વ છેamprtl.v માં વ્યાખ્યાયિત ડિઝાઇનને વિસ્તૃત કરવા માટે જરૂરી હોય તેવા આદેશોની le તેની ટેસ્ટબેન્ચ સાથે
    ટેસ્ટબેન્ચ.વી.
    vlogan +v2k -work presynth rtl.v
    vlogan +v2k -work presynth testbench.v
  3. નીચેના આદેશનો ઉપયોગ કરીને VCS નો ઉપયોગ કરીને ડિઝાઇનનું સંકલન કરો.
    vcs –sim_res=1fs presynth.testbench
    નોંધ: ધ યોગ્ય કાર્યાત્મક સિમ્યુલેશન માટે સિમ્યુલેશનનું સમય રિઝોલ્યુશન 1fs પર સેટ કરવું આવશ્યક છે.
  4. એકવાર ડિઝાઇન સંકલિત થઈ જાય, પછી નીચેના આદેશનો ઉપયોગ કરીને સિમ્યુલેશન શરૂ કરો.
    ./simv
  5. બેક-એનોટેડ સિમ્યુલેશન માટે, VCS આદેશ નીચેના કોડબ્લોકમાં બતાવ્યા પ્રમાણે હોવો જોઈએ.
    vcs postlayout.testbench –sim_res=1fs –sdf મહત્તમ: .
    નામ>: file path> –gui –l postlayout.log

6.4 મર્યાદાઓ/અપવાદો (પ્રશ્ન પૂછો)
Synopsys VCS સેટઅપની મર્યાદાઓ/અપવાદો નીચે મુજબ છે.

  • VCS સિમ્યુલેશન ફક્ત Libero SoC ના વેરિલોગ પ્રોજેક્ટ્સ માટે જ ચલાવી શકાય છે. VCS સિમ્યુલેટરમાં કડક VHDL ભાષા આવશ્યકતાઓ છે જે Libero SoC સ્વતઃ-જનરેટેડ VHDL દ્વારા પૂરી થતી નથી. files.
  • જ્યારે પણ તમે ઇચ્છો ત્યારે સિમ્યુલેશનને રોકવા માટે તમારી પાસે વેરિલોગ ટેસ્ટબેન્ચમાં $finish સ્ટેટમેન્ટ હોવું આવશ્યક છે.
    MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર - આઇકન મહત્વપૂર્ણ: ક્યારે સિમ્યુલેશન્સ GUI મોડમાં ચલાવવામાં આવે છે, રન ટાઈમ GUI માં સ્પષ્ટ કરી શકાય છે.

6.5 એસample Tcl અને શેલ સ્ક્રિપ્ટ Fileઓ (પ્રશ્ન પૂછો)
નીચેનું પર્લ synopsys_sim.setup ની પેઢીને સ્વચાલિત કરે છે file તેમજ અનુરૂપ શેલ સ્ક્રિપ્ટ fileડિઝાઇનને વિસ્તૃત, કમ્પાઇલ અને અનુકરણ કરવા માટે જરૂરી છે.
જો ડિઝાઇન MSS નો ઉપયોગ કરે છે, તો test.vec કોપી કરો file VCS સિમ્યુલેશન ફોલ્ડરમાં Libero SoC પ્રોજેક્ટના સિમ્યુલેશન ફોલ્ડરમાં સ્થિત છે. નીચેના વિભાગોમાં s છેample run.do fileઅનુરૂપ લાઇબ્રેરી મેપિંગ અને શેલ સ્ક્રિપ્ટ સહિત, Libero SoC દ્વારા જનરેટ કરેલ fileVCS સિમ્યુલેશન માટે જરૂરી છે.
6.5.1 પૂર્વ-સંશ્લેષણ (પ્રશ્ન પૂછો)
Presynth_run.do
શાંતિથી ACTELLIBNAME SmartFusion2 સેટ કરો
શાંતિથી PROJECT_DIR “/sqa/users/me/VCS_Tests/Test_DFF” સેટ કરો
જો {[file અસ્તિત્વમાં છે presynth/_info]} {
ઇકો "માહિતી: સિમ્યુલેશન લાઇબ્રેરી પ્રેસિન્થ પહેલેથી જ અસ્તિત્વમાં છે"
} બીજું {
vlib presynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” -વર્ક પ્રેસિન્થ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
તરંગ ઉમેરો /SD1_TB1/*
log -r /* ઉમેરો
1000ns ચલાવો
presynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” સેટ કરો
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/component/
work/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -કામ
presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
કાર્ય > ડિફૉલ્ટ
સ્માર્ટફ્યુઝન2 : /VCS/SmartFusion2
presynth : ./presynth
ડિફૉલ્ટ: ./કામ

6.5.2 પોસ્ટ-સિન્થેસિસ (પ્રશ્ન પૂછો)
postsynth_run.do
શાંતિથી ACTELLIBNAME SmartFusion2 સેટ કરો
શાંતિથી PROJECT_DIR “/sqa/users/Me/VCS_Tests/Test_DFF” સેટ કરો
જો {[file અસ્તિત્વમાં છે postsynth/_info]} {
ઇકો "માહિતી: સિમ્યુલેશન લાઇબ્રેરી પોસ્ટસિન્થ પહેલેથી જ અસ્તિત્વમાં છે"
} બીજું {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
સ્માર્ટફ્યુઝન2”
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” -વર્ક પોસ્ટસિન્થ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
તરંગ ઉમેરો /SD1_TB1/*
log -r /* ઉમેરો
1000ns ચલાવો
લોગ SD1_TB1/*
બહાર નીકળો
Postsynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” સેટ કરો
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -વર્ક પોસ્ટસિન્થ “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -કામ
પોસ્ટસિન્થ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
કાર્ય > ડિફૉલ્ટ
સ્માર્ટફ્યુઝન2 : /VCS/SmartFusion2
postsynth : ./postsynth
ડિફૉલ્ટ: ./કામ
6.5.3 પોસ્ટ-લેઆઉટ (પ્રશ્ન પૂછો)
postlayout_run.do
શાંતિથી ACTELLIBNAME SmartFusion2 સેટ કરો
શાંતિથી PROJECT_DIR “E:/ModelSim_Work/Test_DFF” સેટ કરો
જો {[file અસ્તિત્વમાં છે ../designer/SD1/simulation/postlayout/_info]} {
echo “INFO: સિમ્યુલેશન લાઇબ્રેરી ../designer/SD1/simulation/postlayout પહેલેથી જ અસ્તિત્વમાં છે”
} બીજું {
vlib ../designer/SD1/simulation/postlayout
}
vmap પોસ્ટલેઆઉટ ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
સ્માર્ટફ્યુઝન2”
vlog -વર્ક પોસ્ટલેઆઉટ “${PROJECT_DIR}/designer/SD1/SD1_ba.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” -વર્ક પોસ્ટલેઆઉટ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L પોસ્ટલેઆઉટ -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf પોસ્ટલેઆઉટ.SD1_TB1
તરંગ ઉમેરો /SD1_TB1/*
log -r /* ઉમેરો
1000ns ચલાવો
Postlayout_main.csh
#!/bin/csh -f
PROJECT_DIR = “/VCS_Tests/Test_DFF” સેટ કરો
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -વર્ક પોસ્ટલેઆઉટ “${PROJECT_DIR}/
designer/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -કામ
પોસ્ટલેઆઉટ “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf

max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
કાર્ય > ડિફૉલ્ટ
સ્માર્ટફ્યુઝન2 : /VCS/SmartFusion2
પોસ્ટલેઆઉટ : ./પોસ્ટલેઆઉટ
ડિફૉલ્ટ : ./workVCS
6.6 ઓટોમેશન (પ્રશ્ન પૂછો)
નીચેની પર્લ સ્ક્રિપ્ટનો ઉપયોગ કરીને પ્રવાહને સ્વચાલિત કરી શકાય છે file ModelSim run.do ને કન્વર્ટ કરવા માટે fileVCS સુસંગત શેલ સ્ક્રિપ્ટમાં s files, Libero SoC સિમ્યુલેશન ડિરેક્ટરીની અંદર યોગ્ય ડિરેક્ટરીઓ બનાવો, અને પછી સિમ્યુલેશન ચલાવો.
સ્ક્રિપ્ટ ચલાવો file નીચેના વાક્યરચનાનો ઉપયોગ કરીને.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
################################# ########################
#
#ઉપયોગ: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
################################# #########################
my ($presynth, $postsynth, $postlayout) = @ARGV;
જો(સિસ્ટમ("mkdir VCS_Presynth")) {છાપવું "mkdir નિષ્ફળ થયું:\n";}
જો(સિસ્ટમ("mkdir VCS_Postsynth")) {છાપવું "mkdir નિષ્ફળ થયું:\n";}
જો(સિસ્ટમ("mkdir VCS_Postlayout")) {છાપવું "mkdir નિષ્ફળ થયું:\n";}
chdir(VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,"presynth");
chdir (“../”);
chdir(VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,"postsynth");
chdir (“../”);
chdir(VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,"postlayout");
chdir (“../”);
સબ પાર્સ_ડો {
my $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k” ;
મારું %LIB = ();
મારા $file = $__[0] ;
my $state = $_[1];
ઓપન (INFILE,"$file”) || ડાઇ “કાન્ટ ઓપન File કારણ હોઈ શકે છે:$!";
જો ( $state eq "presynth" )
{
ઓપન(OUT1,">presynth_main.csh") || die “કમાન્ડ બનાવી શકતા નથી File કારણ હોઈ શકે છે:$!";
}
elsif ( $state eq "postsynth" )
{
ઓપન(OUT1,">postsynth_main.csh") || die “કમાન્ડ બનાવી શકતા નથી File કારણ હોઈ શકે છે:$!";
}
elsif ( $state eq "પોસ્ટલેઆઉટ" )
{
ઓપન(OUT1,">postlayout_main.csh") || die “કમાન્ડ બનાવી શકતા નથી File કારણ હોઈ શકે છે:$!";
}
બીજું
{
પ્રિન્ટ કરો “સિમ્યુલેશન સ્ટેટ ખૂટે છે \n” ;
}
ઓપન(OUT2,">synopsys_sim.setup") || die “કમાન્ડ બનાવી શકતા નથી File કારણ હોઈ શકે છે:$!";
# .csh file
પ્રિન્ટ આઉટ 1 “#!/bin/csh -f\n\n\n” ;
#સ્થાપના FILE
પ્રિન્ટ આઉટ 2 “કાર્ય > ડિફોલ્ટ\n” ;
પ્રિન્ટ આઉટ2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
જ્યારે ($લાઇન =FILE>)
{

Synopsys VCS સેટઅપ

જો ($લાઇન =~ m/ચુપચાપ સેટ કરો PROJECT_DIR\s+\”(.*?)\”/)
{
પ્રિન્ટ આઉટ 1 “સેટ પ્રોજેક્ટ_ડીઆઈઆર = \"$1\"\n\n\n" ;
}
elsif ( $line =~ m/vlog.*\.v\”/ )
{
જો ($લાઇન =~ m/\s+(\w*?)\_LIB/)
{
# પ્રિન્ટ “\$1 =$1 \n” ;
$temp = "$1″."_LIB";
# પ્રિન્ટ "ટેમ્પ = $ટેમ્પ \n" ;
$LIB{$temp}++;
}
ચોમ્પ($લાઇન);
$લાઇન =~ s/^vlog/$vlog/ ;
$લાઇન =~ s/ //g;
પ્રિન્ટ આઉટ 1 “$લાઇન\n”;
}
elsif ( ($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($લાઇન
=~ m/vsim.*પોસ્ટલેઆઉટ\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb);
# પ્રિન્ટ "ટીબીનું નામ : $tb \n";
જો ( $લાઇન =~ m/sdf(.*)\.sdf/)
{
ચોમ્પ($લાઇન);
$લાઇન = $1 ;
# પ્રિન્ટ "લાઇન : $લાઇન \n" ;
જો ($લાઇન =~ m/max/)
{
$લાઇન =~ s/મહત્તમ \/// ;
$લાઇન =~ s/=/:/;
પ્રિન્ટ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
મહત્તમ:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($લાઇન =~ m/min/)
{
$લાઇન =~ સે/મિનિટ \/// ;
$લાઇન =~ s/=/:/;
પ્રિન્ટ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
min:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($line =~ m/typ/)
{
$લાઇન =~ s/typ \/// ;
$લાઇન =~ s/=/:/;
પ્રિન્ટ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
પ્રકાર:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — મોડલસિમ SDF ફોર્મેટ
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -વીસીએસ
SDF ફોર્મેટ
}
}
}
છાપો
આઉટ1 “\n\n”
;
if
( $state eq “presynth”
)
{
છાપો
OUT2 “presynth
: ./presynth\n”
;
છાપો
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
elsif
( $state eq "પોસ્ટસિન્થ"
)
{
છાપો
OUT2 “પોસ્ટસિન્થ
: ./postsynth\n”
;
છાપો
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
elsif
( $state eq "પોસ્ટલેઆઉટ"
)
{
પ્રિન્ટ આઉટ2 “પોસ્ટલેઆઉટ : ./પોસ્ટલેઆઉટ\n” ;
}
બીજું
{
પ્રિન્ટ કરો “સિમ્યુલેશન સ્ટેટ ખૂટે છે \n” ;
}
foreach $i ( કી %LIB)
{
# પ્રિન્ટ “કી : $i મૂલ્ય : $LIB{$i} \n” ;
પ્રિન્ટ આઉટ2 “$i : ./$i\n” ;
}
પ્રિન્ટ આઉટ 1 “\n\n” ;
પ્રિન્ટ OUT1 “./simv -l run.log\n” ;
પ્રિન્ટ આઉટ 2 "ડિફોલ્ટ: ./work\n" ;
IN બંધ કરોFILE;
OUT1 બંધ કરો;
OUT2 બંધ કરો;
}

પુનરાવર્તન ઇતિહાસ (માઇક્રોચિપ લોગિન

પુનરાવર્તન ઇતિહાસ દસ્તાવેજમાં અમલમાં આવેલા ફેરફારોનું વર્ણન કરે છે. ફેરફારો
સૌથી વર્તમાન પ્રકાશનથી શરૂ કરીને, પુનરાવર્તન દ્વારા સૂચિબદ્ધ છે.

પુનરાવર્તન તારીખ વર્ણન
A 12/2023 આ પુનરાવર્તનમાં નીચેના ફેરફારો કરવામાં આવ્યા છે:
• દસ્તાવેજ માઇક્રોચિપ ટેમ્પલેટમાં રૂપાંતરિત. પ્રારંભિક પુનરાવર્તન.
• અપડેટ કરેલ વિભાગ 5. સિમ્યુલેશન અને ઑપ્ટિમાઇઝેશન દરમિયાન દૃશ્યતા પરની અસરને સમજાવતી નવી નોંધને સમાવવા માટે સિમેન્સ ક્વેસ્ટાસિમ સેટઅપ/મોડલસિમ સેટઅપ.

માઇક્રોચિપ FPGA સપોર્ટ
માઈક્રોચિપ એફપીજીએ પ્રોડક્ટ્સ ગ્રૂપ તેના ઉત્પાદનોને ગ્રાહક સેવા, ગ્રાહક ટેકનિકલ સપોર્ટ સેન્ટર, સહિત વિવિધ સપોર્ટ સેવાઓ સાથે સમર્થન આપે છે. webસાઇટ અને વિશ્વવ્યાપી વેચાણ કચેરીઓ.
ગ્રાહકોને સપોર્ટનો સંપર્ક કરતા પહેલા માઇક્રોચિપ ઓનલાઈન સંસાધનોની મુલાકાત લેવાનું સૂચન કરવામાં આવે છે કારણ કે તેમના પ્રશ્નોના પહેલાથી જ જવાબ આપવામાં આવ્યા હોવાની શક્યતા છે.
દ્વારા ટેકનિકલ સપોર્ટ સેન્ટરનો સંપર્ક કરો webપર સાઇટ www.microchip.com/support. FPGA ઉપકરણ ભાગ નંબરનો ઉલ્લેખ કરો, યોગ્ય કેસ શ્રેણી પસંદ કરો અને ડિઝાઇન અપલોડ કરો fileટેક્નિકલ સપોર્ટ કેસ બનાવતી વખતે.
બિન-તકનીકી ઉત્પાદન સપોર્ટ માટે ગ્રાહક સેવાનો સંપર્ક કરો, જેમ કે ઉત્પાદન કિંમત, ઉત્પાદન અપગ્રેડ, અપડેટ માહિતી, ઓર્ડર સ્થિતિ અને અધિકૃતતા.

  • ઉત્તર અમેરિકાથી, 800.262.1060 પર કૉલ કરો
  • બાકીના વિશ્વમાંથી, 650.318.4460 પર કૉલ કરો
  • ફેક્સ, વિશ્વમાં ગમે ત્યાંથી, 650.318.8044

માઇક્રોચિપ માહિતી
માઈક્રોચિપ Webસાઇટ
માઇક્રોચિપ અમારા દ્વારા ઑનલાઇન સપોર્ટ પ્રદાન કરે છે webપર સાઇટ www.microchip.com/. આ webબનાવવા માટે સાઇટનો ઉપયોગ થાય છે files અને ગ્રાહકો માટે સરળતાથી ઉપલબ્ધ માહિતી. ઉપલબ્ધ કેટલીક સામગ્રીમાં શામેલ છે:

  • પ્રોડક્ટ સપોર્ટ - ડેટા શીટ્સ અને ત્રુટિસૂચી, એપ્લિકેશન નોટ્સ અને એસample પ્રોગ્રામ્સ, ડિઝાઇન સંસાધનો, વપરાશકર્તાની માર્ગદર્શિકાઓ અને હાર્ડવેર સપોર્ટ દસ્તાવેજો, નવીનતમ સોફ્ટવેર રિલીઝ અને આર્કાઇવ કરેલ સોફ્ટવેર
  • સામાન્ય ટેકનિકલ સપોર્ટ - વારંવાર પૂછાતા પ્રશ્નો (FAQ), ટેકનિકલ સપોર્ટ વિનંતીઓ, ઑનલાઇન ચર્ચા જૂથો, માઇક્રોચિપ ડિઝાઇન પાર્ટનર પ્રોગ્રામ મેમ્બર લિસ્ટિંગ
  • માઇક્રોચિપનો વ્યવસાય - ઉત્પાદન પસંદગીકાર અને ઓર્ડરિંગ માર્ગદર્શિકાઓ, નવીનતમ માઇક્રોચિપ પ્રેસ રિલીઝ, સેમિનાર અને ઇવેન્ટ્સની સૂચિ, માઇક્રોચિપ વેચાણ કચેરીઓની સૂચિ, વિતરકો અને ફેક્ટરી પ્રતિનિધિઓ

ઉત્પાદન ફેરફાર સૂચના સેવા
માઇક્રોચિપની પ્રોડક્ટ ચેન્જ નોટિફિકેશન સર્વિસ ગ્રાહકોને માઇક્રોચિપ પ્રોડક્ટ્સ પર વર્તમાન રાખવામાં મદદ કરે છે. સબ્સ્ક્રાઇબર્સને ઈમેલ સૂચના પ્રાપ્ત થશે જ્યારે પણ કોઈ ચોક્કસ ઉત્પાદન કુટુંબ અથવા રુચિના વિકાસ સાધનથી સંબંધિત ફેરફારો, અપડેટ્સ, પુનરાવર્તનો અથવા ત્રુટિસૂચી હશે.
નોંધણી કરવા માટે, પર જાઓ www.microchip.com/pcn અને નોંધણી સૂચનાઓને અનુસરો.
ગ્રાહક આધાર
માઇક્રોચિપ ઉત્પાદનોના વપરાશકર્તાઓ ઘણી ચેનલો દ્વારા સહાય મેળવી શકે છે:

  • વિતરક અથવા પ્રતિનિધિ
  • સ્થાનિક વેચાણ કચેરી
  • એમ્બેડેડ સોલ્યુશન્સ એન્જિનિયર (ESE)
  • ટેકનિકલ સપોર્ટ

આધાર માટે ગ્રાહકોએ તેમના વિતરક, પ્રતિનિધિ અથવા ESE નો સંપર્ક કરવો જોઈએ. ગ્રાહકોને મદદ કરવા માટે સ્થાનિક વેચાણ કચેરીઓ પણ ઉપલબ્ધ છે. વેચાણ કચેરીઓ અને સ્થાનોની સૂચિ આ દસ્તાવેજમાં શામેલ છે.
દ્વારા ટેકનિકલ સપોર્ટ ઉપલબ્ધ છે webસાઇટ પર: www.microchip.com/support
માઇક્રોચિપ ડિવાઇસીસ કોડ પ્રોટેક્શન ફીચર
માઇક્રોચિપ ઉત્પાદનો પર કોડ સુરક્ષા સુવિધાની નીચેની વિગતો નોંધો:

  • માઇક્રોચિપ ઉત્પાદનો તેમની ચોક્કસ માઇક્રોચિપ ડેટા શીટમાં સમાવિષ્ટ સ્પષ્ટીકરણોને પૂર્ણ કરે છે.
  • માઇક્રોચિપ માને છે કે તેના ઉત્પાદનોનો પરિવાર જ્યારે હેતુપૂર્વક, ઓપરેટિંગ વિશિષ્ટતાઓમાં અને સામાન્ય પરિસ્થિતિઓમાં ઉપયોગમાં લેવાય ત્યારે સુરક્ષિત છે.
  • માઇક્રોચિપ મૂલ્યો અને આક્રમક રીતે તેના બૌદ્ધિક સંપદા અધિકારોનું રક્ષણ કરે છે. માઇક્રોચિપ પ્રોડક્ટની કોડ સુરક્ષા સુવિધાઓનો ભંગ કરવાનો પ્રયાસ સખત પ્રતિબંધિત છે અને તે ડિજિટલ મિલેનિયમ કૉપિરાઇટ એક્ટનું ઉલ્લંઘન કરી શકે છે.
  • ન તો માઇક્રોચિપ કે અન્ય કોઇ સેમિકન્ડક્ટર ઉત્પાદક તેના કોડની સુરક્ષાની ખાતરી આપી શકે છે. કોડ સુરક્ષાનો અર્થ એ નથી કે અમે ઉત્પાદન "અનબ્રેકેબલ" હોવાની બાંયધરી આપીએ છીએ.
    કોડ સુરક્ષા સતત વિકસિત થઈ રહી છે. માઇક્રોચિપ અમારા ઉત્પાદનોની કોડ સુરક્ષા સુવિધાઓને સતત સુધારવા માટે પ્રતિબદ્ધ છે.

કાનૂની સૂચના
આ પ્રકાશન અને અહીંની માહિતીનો ઉપયોગ ફક્ત માઇક્રોચિપ ઉત્પાદનો સાથે જ થઈ શકે છે, જેમાં તમારી એપ્લિકેશન સાથે માઇક્રોચિપ ઉત્પાદનોની ડિઝાઇન, પરીક્ષણ અને સંકલન શામેલ છે. અન્ય કોઈપણ રીતે આ માહિતીનો ઉપયોગ આ શરતોનું ઉલ્લંઘન કરે છે. ઉપકરણ એપ્લિકેશનો સંબંધિત માહિતી ફક્ત તમારી સુવિધા માટે પ્રદાન કરવામાં આવી છે અને અપડેટ્સ દ્વારા તેને સ્થાનાંતરિત કરવામાં આવી શકે છે. તમારી અરજી તમારા વિશિષ્ટતાઓને પૂર્ણ કરે છે તેની ખાતરી કરવાની જવાબદારી તમારી છે. વધારાના સપોર્ટ માટે તમારી સ્થાનિક માઇક્રોચિપ સેલ્સ ઑફિસનો સંપર્ક કરો અથવા, અહીંથી વધારાનો સપોર્ટ મેળવો www.microchip.com/en-us/support/design-help/client-support-services.
આ માહિતી માઈક્રોચિપ "જેમ છે તેમ" દ્વારા પ્રદાન કરવામાં આવે છે. માઈક્રોચિપ કોઈપણ પ્રકારની રજૂઆતો અથવા વોરંટી આપતું નથી, ભલે તે સ્પષ્ટ અથવા ગર્ભિત, લેખિત અથવા મૌખિક, વૈધાનિક અથવા અન્યથા, માહિતી સાથે સંબંધિત હોય, પરંતુ મર્યાદિત નથી બિન-ઉલ્લંધન, વેપારીક્ષમતા અને વિશિષ્ટ હેતુ માટે યોગ્યતા, અથવા તેની સ્થિતિ, ગુણવત્તા અથવા પ્રદર્શનને લગતી વોરંટી.
કોઈપણ સંજોગોમાં માઈક્રોચિપ કોઈપણ અપ્રત્યક્ષ, વિશેષ, શિક્ષાત્મક, આકસ્મિક અથવા પરિણામી નુકસાન, નુકસાન, ખર્ચ અથવા કોઈપણ પ્રકારના ખર્ચ માટે જવાબદાર રહેશે નહીં જો માઈક્રોચિપને સંભાવનાની સલાહ આપવામાં આવી હોય અથવા નુકસાનો અગમ્ય હોય તો પણ. કાયદા દ્વારા મંજૂર સંપૂર્ણ હદ સુધી, માહિતી અથવા તેના ઉપયોગથી સંબંધિત કોઈપણ રીતે તમામ દાવાઓ પર માઈક્રોચિપની સંપૂર્ણ જવાબદારી, જો તમે કોઈ પણ રીતે ચૂકવણી કરી હોય તો, ફીની રકમથી વધુ નહીં હોય માહિતી માટે માઇક્રોચિપ.
લાઇફ સપોર્ટ અને/અથવા સલામતી એપ્લિકેશન્સમાં માઇક્રોચિપ ઉપકરણોનો ઉપયોગ સંપૂર્ણપણે ખરીદનારના જોખમ પર છે, અને ખરીદનાર આવા ઉપયોગથી થતા કોઈપણ અને તમામ નુકસાન, દાવાઓ, દાવો અથવા ખર્ચોમાંથી હાનિકારક માઇક્રોચિપનો બચાવ, ક્ષતિપૂર્તિ અને પકડી રાખવા સંમત થાય છે. કોઈપણ માઇક્રોચિપ બૌદ્ધિક સંપદા અધિકારો હેઠળ, જ્યાં સુધી અન્યથા જણાવ્યું ન હોય ત્યાં સુધી કોઈ લાઇસન્સ, ગર્ભિત અથવા અન્યથા આપવામાં આવતાં નથી.
ટ્રેડમાર્ક્સ
માઈક્રોચિપનું નામ અને લોગો, માઈક્રોચિપ લોગો, એડેપ્ટેક, એવીઆર, એવીઆર લોગો, એવીઆર ફ્રીક્સ, બેસ્ટાઈમ, બીટક્લાઉડ, ક્રિપ્ટોમેમરી, ક્રિપ્ટોઆરએફ, ડીએસપીઆઈસી, ફ્લેક્સપીડબલ્યુઆર, હેલ્ડો, ઈગ્લૂ, જ્યુકબ્લોક્સ, કીલોક, લિન્કલએક્સ, મેકિલેક્સ, મેક, મેક, મેક MediaLB, megaAVR, Microsemi, Microsemi લોગો, MOST, MOST લોગો, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 લોગો, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SFST, Logo, સુપરકોમ , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, અને XMEGA એ યુએસએ અને અન્ય દેશોમાં સમાવિષ્ટ માઇક્રોચિપ ટેકનોલોજીના નોંધાયેલા ટ્રેડમાર્ક છે.
AgileSwitch, APT, ClockWorks, ધ એમ્બેડેડ કંટ્રોલ સોલ્યુશન્સ કંપની, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus Smart Logo, Qui, Quiet SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime, અને ZL એ યુએસએમાં સમાવિષ્ટ માઇક્રોચિપ ટેક્નોલોજીના રજિસ્ટર્ડ ટ્રેડમાર્ક છે.
અડીનેસન્ટ કી સપ્રેશન, AKS, એનાલોગ-ફોર-ધી-ડિજિટલ એજ, કોઈપણ કેપેસિટર, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoOuthentication, CryptoCPIEMC,CCDPIM,CYP,CYP,, , ડાયનેમિક એવરેજ મેચિંગ , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, ઈન-સર્કિટ સીરીયલ પ્રોગ્રામિંગ, ICSP, INICnet, ઈન્ટેલિજન્ટ પેરેલીંગ, IntelliMOS, ઈન્ટર-ચીપ કનેક્ટિવિટી, JitterBlocker, Knob-on-Display, Kopmaxry, Kopto,View, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB પ્રમાણિત લોગો, MPLIB, MPLINK, MultiTRAK, NetDetach, સર્વજ્ઞ કોડ જનરેશન, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher,
SuperSwitcher II, Switchtec, Synchrophy, Total Endurance, Trusted Time, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, Viewસ્પાન, વાઇપરલોક, એક્સપ્રેસ કનેક્ટ અને ઝેના એ માઇક્રોચિપ ટેક્નોલોજી ઇન્કોર્પોરેટેડના ટ્રેડમાર્ક છે
યુએસએ અને અન્ય દેશોમાં.
SQTP એ યુએસએમાં સમાવિષ્ટ માઇક્રોચિપ ટેક્નોલોજીનું સર્વિસ માર્ક છે
Adaptec લોગો, ફ્રીક્વન્સી ઓન ડિમાન્ડ, સિલિકોન સ્ટોરેજ ટેક્નોલોજી અને સિમકોમ અન્ય દેશોમાં માઇક્રોચિપ ટેક્નોલોજી ઇન્ક.ના નોંધાયેલા ટ્રેડમાર્ક છે.
GestIC એ Microchip Technology Germany II GmbH & Co. KG નો રજિસ્ટર્ડ ટ્રેડમાર્ક છે, જે અન્ય દેશોમાં Microchip Technology Inc.ની પેટાકંપની છે.
અહીં ઉલ્લેખિત અન્ય તમામ ટ્રેડમાર્ક તેમની સંબંધિત કંપનીઓની મિલકત છે.
© 2023, માઇક્રોચિપ ટેકનોલોજી ઇન્કોર્પોરેટેડ અને તેની પેટાકંપનીઓ. બધા હકો અમારી પાસે રાખેલા છે.
ISBN: 978-1-6683-3694-6
ગુણવત્તા વ્યવસ્થાપન સિસ્ટમ
માઇક્રોચિપની ગુણવત્તા વ્યવસ્થાપન સિસ્ટમ્સ સંબંધિત માહિતી માટે, કૃપા કરીને મુલાકાત લો www.microchip.com/quality.

અમેરિકા એશિયા/પેસિફિક એશિયા/પેસિફિક યુરોપ
કોર્પોરેટ ઓફિસ
2355 વેસ્ટ ચાન્ડલર Blvd.
ચાંડલર, AZ 85224-6199
ટેલ: 480-792-7200
ફેક્સ: 480-792-7277
ટેકનિકલ સપોર્ટ:
www.microchip.com/support
Web સરનામું:
www.microchip.com
એટલાન્ટા
ડુલુથ, જીએ
ટેલ: 678-957-9614
ફેક્સ: 678-957-1455
ઓસ્ટિન, TX
ટેલ: 512-257-3370
બોસ્ટન
વેસ્ટબરો, એમએ
ટેલ: 774-760-0087
ફેક્સ: 774-760-0088
શિકાગો
ઇટાસ્કા, IL
ટેલ: 630-285-0071
ફેક્સ: 630-285-0075
ડલ્લાસ
એડિસન, TX
ટેલ: 972-818-7423
ફેક્સ: 972-818-2924
ડેટ્રોઇટ
નોવી, MI
ટેલ: 248-848-4000
હ્યુસ્ટન, TX
ટેલ: 281-894-5983
ઇન્ડિયાનાપોલિસ
નોબલ્સવિલે, IN
ટેલ: 317-773-8323
ફેક્સ: 317-773-5453
ટેલ: 317-536-2380
લોસ એન્જલસ
મિશન વિએજો, CA
ટેલ: 949-462-9523
ફેક્સ: 949-462-9608
ટેલ: 951-273-7800
રેલે, એનસી
ટેલ: 919-844-7510
ન્યુયોર્ક, એનવાય
ટેલ: 631-435-6000
સેન જોસ, CA
ટેલ: 408-735-9110
ટેલ: 408-436-4270
કેનેડા - ટોરોન્ટો
ટેલ: 905-695-1980
ફેક્સ: 905-695-2078
ઓસ્ટ્રેલિયા - સિડની
ટેલિફોન: 61-2-9868-6733
ચીન - બેઇજિંગ
ટેલિફોન: 86-10-8569-7000
ચીન - ચેંગડુ
ટેલિફોન: 86-28-8665-5511
ચીન - ચોંગકિંગ
ટેલિફોન: 86-23-8980-9588
ચીન - ડોંગગુઆન
ટેલિફોન: 86-769-8702-9880
ચીન - ગુઆંગઝુ
ટેલિફોન: 86-20-8755-8029
ચીન - હાંગઝોઉ
ટેલિફોન: 86-571-8792-8115
ચીન - હોંગકોંગ SAR
ટેલિફોન: 852-2943-5100
ચીન - નાનજિંગ
ટેલિફોન: 86-25-8473-2460
ચીન - કિંગદાઓ
ટેલિફોન: 86-532-8502-7355
ચીન - શાંઘાઈ
ટેલિફોન: 86-21-3326-8000
ચીન - શેનયાંગ
ટેલિફોન: 86-24-2334-2829
ચીન - શેનઝેન
ટેલિફોન: 86-755-8864-2200
ચીન - સુઝોઉ
ટેલિફોન: 86-186-6233-1526
ચીન - વુહાન
ટેલિફોન: 86-27-5980-5300
ચીન - ઝિયાન
ટેલિફોન: 86-29-8833-7252
ચીન - ઝિયામેન
ટેલિફોન: 86-592-2388138
ચીન - ઝુહાઈ
ટેલિફોન: 86-756-3210040
ભારત - બેંગ્લોર
ટેલિફોન: 91-80-3090-4444
ભારત - નવી દિલ્હી
ટેલિફોન: 91-11-4160-8631
ભારત - પુણે
ટેલિફોન: 91-20-4121-0141
જાપાન - ઓસાકા
ટેલિફોન: 81-6-6152-7160
જાપાન - ટોક્યો
ટેલિફોન: 81-3-6880- 3770
કોરિયા - ડેગુ
ટેલિફોન: 82-53-744-4301
કોરિયા - સિઓલ
ટેલિફોન: 82-2-554-7200
મલેશિયા - કુઆલાલંપુર
ટેલિફોન: 60-3-7651-7906
મલેશિયા - પેનાંગ
ટેલિફોન: 60-4-227-8870
ફિલિપાઇન્સ - મનિલા
ટેલિફોન: 63-2-634-9065
સિંગાપોર
ટેલિફોન: 65-6334-8870
તાઇવાન - સિન ચુ
ટેલિફોન: 886-3-577-8366
તાઇવાન - કાઓહસુંગ
ટેલિફોન: 886-7-213-7830
તાઇવાન - તાઇપેઇ
ટેલિફોન: 886-2-2508-8600
થાઈલેન્ડ - બેંગકોક
ટેલિફોન: 66-2-694-1351
વિયેતનામ - હો ચી મિન્હ
ટેલિફોન: 84-28-5448-2100
ઑસ્ટ્રિયા - વેલ્સ
ટેલિફોન: 43-7242-2244-39
ફેક્સ: 43-7242-2244-393
ડેનમાર્ક - કોપનહેગન
ટેલિફોન: 45-4485-5910
ફેક્સ: 45-4485-2829
ફિનલેન્ડ - એસ્પૂ
ટેલિફોન: 358-9-4520-820
ફ્રાન્સ - પેરિસ
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
જર્મની - ગાર્ચિંગ
ટેલિફોન: 49-8931-9700
જર્મની - હાન
ટેલિફોન: 49-2129-3766400
જર્મની - હેઇલબ્રોન
ટેલિફોન: 49-7131-72400
જર્મની - કાર્લસ્રુહે
ટેલિફોન: 49-721-625370
જર્મની - મ્યુનિક
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
જર્મની - રોઝેનહેમ
ટેલિફોન: 49-8031-354-560
ઇઝરાયેલ - રાનાના
ટેલિફોન: 972-9-744-7705
ઇટાલી - મિલાન
ટેલિફોન: 39-0331-742611
ફેક્સ: 39-0331-466781
ઇટાલી - પાડોવા
ટેલિફોન: 39-049-7625286
નેધરલેન્ડ - ડ્રુનેન
ટેલિફોન: 31-416-690399
ફેક્સ: 31-416-690340
નોર્વે - ટ્રોન્ડહાઇમ
ટેલિફોન: 47-72884388
પોલેન્ડ - વોર્સો
ટેલિફોન: 48-22-3325737
રોમાનિયા - બુકારેસ્ટ
Tel: 40-21-407-87-50
સ્પેન - મેડ્રિડ
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
સ્વીડન - ગોથેનબર્ગ
Tel: 46-31-704-60-40
સ્વીડન - સ્ટોકહોમ
ટેલિફોન: 46-8-5090-4654
યુકે - વોકિંગહામ
ટેલિફોન: 44-118-921-5800
ફેક્સ: 44-118-921-5820

માઈક્રોચીપ લોગો© 2023 Microchip Technology Inc. અને તેની પેટાકંપનીઓ
DS50003627A -

દસ્તાવેજો / સંસાધનો

MICROCHIP Libero SoC સિમ્યુલેશન લાઇબ્રેરી સોફ્ટવેર [પીડીએફ] વપરાશકર્તા માર્ગદર્શિકા
DS50003627A, Libero SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર, SoC સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર, સિમ્યુલેશન લાઇબ્રેરી સૉફ્ટવેર, લાઇબ્રેરી સૉફ્ટવેર, સૉફ્ટવેર

સંદર્ભો

એક ટિપ્પણી મૂકો

તમારું ઇમેઇલ સરનામું પ્રકાશિત કરવામાં આવશે નહીં. જરૂરી ક્ષેત્રો ચિહ્નિત થયેલ છે *