Libero SoC симуляциясы
Кітапхананы орнату нұсқаулары
Кіріспе
Бұл құжаттың мақсаты кіріс ретінде Libero SoC жобасын пайдаланып модельдеу ортасын орнату процедурасын сипаттау болып табылады. Бұл құжаттама Libero SoC v11.9 және жаңарақ бағдарламалық құрал шығарылымдарымен пайдалануға берілген алдын ала құрастырылған кітапханаларға сәйкес келеді. Берілген кітапханалар Verilog үшін құрастырылған. VHDL пайдаланушылары аралас режимді модельдеуге мүмкіндік беретін лицензияны қажет етеді.
Құрастырылған модельдеу кітапханалары келесі құралдар үшін қол жетімді:
- Aldec Active-HDL
- Aldec Riviera-PRO
- Cadence Incisive Enterprise және Xcelium
- Siemens QuestaSim
- Synopsys VCS
Басқа симулятор үшін кітапхананы сұрау үшін хабарласыңыз Микрочипті техникалық қолдау.
Libero SoC интеграциясы
Libero SoC run.do файлын жасау арқылы ModelSim ME көмегімен модельдеуді қолдайды file. Бұл file моделін орнату және іске қосу үшін ModelSim ME/ModelSim Pro ME пайдаланады. Басқа модельдеу құралдарын пайдалану үшін ModelSim ME/ModelSim Pro ME run.do құруға және Tcl сценарийін өзгертуге болады. file симулятормен үйлесімді пәрмендерді пайдалану үшін.
1.1 Libero SoC Tcl File ұрпақ (Сұрақ қою)
Libero SoC жүйесінде дизайнды жасағаннан және жасағаннан кейін, барлық дизайн кезеңдерінде (presynth, postsynth және post-layout) ModelSim ME/ModelSim Pro ME симуляциясын бастаңыз. Бұл қадам run.do файлын жасайды file Әрбір дизайн кезеңі үшін ModelSim ME/ModelSim Pro ME үшін.
Маңызды: Әрбір модельдеу іске қосылғаннан кейін, автоматты түрде жасалған run.do атауын өзгертіңіз file Libero SoC оны қайта жазуға жол бермеу үшін модельдеу каталогының астында file. Мысалыampле, files атауын presynth_run.do, postsynth_run.do және postlayout_run.do деп өзгертуге болады.
Active-HDL және Riviera-Pro үшін Aldec орнатуы (Сұрақ қою)
жүгіру.до file ModelSim ME/ModelSim Pro ME пайдаланатын Aldec симуляторлары арқылы модельдеу үшін өзгертуге және пайдалануға болады.
2.1 Ортаның айнымалысы (Сұрақ қою)
Айнымалы ортаны лицензияға орнатыңыз file орналасқан жері:
LM_LICENSE_FILE: лицензия серверіне көрсеткішті қамтуы керек.
2.2 Құрастырылған кітапхананы жүктеп алу (Сұрақ қою)
Aldec Active-HDL және Aldec Riviera-PRO кітапханаларын микрочиптен жүктеп алыңыз. webсайт.
2.3 Aldec симуляциясы үшін run.do түрлендіру (Сұрақ қою)
жүгіру.до fileActive-HDL және Riviera-Pro құралын пайдаланып модельдеу үшін Libero SoC жасаған s бір өзгеріспен Active-HDL және Riviera-Pro көмегімен модельдеу үшін пайдаланылуы мүмкін. Келесі кестеде ModelSim run.do файлында өзгертуге арналған Aldec эквивалентті пәрмендері берілген file.
Кесте 2-1. Aldec эквивалентті пәрмендері
ModelSim | Белсенді-HDL |
влог | алог |
vcom | acom |
vlib | алиб |
қарсы | асим |
vmap | amap |
Төмендегідейample run.do Aldec симуляторларына қатысты.
- Ағымдағы жұмыс каталогының орнын орнатыңыз.
dsn орнатыңыз - Жұмыс кітапханасының атын орнатыңыз, оның орнын салыстырыңыз, содан кейін Microchip FPGA тобының орнын салыстырыңыз
алдын ала құрастырылған кітапханалар (мысалыample, SmartFusion2) сіз дизайнды іске қосып жатырсыз.
алиб пресинт
amap presynth presynth
amap SmartFusion2 - Барлық қажетті HDL құрастырыңыз fileлар қажетті кітапханамен дизайнда қолданылады.
alog – жұмыс presynth temp.v (Verilog үшін)
alog – жұмыс presynth testbench.v
acom – жұмыс presynth temp.vhd (Vhdl үшін)
acom – жұмыс presynth testbench.vhd - Дизайнды имитациялаңыз.
asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
10us жүгіру
2.4 Белгілі мәселелер (Сұрақ қою)
Бұл бөлімде белгілі мәселелер мен шектеулер тізімі берілген.
- Riviera-PRO арқылы құрастырылған кітапханалар платформаға тән (яғни 64 биттік кітапханаларды 32 биттік платформада іске қосу мүмкін емес және керісінше).
- SERDES/MDDR/FDDR бар конструкциялар үшін run.do ішінде келесі опцияны пайдаланыңыз files дизайндарын құрастырғаннан кейін модельдеуді орындау кезінде:
– Active-HDL: asim –o2
– Riviera-PRO: asim –O2 (синтке дейінгі және орналасудан кейінгі модельдеу үшін) және asim –O5 (макеттен кейінгі модельдеу үшін)
Active-HDL және Riviera-Pro үшін Aldec орнатуында келесі күтудегі SAR мәндері бар. Қосымша ақпарат алу үшін хабарласыңыз Микрочипті техникалық қолдау. - SAR 49908 – Белсенді-HDL: математикалық блок модельдеуіне арналған VHDL қатесі
- SAR 50627 – Riviera-PRO 2013.02: SERDES конструкцияларына арналған модельдеу қателері
- SAR 50461 – Riviera-PRO: симуляциялардағы asim -O2/-O5 опциясы
Cadence Incisive орнату (Сұрақ қою)
Сценарий жасау керек file іске қосу үшін ModelSim ME/ModelSim Pro ME run.do нұсқасына ұқсас
Cadence Incisive симуляторы. Осы қадамдарды орындаңыз және сценарий жасаңыз file NCSim үшін немесе сценарийді пайдаланыңыз file
ModelSim ME/ModelSim Pro ME run.do түрлендіру үшін берілген files конфигурацияға енгізіңіз files
NCSim көмегімен модельдеулерді іске қосу үшін қажет.
Маңызды: Каденция Incisive Enterprise жаңа нұсқаларын шығаруды тоқтатты
симулятор және Xcelium симуляторына қолдау көрсете бастады.
3.1 Ортаның айнымалылары (Сұрақ қою)
Cadence Incisive симуляторын іске қосу үшін келесі орта айнымалы мәндерін конфигурациялаңыз:
- LM_LICENSE_FILE: лицензияға көрсеткішті қамтуы керек file.
- cds_root: Cadence Incisive орнатуының үй каталогының орнын көрсетуі керек.
- PATH: cds_root арқылы көрсетілген құралдар каталогының астындағы қалта орнын көрсетуі керек, яғни,
$cds_root/tools/bin/64bit (64-биттік құрылғы үшін және $cds_root/tools/bin 32-биттік құрылғы үшін).
64 биттік және 32 биттік операциялық жүйелер арасында ауысу жағдайында модельдеу ортасын орнатудың үш жолы бар:
1-жағдай: PATH айнымалысы
Келесі пәрменді іске қосыңыз:
64 биттік машиналар үшін жолды орнату = (install_dir/tools/bin/64bit $path) және
32 биттік машиналар үшін жолды орнату = (install_dir/tools/bin $path).
2-жағдай: -64 бит пәрмен жолы опциясын пайдалану
64 биттік орындалатын файлды шақыру үшін пәрмен жолында -64 бит опциясын көрсетіңіз.
3-жағдай: INCA_64BIT немесе CDS_AUTO_64BIT ортасының айнымалы мәнін орнату
INCA_64BIT айнымалысы логикалық ретінде қарастырылады. Бұл айнымалы мәнді кез келген мәнге немесе нөлдік жолға орнатуға болады.
setenv INCA_64BIT
Маңызды: The INCA_64BIT ортасының айнымалы мәні IC құралдары сияқты басқа Cadence құралдарына әсер етпейді. Дегенмен, Incisive құралдары үшін INCA_64BIT айнымалысы CDS_AUTO_64BIT ортасының айнымалы мәні үшін параметрді қайта анықтайды. INCA_64BIT ортасының айнымалы мәні орнатылған болса, барлық Incisive құралдары 64 биттік режимде жұмыс істейді. setenv CDS_AUTO_64BIT ҚҰРАДЫ:INCA
Маңызды: The INCA жолы бас әріппен жазылуы керек. Барлық орындалатын файлдар 32 биттік режимде немесе 64 биттік режимде іске қосылуы керек, айнымалы мәнді төмендегідей бір орындалатын файлды қамтитын етіп орнатпаңыз:
setenv CDS_AUTO_64BIT ҚОСУ:ncelab
IC құралдары сияқты басқа Cadence құралдары да 64 биттік немесе 32 биттік орындалатын файлдарды таңдауды басқару үшін CDS_AUTO_64BIT ортасының айнымалы мәнін пайдаланады. Төмендегі кесте CDS_AUTO_64BIT айнымалы мәнін барлық режимдерде Incisive құралдарын және IC құралдарын іске қосу жолын көрсетеді.
Кесте 3-1. CDS_AUTO_64BIT айнымалылар
CDS_AUTO_64BIT айнымалысы | Кесетін құралдар | IC құралдары |
setenv CDS_AUTO_64BIT БАРЛЫҒЫ | 64 бит | 64 бит |
setenv CDS_AUTO_64BIT ЖОҚ | 32 бит | 32 бит |
setenv CDS_AUTO_64BIT ҚОСУ:ic_binary | 64 бит | 32 бит |
setenv CDS_AUTO_64BIT ҚОСУ:INCA | 32 бит | 64 бит |
Маңызды: Барлық Incisive құралдары 32-биттік режимде немесе 64-биттік режимде іске қосылуы керек, төмендегідей нақты орындалатын файлды алып тастау үшін EXCLUDE қолданбасын: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Егер сіз CDS_AUTO_64BIT айнымалы мәнін Incisive құралдарын (setenv CDS_AUTO_64BIT EXCLUDE:INCA) шығару үшін орнатсаңыз, барлық Incisive құралдары 32 биттік режимде іске қосылады. Дегенмен, -64 бит пәрмен жолы опциясы орта айнымалы мәнін қайта анықтайды.
Келесі конфигурация files деректеріңізді басқаруға және модельдеу құралдары мен утилиталардың жұмысын басқаруға көмектеседі:
- Кітапхананы картаға түсіру file (cds.lib) — дизайн орнының логикалық атауын анықтайды.
- Кітапханалар және оларды физикалық каталог атауларымен байланыстырады.
- Айнымалылар file (hdl.var) — Модельдеу құралдары мен утилиталардың әрекетіне әсер ететін айнымалы мәндерді анықтайды.
3.2 Құрастырылған кітапхананы жүктеп алу (Сұрақ қою)
Microsemi's сайтынан Cadence Incisive кітапханаларын жүктеп алыңыз webсайт.
3.3 NCSim сценарийін құру File (Сұрақ қою)
run.do көшірмесін жасағаннан кейін files, NCSim көмегімен симуляцияны іске қосу үшін мына қадамдарды орындаңыз:
- cds.lib жасаңыз file қол жетімді кітапханаларды және олардың орнын анықтайды. The file кітапхананың логикалық атауларын олардың физикалық каталог жолдарымен салыстыратын мәлімдемелерді қамтиды. МысалыampЕгер сіз presynth симуляциясын іске қоссаңыз, cds.lib file келесі кодтық блокта көрсетілгендей жазылады.
presynth ./presynth АНЫҚТАҢЫЗ
COREAHBLITE_LIB ./COREAHBLITE_LIB АНЫҚТАҢЫЗ
Smartfusion2 АНЫҚТАҢЫЗ - hdl.var жасаңыз file, қосымша конфигурация file конфигурация айнымалы мәндерін қамтитын, дизайн ортаңыздың конфигурациялану жолын анықтайды. Келесі айнымалы fileлар кіреді:
– Компилятор құрастырылған нысандарды және басқа туынды деректерді сақтайтын жұмыс кітапханасын көрсету үшін пайдаланылатын айнымалылар.
– Verilog үшін айнымалылар (LIB_MAP, VIEW_MAP, WORK) кітапханаларды көрсету үшін пайдаланылады және views өңдеуші даналарды шешкенде іздеу үшін.
– Компиляторды, өңдеушіні және симуляторды пәрмен жолы опциялары мен аргументтерін анықтауға мүмкіндік беретін айнымалылар.
Пресинттік модельдеу жағдайында, мысалыampЖоғарыда көрсетілгендей, бізде үш RTL бар делік files: av, bv және testbench.v, олар тиісінше presynth, COREAHBLITE_LIB және presynth кітапханаларына жинақталуы керек. hdl.var file келесі кодтық блокта көрсетілгендей жазуға болады.
ЖҰМЫСТЫ АНЫҚТАҢЫЗ пресинт
PROJECT_DIR АНЫҚТАҢЫЗ files>
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/av => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, + => пресинт ) - Дизайнды құрастыру filencvlog опциясын пайдаланады.
ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - ncelab көмегімен дизайнды өңдеңіз. Әзірлеуші дизайндағы даналық және конфигурация ақпаратына негізделген дизайн иерархиясын құрады, сигнал қосылымын орнатады және жобадағы барлық нысандар үшін бастапқы мәндерді есептейді. Әзірленген дизайн иерархиясы симуляциялық суретте сақталады, ол симулятор модельдеуді іске қосу үшін пайдаланатын дизайнның көрінісі болып табылады.
ncelab –Хабар –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
access +rwc – worklib күйі. :модуль
Орналасудан кейінгі модельдеу кезінде өңдеу
Орналасудан кейінгі модельдеу жағдайында алдымен SDF file ncsdfc пәрменін пайдаланып өңдеуден бұрын құрастыру қажет.
ncsdfcfilename>.sdf –шығаруfileаты>.sdf.X
Өңдеу барысында келесі кодтық блокта көрсетілгендей –autosdf опциясы бар құрастырылған SDF шығысын пайдаланыңыз.
ncelab -autosdf –Хабар –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
15 – access +rwc – worklib күйі. :модуль –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file келесі кодтық блокта көрсетілгендей болуы керек.
COMPILED_SDF_FILE = “ file>» - ncsim көмегімен модельдеу. Өңдеуден кейін модельдеу үшін ncsim жүктелетін модельдеу суреті жасалады. Пакеттік режимде немесе GUI режимінде іске қосуға болады.
ncsim –Хабар –топтама/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log –
errormax 15 – күй жұмысlib. :модуль
Маңызды: Құрастырудың, өңдеудің және модельдеудің жоғарыда аталған үш қадамының барлығын қабық сценарийіне қоюға болады. file және пәрмен жолынан алынған. Осы үш қадамды пайдаланудың орнына келесі кодтық блокта көрсетілгендей ncverilog немесе irun опциясын пайдаланып дизайнды бір қадамда модельдеуге болады.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileжобалауда қолданылады>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
жобалауда қолданылады>
3.3.1 Белгілі мәселелер (Сұрақ қою)
Testbench шешімі
Пайдаланушы жасаған testbench жүйесіндегі сағат жиілігін көрсету үшін келесі мәлімдемені пайдалану немесе Libero SoC арқылы жасалған әдепкі сынақ үстелі NCSim-мен жұмыс істемейді.
әрқашан @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Модельдеуді іске қосу үшін келесідей өзгертіңіз:
әрқашан #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
Маңызды: құрастырылған NCSim кітапханалары платформаға тән (яғни 64 биттік кітапханалар 32 биттік платформамен үйлесімді емес және керісінше).
MSS және SERDES көмегімен постсинттік және орналасудан кейінгі модельдеулер MSS блогы бар конструкциялардың постсинттік модельдеулерін немесе SERDES көмегімен дизайндардың орналасудан кейінгі модельдеулерін іске қосу кезінде –libmap опциясы болса, BFM модельдеулері жұмыс істемейді.
әзірлеу кезінде анықталмаған. Себебі өңдеу кезінде АЖ жұмыс кітапханасынан шешіледі (әдепкі байланыстыру және worklib постсинт/пост орналасу болғандықтан), мұнда ол жай ғана Бекітілген функция болып табылады.
CElab пәрменін АЖ шешу үшін келесі код блогында көрсетілгендей жазу керек
SmartFusion2 алдын ала жинақталған кітапханасынан блок.
ncelab -libmap lib.map -libverbose -Message -access +rwc cfg1
және lib.map file келесідей болуы керек:
cfg1 конфигурациясы;
дизайн ;
әдепкі liblist smartfusion2 ;
endconfig
Бұл SmartFusion2 кітапханасындағы кез келген ұяшықты жұмыс кітапханасын, яғни постсинт/кейін орналасуды қарау алдында шешеді.
–libmap опциясын әдепкі бойынша әрбір модельдеу үшін өңдеу кезінде пайдалануға болады (presynth, postsynth және post-layout). Бұл кітапханалардағы даналарды шешуге байланысты туындаған модельдеу мәселелерін болдырмайды.
ncelab: *F,INTERR: ІШКІ ЕРЕКШЕЛІК
Бұл ncelab құралының ерекшелігі SmartFusion 2 және IGLOO 2 жүйесінде FDDR бар конструкцияларға –libmap опциясын қолданып, синтезден кейінгі және орналасудан кейінгі модельдеу кезіндегі ескерту болып табылады.
Маңызды: Бұл мәселе Cadence қолдау көрсету тобына (SAR 52113) хабарланды.
3.4 Сample Tcl және Shell сценарийі Fileс (Сұрақ қою)
Келесісі files - конфигурация fileдизайн мен қабық сценарийін орнату үшін қажет file NCSim пәрмендерін іске қосу үшін.
Cds.lib
NE smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB АНЫҚТАҢЫЗ
presynth ./presynth АНЫҚТАҢЫЗ
Hdl.var
ЖҰМЫСТЫ АНЫҚТАҢЫЗ пресинт
PROJECT_DIR АНЫҚТАҢЫЗ /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_masterstageev => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_slavestageev => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
пресинт)
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
пресинт)
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, + => пресинт )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -жаңарту -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagев
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagев
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Хабар -cdslib ./cds.lib -hdlvar ./hdl.var
-жұмыс presynth -logfile ncelab.log -errormax 15 -access +rwc -күй presynth.testbench:модуль
ncsim -Хабар -топтама -cdslib ./cds.lib -hdlvar ./
hdl.var -журналfile ncsim.log -errormax 15 -күй presynth.testbench:модуль
3.5 Автоматтандыру (Сұрақ қою)
Келесі сценарий file ModelSim run.do түрлендіреді files конфигурацияға енгізіңіз fileNCSim көмегімен модельдеулерді іске қосу үшін қажет.
Сценарий File Қолданылуы
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Cadence_Алдын ала құрастырылған_кітапханалардың_орны
Cadence_parser.pl
#!/usr/bin/perl -w
################################################ ##########################################
##################
#Қолданылуы: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family алдын ала жинақталған_кітапханалардың_орналасқан жері#
################################################ ##########################################
##################
POSIX пайдалану;
қатаң қолдану;
менің ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
subquesta_parser {
менің $ModelSim_run_do = $_[0];
менің $actel_family = $_[1];
менің $lib_location = $_[2];
менің $state;
егер (-e “$ModelSim_run_do” )
{
ашық (INFILE,”$ModelSim_run_do”);
менің @ModelSim_run_do =FILE>;
менің $сызығым;
егер ( $ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
ашық (OUTFILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ($ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
ашық (OUTFILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
ашық (OUTFILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} басқа
{
басып шығару «Қате кірістер берілген file\n”;
басып шығару “#Қолдану: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Кітапханалардың_орны\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#Жалпы операциялар
$line =~ s/..\/дизайнер.*симуляция\///g;
$line =~ s/$state/$state\_questa/g;
#шығаруFILE “$line \n”;
егер ($line =~ m/vmap\s+.*($actel_family)/)
{
шығаруFILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
шығаруFILE “$line \n”;
} elsif ($line =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
шығаруFILE “$line \n”;
} басқа
{
шығаруFILE “$line \n”;
}
}
жабу (INFILE);
жабу (ШЫҒЫНДАFILE);
} басқа {
басып шығару «$ModelSim_run_do жоқ. Модельдеу қайта іске қосу \n»;
}
}
Cadence Xcelium орнату (Микрочиппен кіру)
Сценарий жасау керек file Cadence Xcelium симуляторын іске қосу үшін ModelSim ME/ModelSim Pro ME run.do нұсқасына ұқсас. Осы қадамдарды орындаңыз және сценарий жасаңыз file Xcelium үшін немесе сценарийді пайдаланыңыз file ModelSim ME/ModelSim Pro ME run.do түрлендіру үшін берілген files конфигурацияға енгізіңіз files Xcelium көмегімен симуляцияларды іске қосу үшін қажет.
4.1 Ортаның айнымалылары (Сұрақ қою)
Cadence Xcelium іске қосу үшін келесі орта айнымалы мәндерін конфигурациялаңыз:
- LM_LICENSE_FILE: лицензияға көрсеткішті қамтуы керек file.
- cds_root: Cadence Incisive орнатуының үй каталогының орнын көрсетуі керек.
- PATH: cds_root (яғни
$cds_root/tools/bin/64bit (64 биттік құрылғы үшін және $cds_root/tools/bin 32 бит үшін)
машина).
64 биттік және 32 биттік операциялық жүйелер арасында ауысу жағдайында модельдеу ортасын орнатудың үш жолы бар:
1-жағдай: PATH айнымалысы
64 биттік машиналар үшін жолды орнату = (install_dir/tools/bin/64bit $path) және
32 биттік машиналар үшін жолды орнату = (install_dir/tools/bin $path).
2-жағдай: -64 бит пәрмен жолы опциясын пайдалану
64 биттік орындалатын файлды шақыру үшін пәрмен жолында -64 бит опциясын көрсетіңіз.
3-жағдай: INCA_64BIT немесе CDS_AUTO_64BIT ортасының айнымалы мәнін орнату
INCA_64BIT айнымалысы логикалық ретінде қарастырылады. Бұл айнымалы мәнді кез келген мәнге немесе нөлге орнатуға болады
жол.
setenv INCA_64BIT
Маңызды: The INCA_64BIT ортасының айнымалы мәні IC құралдары сияқты басқа Cadence құралдарына әсер етпейді. Дегенмен, Incisive құралдары үшін INCA_64BIT айнымалысы CDS_AUTO_64BIT ортасының айнымалы мәні үшін параметрді қайта анықтайды. INCA_64BIT ортасының айнымалы мәні et болса, барлық Incisive құралдары 64 биттік режимде жұмыс істейді.
setenv CDS_AUTO_64BIT ҚҰРАДЫ:INCA
Маңызды: The INCA жолы бас әріппен жазылуы керек. Барлық орындалатын файлдар 2 биттік режимде немесе 64 биттік режимде іске қосылуы керек, айнымалы мәнді төмендегідей бір орындалатын файлды қамтитын етіп орнатпаңыз:
setenv CDS_AUTO_64BIT ҚОСУ:ncelab
IC құралдары сияқты басқа Cadence құралдары да 64 биттік немесе 32 биттік орындалатын файлдарды таңдауды басқару үшін CDS_AUTO_64BIT ортасының айнымалы мәнін пайдаланады. Төмендегі кесте CDS_AUTO_64BIT айнымалы мәнін барлық режимдерде Incisive құралдарын және IC құралдарын іске қосу жолын көрсетеді.
Кесте 4-1. CDS_AUTO_64BIT айнымалылар
CDS_AUTO_64BIT айнымалысы | Кесетін құралдар | IC құралдары |
setenv CDS_AUTO_64BIT БАРЛЫҒЫ | 64-бит | 64-бит |
setenv CDS_AUTO_64BIT ЖОҚ | 32-бит | 32-бит |
setenv CDS_AUTO_64BIT ШЫҒАРУ:ic_binary |
64-бит | 32-бит |
setenv CDS_AUTO_64BIT ҚОСУ:INCA | 32-бит | 64-бит |
Маңызды: Барлық Incisive құралдары 32 биттік режимде немесе 64 биттік режимде іске қосылуы керек, төмендегідей нақты орындалатын файлды алып тастау үшін EXCLUDE қолданбаңыз:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Егер сіз CDS_AUTO_64BIT айнымалы мәнін Incisive құралдарын алып тастау үшін орнатсаңыз (setenv
CDS_AUTO_64BIT EXCLUDE:INCA), барлық Incisive құралдары 32 биттік режимде іске қосылады. Дегенмен,
-64 бит пәрмен жолы опциясы орта айнымалы мәнін қайта анықтайды.
Келесі конфигурация files деректеріңізді басқаруға және модельдеу құралдары мен утилиталардың жұмысын басқаруға көмектеседі:
- Кітапхананы картаға түсіру file (cds.lib) дизайн орнының логикалық атауын анықтайды.
- Кітапханалар және оларды физикалық каталог атауларымен байланыстырады.
- Айнымалылар file (hdl.var) модельдеу құралдары мен утилиталардың әрекетіне әсер ететін айнымалы мәндерді анықтайды.
4.2 Құрастырылған кітапхананы жүктеп алу (Сұрақ қою)
Microsemi компаниясынан Cadence Xcelium кітапханаларын жүктеп алыңыз webсайт.
4.3 Xcelium сценарийін құру file (Сұрақ қою)
run.do көшірмесін жасағаннан кейін files, Xcelium сценарийін пайдаланып симуляцияны іске қосу үшін келесі қадамдарды орындаңыз file.
- cds.lib жасаңыз file бұл қандай кітапханаларға қол жетімді және олардың қайда орналасқанын анықтайды.
The file кітапхананың логикалық атауларын олардың физикалық каталог жолдарымен салыстыратын мәлімдемелерді қамтиды. МысалыampЕгер сіз presynth симуляциясын іске қоссаңыз, cds.lib file келесі кодтық блокта көрсетілгендей жазуға болады.
presynth ./presynth АНЫҚТАҢЫЗ
COREAHBLITE_LIB ./COREAHBLITE_LIB АНЫҚТАҢЫЗ
Smartfusion2 АНЫҚТАҢЫЗ - hdl.var жасаңыз file бұл қосымша конфигурация file конфигурация айнымалы мәндерін қамтитын, дизайн ортаңыздың конфигурациялану жолын анықтайды. Оларға мыналар жатады:
– Компилятор құрастырылған нысандарды және басқа туынды деректерді сақтайтын жұмыс кітапханасын көрсету үшін пайдаланылатын айнымалылар.
– Verilog үшін айнымалылар (LIB_MAP, VIEW_MAP, WORK) кітапханаларды көрсету үшін пайдаланылады және views өңдеуші даналарды шешкенде іздеу үшін.
– Компиляторды, өңдеушіні және симуляторды пәрмен жолы опциялары мен аргументтерін анықтауға мүмкіндік беретін айнымалылар.
Пресинттік модельдеу жағдайында, мысалыampЖоғарыда көрсетілгендей, бізде 3 RTL бар делік files av, bv және testbench.v, олар тиісінше presynth, COREAHBLITE_LIB және presynth кітапханаларына жинақталуы керек. hdl.var file келесі кодтық блокта көрсетілгендей жазуға болады.
ЖҰМЫСТЫ АНЫҚТАҢЫЗ пресинт
PROJECT_DIR АНЫҚТАҢЫЗ files>
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/av => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, + => пресинт ) - Дизайнды құрастыру filencvlog опциясын пайдаланады.
xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - ncelab көмегімен дизайнды өңдеңіз. Әзірлеуші дизайндағы даналық және конфигурация ақпаратына негізделген дизайн иерархиясын құрады, сигнал қосылымын орнатады және жобадағы барлық нысандар үшін бастапқы мәндерді есептейді. Әзірленген дизайн иерархиясы симуляциялық суретте сақталады, ол симулятор модельдеуді іске қосу үшін пайдаланатын дизайнның көрінісі болып табылады.
Xcelium – Хабар – cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
access +rwc – worklib күйі. :модуль
Орналасудан кейінгі модельдеу кезінде өңдеу
Орналасудан кейінгі модельдеу жағдайында алдымен SDF file ncsdfc пәрменін пайдаланып өңдеуден бұрын құрастыру қажет.
Xceliumfilename>.sdf –шығаруfileаты>.sdf.X
Өңдеу барысында келесі кодтық блокта көрсетілгендей –autosdf опциясы бар құрастырылған SDF шығысын пайдаланыңыз.
xmelab -autosdf – Хабар – cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
15 – access +rwc – worklib күйі. :модуль –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file келесі кодтық блокта көрсетілгендей болуы керек.
COMPILED_SDF_FILE = “ file>» - Xcelium көмегімен модельдеу. Өңдеуден кейін модельдеу үшін Xcelium жүктеген модельдеу суреті жасалады. Бұл пакеттік режимде немесе GUI режимінде іске қосылуы мүмкін.
xmsim –Хабар –топтама/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log –
errormax 15 – күй жұмысlib. :модуль
Cadence Xcelium орнату
Маңызды: Барлығы құрастырудың, өңдеудің және имитациялаудың жоғарыдағы үш қадамын қабық сценарийіне қоюға болады file және пәрмен жолынан алынған. Осы үш қадамды пайдаланудың орнына дизайнды келесі кодтық блокта көрсетілгендей ncverilog немесе xrun опциясы арқылы бір қадамда модельдеуге болады.
xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileжобалауда қолданылады>
xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
жобалауда қолданылады>
4.3.1 Белгілі мәселелер (Сұрақ қою)
Testbench шешімі
Пайдаланушы жасаған сынақ үстеліндегі сағат жиілігін немесе Libero SoC жасаған әдепкі тестбенчті көрсету үшін келесі мәлімдемені пайдалану Xcelium жүйесімен жұмыс істемейді.
әрқашан @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Модельдеуді іске қосу үшін келесідей өзгертіңіз:
әрқашан #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
Маңызды: Xcelium үшін жинақталған кітапханалар платформаға тән (яғни 64 биттік кітапханалар 32 биттік платформамен үйлесімді емес және керісінше).
MSS және SERDES көмегімен постсинттік және орналасудан кейінгі модельдеу
Құрамында MSS блогы бар конструкциялардың постсинттік модельдеулері немесе SERDES көмегімен дизайндардың орналасудан кейінгі модельдеулері орындалған кезде, өңдеу кезінде –libmap опциясы көрсетілмесе, BFM модельдеулері жұмыс істемейді. Себебі өңдеу кезінде АЖ жұмыс кітапханасынан шешіледі (әдепкі байланыстыру және worklib постсинт/кейінгі орналасу болғандықтан), мұнда ол жай ғана Бекітілген функция болып табылады.
SmartFusion2 алдын ала жинақталған кітапханасынан АЖ блогын шешу үшін ncelab пәрменін келесі код блогында көрсетілгендей жазу керек.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
және lib.map file келесідей болуы керек:
cfg1 конфигурациясы;
дизайн ;
әдепкі liblist smartfusion2 ;
endconfig
Бұл SmartFusion2 кітапханасындағы кез келген ұяшықты жұмыс кітапханасын, яғни постсинт/пост орналасуды іздемес бұрын шешуі керек.
–libmap опциясын әдепкі бойынша әрбір модельдеу үшін өңдеу кезінде пайдалануға болады (синтке дейінгі, постсинттік және кейінгі орналасу). Бұл кітапханалардағы даналарды шешуге байланысты туындаған модельдеу мәселелерін болдырмайды.
xmelab: *F,INTERR: ІШКІ ЕРЕКШЕЛІК
Бұл ncelab құралының ерекшелігі SmartFusion2 және IGLOO2 ішіндегі FDDR бар конструкцияларға арналған ескерту болып табылады.
–libmap опциясын пайдаланып, постсинт және орналасудан кейінгі модельдеу кезінде.
Маңызды: Бұл мәселе Cadence қолдау көрсету тобына (SAR 52113) хабарланды.
4.4 Сample Tcl және қабық сценарийі fileс (Сұрақ қою)
Келесісі files - конфигурация fileдизайн мен қабық сценарийін орнату үшін қажет file Xcelium пәрмендерін іске қосу үшін.
Cds.lib
smartfusion2 АНЫҚТАҢЫЗ /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB АНЫҚТАҢЫЗ
presynth ./presynth АНЫҚТАҢЫЗ
Hdl.var
ЖҰМЫСТЫ АНЫҚТАҢЫЗ пресинт
PROJECT_DIR АНЫҚТАҢЫЗ /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_masterstageev => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite_slavestageev => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahbite.v => COREAHBLITE_LIB )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
пресинт)
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
пресинт)
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => пресинт )
LIB_MAP АНЫҚТАУ ( $LIB_MAP, + => пресинт )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -жаңарту -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagев
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagев
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Хабар -cdslib ./cds.lib -hdlvar ./hdl.var
-жұмыс presynth -logfile ncelab.log -errormax 15 -access +rwc -күй presynth.testbench:модуль
ncsim -Хабар -топтама -cdslib ./cds.lib -hdlvar ./
hdl.var -журналfile ncsim.log -errormax 15 -күй presynth.testbench:модуль
4.5 Автоматтандыру (Микрочиппен кіру)
Келесі сценарий file ModelSim run.do түрлендіреді files конфигурацияға енгізіңіз files Xcelium көмегімен симуляцияларды іске қосу үшін қажет.
Сценарий File Қолданылуы
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Cadence_Алдын ала құрастырылған_кітапханалардың_орны
Cadence_parser.pl
#!/usr/bin/perl -w
################################################ ##########################################
##################
#Қолданылуы: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family алдын ала жинақталған_кітапханалардың_орналасқан жері#
################################################ ##########################################
##################
POSIX пайдалану;
қатаң қолдану;
менің ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
subquesta_parser {
менің $ModelSim_run_do = $_[0];
менің $actel_family = $_[1];
менің $lib_location = $_[2];
менің $state;
егер (-e “$ModelSim_run_do” )
{
ашық (INFILE,”$ModelSim_run_do”);
менің @ModelSim_run_do =FILE>;
менің $сызығым;
егер ( $ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
ашық (OUTFILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ($ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
ашық (OUTFILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
ашық (OUTFILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} басқа
{
басып шығару «Қате кірістер берілген file\n”;
басып шығару “#Қолдану: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Кітапханалардың_орны\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#Жалпы операциялар
$line =~ s/..\/дизайнер.*симуляция\///g;
$line =~ s/$state/$state\_questa/g;
#шығаруFILE “$line \n”;
егер ($line =~ m/vmap\s+.*($actel_family)/)
{
шығаруFILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
шығаруFILE “$line \n”;
} elsif ($line =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
шығаруFILE “$line \n”;
} басқа
{
шығаруFILE “$line \n”;
}
}
жабу (INFILE);
жабу (ШЫҒЫНДАFILE);
} басқа {
басып шығару «$ModelSim_run_do жоқ. Модельдеу қайта іске қосу \n»;
}
}
Siemens QuestaSim орнату/ModelSim орнату (Сұрақ қою)
жүгіру.до fileModelSim Microsemi шығарылымдарын пайдаланып модельдеу үшін Libero SoC арқылы жасалған s бір ғана өзгеріспен QuestaSim/ModelSim SE/DE/PE арқылы модельдеу үшін пайдаланылуы мүмкін. ModelSim ME/ModelSim Pro ME run.do ішінде file, алдын ала құрастырылған кітапханалардың орнын өзгерту қажет.
Маңызды:
Әдепкі бойынша, ModelSim Pro ME құрылғысынан басқа модельдеу құралы модельдеу кезінде дизайнды оңтайландыруды орындайды, ол дизайн нысандары және енгізу ынталандыруы сияқты модельдеу артефактілерінің көрінуіне әсер етуі мүмкін.
Бұл әдетте егжей-тегжейлі, өзін-өзі тексеретін сынақ стендтерін пайдалана отырып, күрделі модельдеу үшін модельдеудің орындалу уақытын азайтуға көмектеседі. Дегенмен, әдепкі оңтайландырулар барлық модельдеулерге сәйкес келмеуі мүмкін, әсіресе толқындық терезені пайдаланып модельдеу нәтижелерін графикалық түрде тексеруді күткен жағдайларда.
Осы оңтайландырудан туындаған мәселелерді шешу үшін дизайндағы көрінуді қалпына келтіру үшін модельдеу кезінде сәйкес пәрмендер мен қатысты дәлелдерді қосу керек. Құралға арналған пәрмендерді пайдаланудағы симулятор құжаттамасын қараңыз.
5.1 Ортаның айнымалылары (Сұрақ қою)
Төменде қажетті орта айнымалылары берілген.
- LM_LICENSE_FILE: лицензияға жолды қамтуы керек file.
- MODEL_TECH: QuestaSim орнатуының үй каталогының орнына апаратын жолды анықтауы керек.
- PATH: MODEL_TECH көрсеткен орындалатын орынды көрсетуі керек.
5.2 Mentor QuestaSim үшін run.do түрлендіру (Сұрақ қою)
жүгіру.до fileLibero SoC арқылы ModelSim Microsemi шығарылымдары арқылы модельдеу үшін жасалған s бір өзгеріспен QuestaSim/ModelSim_SE көмегімен модельдеу үшін пайдаланылуы мүмкін.
Маңызды: Барлығы QuestaSim көмегімен имитацияланған конструкцияларда -novopt болуы керек
run.do сценарийіндегі vsim пәрменімен бірге опция files.
5.3 Құрастырылған кітапхананы жүктеп алыңыз (Сұрақ қою)
Microsemi's компаниясынан Mentor Graphics QuestaSim кітапханаларын жүктеп алыңыз webсайт.
Synopsys VCS орнату (Сұрақ қою)
Microsemi ұсынған ағын VCS жүйесіндегі Elaborate және Compile ағынына негізделген. Бұл құжатта сценарий бар file run.do сценарийін пайдаланады files Libero SoC арқылы жасалады және орнатуды жасайды fileVCS симуляциясы үшін қажет. Сценарий file run.do пайдаланады file келесі әрекеттерді орындау.
- Кітапхана картасын жасау file, ол synopsys_sim.setup арқылы орындалады file VCS симуляциясы іске қосылған каталогта орналасқан.
- Қабық сценарийін жасаңыз file VCS көмегімен дизайнды әзірлеу және құрастыру.
6.1 Ортаның айнымалылары (Сұрақ қою)
Орнату негізінде VCS үшін сәйкес орта айнымалы мәндерін орнатыңыз. VCS құжаттамасына сәйкес қажет орта айнымалылары:
- LM_LICENSE_FILE: лицензия серверіне көрсеткішті қамтуы керек.
- VCS_HOME: VCS орнатуының үй каталогының орнын көрсетуі керек.
- PATH: VCS_HOME каталогының астындағы қалта каталогына көрсеткішті қамтуы керек.
6.2 Құрастырылған кітапхананы жүктеп алу (Сұрақ қою)
Microsemi компаниясынан Synopsys VCS кітапханаларын жүктеп алыңыз webсайт.
6.3 VCS модельдеу сценарийі File (Сұрақ қою)
VCS орнатып, дизайнды және әртүрлі run.do файлдарын жасағаннан кейін files Libero SoC, сізге қажет:
- Кітапхана картасын жасау file synopsys_sim.setup; бұл file дизайнмен пайдаланылатын барлық кітапханалардың орналасуына көрсеткіштерді қамтиды.
Маңызды: The file аты өзгермеуі керек және ол модельдеу орындалатын каталогта орналасуы керек. Міне, бұрынғыampмұндай а file пресинтезді модельдеу үшін.
ЖҰМЫС > ЭФАУЛТ
SmartFusion2:
пресинт: ./presynth
Әдепкі: ./work - Әртүрлі дизайнды жасаңыз fileVCS жүйесіндегі vlogan пәрменін пайдалана отырып, сынақ үстелін қоса. Бұл пәрмендер қабық сценарийіне қосылуы мүмкін file. Төменде бұрынғыamprtl.v-де анықталған дизайнды өңдеу үшін қажетті пәрмендердің le-де анықталған сынағы бар.
testbench.v.
vlogan +v2k -жұмыс presynth rtl.v
vlogan +v2k -жұмыс presynth testbench.v - VCS көмегімен дизайнды келесі пәрмен арқылы құрастырыңыз.
vcs –sim_res=1fs presynth.testbench
Ескерту: The дұрыс функционалдық модельдеу үшін модельдеу уақытының рұқсаты 1fs мәніне орнатылуы керек. - Дизайн құрастырылғаннан кейін келесі пәрменді пайдаланып модельдеуді бастаңыз.
./simv - Кері аннотацияланған модельдеу үшін VCS пәрмені келесі кодтық блокта көрсетілгендей болуы керек.
vcs postlayout.testbench –sim_res=1fs –sdf макс: .
аты>: file path> –gui –l postlayout.log
6.4 Шектеулер/ерекшеліктер (Сұрақ қою)
Төменде Synopsys VCS орнатудың шектеулері/ерекшеліктері берілген.
- VCS модельдеулерін тек Libero SoC Verilog жобалары үшін іске қосуға болады. VCS тренажерінде Libero SoC автоматты түрде жасалған VHDL сәйкес келмейтін VHDL тілінің қатаң талаптары бар. files.
- Модельдеуді қалаған кезде тоқтату үшін Verilog testbench жүйесінде $finish мәлімдемесі болуы керек.
Маңызды: қашан симуляциялар GUI режимінде орындалады, орындау уақытын GUI-де көрсетуге болады.
6.5 Сample Tcl және Shell сценарийі Fileс (Сұрақ қою)
Келесі Perl synopsys_sim.setup құруды автоматтандырады file сондай-ақ сәйкес қабық сценарийі fileдизайнды өңдеу, құрастыру және имитациялау үшін қажет.
Егер дизайн АЖ қолданылса, test.vec файлын көшіріңіз file Libero SoC жобасының модельдеу қалтасында VCS симуляция қалтасында орналасқан. Келесі бөлімдерде s барample run.do files сәйкес кітапхана картасын және қабық сценарийін қоса, Libero SoC арқылы жасалған fileVCS симуляциясы үшін қажет.
6.5.1 Алдын ала синтез (Сұрақ қою)
Presynth_run.do
тыныш ACTELLIBNAME SmartFusion2 орнатыңыз
PROJECT_DIR “/sqa/users/me/VCS_Tests/Test_DFF” үнсіз орнатыңыз
егер {[file presynth/_info бар]} {
echo «INFO: симуляциялық кітапхана пресинт бұрыннан бар»
} басқа {
vlib пресинт
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -жұмыс presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” -жұмыс пресинт “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
толқын қосу /SD1_TB1/*
log -r /* қосу
1000 ns іске қосыңыз
presynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” орнату
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -жұмыс пресинт “${PROJECT_DIR}/component/
жұмыс/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -жұмыс
пресинт "${PROJECT_DIR}/stimulus/SD1_TB1.v"
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
ЖҰМЫС > Әдепкі
SmartFusion2: /VCS/SmartFusion2
пресинт: ./presynth
Әдепкі: ./work
6.5.2 Постсинтез (Сұрақ қою)
postsynth_run.do
тыныш ACTELLIBNAME SmartFusion2 орнатыңыз
PROJECT_DIR “/sqa/users/Me/VCS_Tests/Test_DFF” үнсіз орнатыңыз
егер {[file postsynth/_info бар]} {
echo «INFO: симуляциялық кітапхана постсинті бұрыннан бар»
} басқа {
vlib постсинт
}
vmap постсинттік постсинт
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2»
vlog -жұмыс постсинті “${PROJECT_DIR}/synthesis/SD1.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” -жұмыс постсинти “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
толқын қосу /SD1_TB1/*
log -r /* қосу
1000 ns іске қосыңыз
журнал SD1_TB1/*
шығу
Postsynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” орнату
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -жұмыс постсинт “${PROJECT_DIR}/синтез/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -жұмыс
постсинт "${PROJECT_DIR}/stimulus/SD1_TB1.v"
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
ЖҰМЫС > Әдепкі
SmartFusion2: /VCS/SmartFusion2
постсинт: ./postsynth
Әдепкі: ./work
6.5.3 Кейінгі орналасу (Сұрақ қою)
postlayout_run.do
тыныш ACTELLIBNAME SmartFusion2 орнатыңыз
PROJECT_DIR "E:/ModelSim_Work/Test_DFF" параметрін тыныш орнатыңыз
егер {[file бар ../designer/SD1/simulation/postlayout/_info]} {
echo «INFO: симуляциялық кітапхана ../designer/SD1/simulation/postlayout бұрыннан бар»
} басқа {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2»
vlog -жұмыс посты "${PROJECT_DIR}/designer/SD1/SD1_ba.v"
vlog "+incdir+${PROJECT_DIR}/stimulus" -жұмыс посты "${PROJECT_DIR}/stimulus/SD1_TB1.v"
vsim -L SmartFusion2 -L postlayout -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
толқын қосу /SD1_TB1/*
log -r /* қосу
1000 ns іске қосыңыз
Postlayout_main.csh
#!/bin/csh -f
PROJECT_DIR = “/VCS_Tests/Test_DFF” орнату
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -жұмыс postlayout “${PROJECT_DIR}/
дизайнер/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -жұмыс
postlayout “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf
max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
ЖҰМЫС > Әдепкі
SmartFusion2: /VCS/SmartFusion2
postlayout : ./postlayout
Әдепкі: ./workVCS
6.6 Автоматтандыру (Сұрақ қою)
Ағынды келесі Perl сценарийі арқылы автоматтандыруға болады file ModelSim run.do түрлендіру үшін files VCS үйлесімді қабық сценарийіне енгізіңіз files, Libero SoC симуляция каталогында тиісті каталогтарды жасаңыз, содан кейін модельдеулерді іске қосыңыз.
Сценарийді іске қосыңыз file келесі синтаксисті қолдану.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
#######################################################################################
#
#Қолданылуы: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
##########################################################################################
менің ($presynth, $postsynth, $postlayout) = @ARGV;
if(жүйе(“mkdir VCS_Presynth”)) {“mkdir орындалмады:\n” басып шығару;}
if(жүйе(“mkdir VCS_Postsynth”)) {“mkdir орындалмады:\n” басып шығару;}
if(жүйе(“mkdir VCS_Postlayout”)) {“mkdir орындалмады:\n” басып шығару;}
chdir(VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,”presynth”);
chdir («../»);
chdir(VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,”postsynth”);
chdir («../»);
chdir(VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,”postlayout”);
chdir («../»);
ішкі талдау_до {
менің $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k” ;
менің %LIB = ();
менің долларымfile = $_[0] ;
менің $state = $_[1];
ашық (INFILE,”$file”) || өлу «Ашу мүмкін емес File Себебі:$!»;
if ( $state eq “presynth” )
{
open(OUT1,”>presynth_main.csh”) || die “Пәрменді жасау мүмкін емес File Себебі:$!»;
}
elsif ( $state eq "postsynth" )
{
open(OUT1,”>postsynth_main.csh”) || die “Пәрменді жасау мүмкін емес File Себебі:$!»;
}
elsif ( $state eq "postlayout" )
{
open(OUT1,”>postlayout_main.csh”) || die “Пәрменді жасау мүмкін емес File Себебі:$!»;
}
басқа
{
print “Симуляция күйі жоқ \n” ;
}
open(OUT2,”>synopsys_sim.setup”) || die “Пәрменді жасау мүмкін емес File Себебі:$!»;
# .csh file
басып шығару OUT1 “#!/bin/csh -f\n\n\n” ;
#ОРНАТУ FILE
басып шығару OUT2 «ЖҰМЫС > ӘДІСТЕМЕЛІК\n» ;
басып шығару OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
ал ($line =FILE>)
{
Synopsys VCS орнату
егер ($line =~ м/тыныш орнату PROJECT_DIR\s+\”(.*?)\”/)
{
print OUT1 “PROJECT_DIR орнату = \”$1\”\n\n\n” ;
}
elsif ( $line =~ m/vlog.*\.v\”/ )
{
егер ($line =~ m/\s+(\w*?)\_LIB/)
{
#print “\$1 =$1 \n” ;
$temp = “$1″.”_LIB”;
#print “Температура = $темп \n” ;
$LIB{$temp}++;
}
chomp($line);
$line =~ s/^vlog/$vlog/ ;
$line =~ s/ //g;
OUT1 “$line\n” басып шығару;
}
elsif ($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line)
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb);
#print “TB Name : $tb \n”;
егер ( $line =~ m/sdf(.*)\.sdf/)
{
chomp($line);
$line = $1 ;
#print “LINE : $line \n” ;
егер ($сызық =~ м/макс/)
{
$line =~ s/max \/// ;
$line =~ s/=/:/;
басып шығару OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($сызық =~ м/мин/)
{
$line =~ с/мин \/// ;
$line =~ s/=/:/;
басып шығару OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
min:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($сызық =~ м/тип/)
{
$line =~ s/typ \/// ;
$line =~ s/=/:/;
басып шығару OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
тип:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — ModelSim SDF пішімі
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -VCS
SDF пішімі
}
}
}
басып шығару
OUT1 "\n\n"
;
if
( $state eq “presynth”
)
{
басып шығару
OUT2 «presynth
: ./presynth\n”
;
басып шығару
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
Эльсиф
( $state eq “postsynth”
)
{
басып шығару
OUT2 “postsynth
: ./postsynth\n”
;
басып шығару
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
Эльсиф
( $state eq «постановка»
)
{
print OUT2 “postlayout : ./postlayout\n” ;
}
басқа
{
print “Симуляция күйі жоқ \n” ;
}
foreach $i (%LIB пернелері)
{
#print “Кілт : $i Мән : $LIB{$i} \n” ;
басып шығару OUT2 “$i : ./$i\n” ;
}
басып шығару OUT1 “\n\n” ;
print OUT1 “./simv -l run.log\n” ;
print OUT2 “DEFAULT : ./work\n” ;
жабу INFILE;
OUT1 жабу;
OUT2 жабу;
}
Түзету тарихы (Микрочиппен кіру
Тексеру журналы құжатқа енгізілген өзгерістерді сипаттайды. Өзгерістер
ең соңғы жарияланымнан бастап қайта қарау бойынша тізімделеді.
Қайта қарау | Күн | Сипаттама |
A | 12/2023 | Осы редакцияда келесі өзгерістер енгізілген: • Құжат Microchip үлгісіне түрлендірілді. Бастапқы ревизия. • Жаңартылған бөлім 5. Siemens QuestaSim Setup/ModelSim Setup модельдеу және оңтайландыру кезінде көрінуге әсерін түсіндіретін жаңа ескертпе қосу үшін. |
Microchip FPGA қолдауы
Microchip FPGA өнімдер тобы өз өнімдерін тұтынушыларға қызмет көрсету, тұтынушыларды техникалық қолдау орталығы, т.б. webсайты және дүниежүзілік сату кеңселері.
Тұтынушыларға қолдау қызметіне хабарласпас бұрын Microchip онлайн ресурстарына бару ұсынылады, себебі олардың сұрауларына жауап берілген болуы әбден мүмкін.
арқылы Техникалық қолдау орталығына хабарласыңыз webсайтында www.microchip.com/support. FPGA құрылғы бөлігінің нөмірін атап өтіңіз, сәйкес іс санатын таңдаңыз және дизайнды жүктеп салыңыз files техникалық қолдау ісін жасау кезінде.
Өнім бағасы, өнімді жаңарту, жаңарту ақпараты, тапсырыс күйі және авторизация сияқты техникалық емес өнімге қолдау көрсету үшін тұтынушыларға қызмет көрсету орталығына хабарласыңыз.
- Солтүстік Америкадан 800.262.1060 нөміріне қоңырау шалыңыз
- Әлемнің басқа елдерінен 650.318.4460 нөміріне қоңырау шалыңыз
- Факс, әлемнің кез келген жерінен, 650.318.8044
Микрочип туралы ақпарат
Микрочип Webсайт
Microchip біздің сайтымыз арқылы онлайн қолдау көрсетеді webсайтында www.microchip.com/. Бұл webсайт жасау үшін пайдаланылады fileжәне ақпарат тұтынушыларға оңай қол жетімді. Қол жетімді мазмұнның кейбірі мыналарды қамтиды:
- Өнімді қолдау – Деректер парақтары және қателер, қолданба жазбалары және сampбағдарламалар, дизайн ресурстары, пайдаланушы нұсқаулары және аппараттық құралдарды қолдау құжаттары, соңғы бағдарламалық құрал шығарылымдары және мұрағатталған бағдарламалық құрал
- Жалпы техникалық қолдау – Жиі қойылатын сұрақтар (ЖҚС), техникалық қолдау сұраулары, онлайн талқылау топтары, Microchip жобалау серіктесі бағдарламасының мүшелері тізімі
- Microchip бизнесі – Өнімді таңдау және тапсырыс беру бойынша нұсқаулықтар, Microchip соңғы пресс-релиздері, семинарлар мен іс-шаралар тізімі, Microchip сату кеңселерінің, дистрибьюторлардың және зауыт өкілдерінің тізімі
Өнімді өзгерту туралы хабарландыру қызметі
Microchip өнімін өзгерту туралы хабарландыру қызметі тұтынушыларға Microchip өнімдерімен танысуға көмектеседі. Белгілі бір өнім тобына немесе қызығушылық туғызатын әзірлеу құралына қатысты өзгерістер, жаңартулар, түзетулер немесе қателер болған кезде жазылушылар электрондық пошта хабарландыруларын алады.
Тіркелу үшін мына сайтқа өтіңіз www.microchip.com/pcn және тіркеу нұсқауларын орындаңыз.
Тұтынушыларды қолдау
Microchip өнімдерін пайдаланушылар бірнеше арналар арқылы көмек ала алады:
- Дистрибьютор немесе өкіл
- Жергілікті сату кеңсесі
- Енгізілген шешімдер инженері (ESE)
- Техникалық көмек
Тұтынушылар қолдау алу үшін дистрибьюторға, өкілге немесе ESE-ге хабарласуы керек. Клиенттерге көмектесу үшін жергілікті сату кеңселері де бар. Сату кеңселері мен орындардың тізімі осы құжатқа енгізілген.
арқылы техникалық қолдау көрсетіледі webсайтында: www.microchip.com/support
Микрочип құрылғыларының кодын қорғау мүмкіндігі
Microchip өнімдерінде кодты қорғау мүмкіндігінің келесі мәліметтерін ескеріңіз:
- Микрочип өнімдері олардың арнайы Microchip деректер парағындағы сипаттамаларға сәйкес келеді.
- Microchip оның өнімдер тобын мақсатты түрде, пайдалану сипаттамаларында және қалыпты жағдайларда пайдаланған кезде қауіпсіз деп санайды.
- Микрочип өзінің зияткерлік меншік құқығын бағалайды және агрессивті түрде қорғайды. Microchip өнімінің кодты қорғау мүмкіндіктерін бұзу әрекеттеріне қатаң тыйым салынады және Сандық мыңжылдықтың авторлық құқық актісін бұзуы мүмкін.
- Microchip де, кез келген басқа жартылай өткізгіш өндіруші де өз кодының қауіпсіздігіне кепілдік бере алмайды. Кодты қорғау өнімге «сынбайтын» кепілдік береміз дегенді білдірмейді.
Кодты қорғау үнемі дамып отырады. Микрочип өнімдеріміздің кодты қорғау мүмкіндіктерін үздіксіз жақсартуға ұмтылады.
Заңды ескерту
Бұл жарияланым және ондағы ақпарат тек Microchip өнімдерінде, соның ішінде Microchip өнімдерін қолданбаңызбен жобалау, сынау және біріктіру үшін ғана пайдаланылуы мүмкін. Бұл ақпаратты кез келген басқа жолмен пайдалану осы шарттарды бұзады. Құрылғы қолданбаларына қатысты ақпарат сізге ыңғайлы болу үшін ғана берілген және оны жаңартулар алмастыруы мүмкін. Қолданбаңыздың техникалық сипаттамаларға сәйкестігіне көз жеткізу сіздің жауапкершілігіңіз. Қосымша қолдау алу үшін жергілікті Microchip сату кеңсесіне хабарласыңыз немесе мына жерден қосымша қолдау алыңыз www.microchip.com/en-us/support/design-help/client-support-services.
БҰЛ АҚПАРАТ МИКРОЧЫП АРҚЫЛЫ «ҚОЛДАҒЫСЫНДА» БЕРІЛЕДІ. MICROCHIP КЕЗ КЕЛГЕН МӘЛІМЕТТЕР НЕ КЕПІЛДІКТЕР ЖАСАЙДЫ АЙҚАН НЕМЕСЕ ЖҰМЫС, ЖАЗбаша немесе ауызша, ЗАҢДЫ НЕМЕСЕ БАСҚА МӘЛІМЕТТЕРГЕ ҚАТЫСТЫ, БІРАҚ ЕШҚАНДАЙ БІРАҚ ШЕКТЕУЛЕРГЕ ЕМЕС, САТУ ЖАҒДАЙЫ ЖӘНЕ НЕГІЗГІ МАҚСАТҚА САЙЫМДЫЛЫҚ НЕМЕСЕ ОНЫҢ ЖАҒДАЙЫНА, САПАСЫНА НЕМЕСЕ ОРЫНДАЛУЫНА БАЙЛАНЫСТЫ КЕПІЛДІКТЕР.
МИКРОЧІП ЕШҚАНДА ЖАҒДАЙДА КЕЗ КЕЛГЕН ЖАҒДАЛҒА, АРНАЙЫ, ЖАЗАЛУШЫЛЫҚ, КЕЗ КЕЛГЕН, НЕМЕСЕ СОҒЫМДАҒЫ МӘСЕЛЕЛЕРГЕ ҚАТЫСТЫ КЕЗ КЕЛГЕН ЖОҒАЛҒА, ЗИЯНҒА, ШЫҒЫНДАРҒА ЖАУАПКЕРШІЛІК БОЛМАЙДЫ, МИКРОЧЫП МҮМКІНДІГІ ЖӨНІНДЕ ЕСКЕРТПЕН НЕМЕСЕ ЗИЯНДАР БОЛЫП БЕРІЛЕТІН БОЛСА ДА. МИКРОЧІПТІҢ ЗАҢ РҰҚСАТ БЕРІЛГЕН ТОЛЫҚ ДЕРЕКТЕУІ АҚПАРАТҚА НЕМЕСЕ ОНЫ ПАЙДАЛАНУҒА БАЙЛАНЫСТЫ БАРЛЫҚ ТАЛАПТАР БОЙЫНША ЖАЛПЫ ЖАУАПКЕРШІЛІГІ ОСЫ БАР БОЛСА, ОСЫ МӘЛІМЕТТЕРДІҢ МӨЛІМДЕРІНЕН АСПАЙДЫ. АҚПАРАТ.
Микрочип құрылғыларын өмірді қамтамасыз ету және/немесе қауіпсіздік қолданбаларында пайдалану толығымен сатып алушының тәуекелі болып табылады және сатып алушы микрочипті кез келген және барлық залалдардан, шағымдардан, костюмдерден немесе осындай пайдаланудан туындайтын шығындардан қорғауға, өтеуге және зиянсыз ұстауға келіседі. Егер басқаша көрсетілмесе, ешқандай лицензиялар Microchip зияткерлік меншік құқықтары бойынша жанама немесе басқа жолмен берілмейді.
Сауда белгілері
Микрочип атауы және логотипі, Microchip логотипі, Adaptec, AVR, AVR логотипі, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, maMDlu, maMDlu MediaLB, megaAVR, Microsemi, Microsemi логотипі, MOST, MOST логотипі, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 логотипі, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logometr, SuperFlash, Sym , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron және XMEGA — Microchip Technology Incorporated компаниясының АҚШ-та және басқа елдерде тіркелген сауда белгілері.
AgileSwitch, APT, ClockWorks, Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus логотипі, Quiet-Wire, SmartFu SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime және ZL — АҚШ-тағы Microchip Technology Incorporated компаниясының тіркелген сауда белгілері
Іргелес кілттерді басу, AKS, сандық дәуірге арналған аналогтық, кез келген конденсатор, AnyIn, AnyOut, кеңейтілген коммутация, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, MatDICL, ADPtoMic, CryptoCompanion. , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-chip Connectivity, JitterBlocker, Knob-on-Display, KoD, maxCryView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified логотипі, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher
SuperSwitcher II, Switchtec, SynchroPHY, жалпы төзімділік, сенімді уақыт, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect және ZENA Microchip Technology Incorporated компаниясының сауда белгілері болып табылады.
АҚШ-та және басқа елдерде.
SQTP — АҚШ-тағы Microchip Technology Incorporated компаниясының қызмет көрсету белгісі
Adaptec логотипі, Frequency on Demand, Silicon Storage Technology және Symmcom басқа елдердегі Microchip Technology Inc. компаниясының тіркелген сауда белгілері болып табылады.
GestIC — Microchip Technology Germany II GmbH & Co. KG, Microchip Technology Inc. еншілес компаниясының басқа елдердегі тіркелген сауда белгісі.
Мұнда аталған барлық басқа сауда белгілері олардың тиісті компанияларының меншігі болып табылады.
© 2023, Microchip Technology Incorporated және оның еншілес компаниялары. Барлық құқықтар сақталған.
ISBN: 978-1-6683-3694-6
Сапа менеджменті жүйесі
Microchip сапа менеджменті жүйелері туралы ақпарат алу үшін мына сайтқа кіріңіз www.microchip.com/quality.
АМЕРИКА | АЗИЯ/ТЫНЫҚ Мұхит | АЗИЯ/ТЫНЫҚ Мұхит | ЕУРОПА |
Корпоративтік кеңсе 2355 West Chandler Blvd. Чандлер, AZ 85224-6199 Тел: 480-792-7200 Факс: 480-792-7277 Техникалық көмек: www.microchip.com/support Web Мекен-жайы: www.microchip.com Атланта Дулут, Г.А Тел: 678-957-9614 Факс: 678-957-1455 Остин, Техас Тел: 512-257-3370 Бостон Вестборо, MA Тел: 774-760-0087 Факс: 774-760-0088 Чикаго Итаска, IL Тел: 630-285-0071 Факс: 630-285-0075 Даллас Аддисон, Техас Тел: 972-818-7423 Факс: 972-818-2924 Детройт Нови, MI Тел: 248-848-4000 Хьюстон, Техас Тел: 281-894-5983 Индианаполис Ноблсвилл, ИН Тел: 317-773-8323 Факс: 317-773-5453 Тел: 317-536-2380 Лос-Анджелес Миссия Виехо, Калифорния Тел: 949-462-9523 Факс: 949-462-9608 Тел: 951-273-7800 Роли, NC Тел: 919-844-7510 Нью-Йорк, Нью-Йорк Тел: 631-435-6000 Сан-Хосе, Калифорния Тел: 408-735-9110 Тел: 408-436-4270 Канада – Торонто Тел: 905-695-1980 Факс: 905-695-2078 |
Австралия – Сидней Тел: 61-2-9868-6733 Қытай – Пекин Тел: 86-10-8569-7000 Қытай – Чэнду Тел: 86-28-8665-5511 Қытай – Чунцин Тел: 86-23-8980-9588 Қытай – Дунгуань Тел: 86-769-8702-9880 Қытай – Гуанчжоу Тел: 86-20-8755-8029 Қытай – Ханчжоу Тел: 86-571-8792-8115 Қытай – Гонконг САР Тел: 852-2943-5100 Қытай – Нанкин Тел: 86-25-8473-2460 Қытай – Циндао Тел: 86-532-8502-7355 Қытай – Шанхай Тел: 86-21-3326-8000 Қытай – Шэньян Тел: 86-24-2334-2829 Қытай – Шэньчжэнь Тел: 86-755-8864-2200 Қытай – Сучжоу Тел: 86-186-6233-1526 Қытай – Ухань Тел: 86-27-5980-5300 Қытай – Сиань Тел: 86-29-8833-7252 Қытай – Сямэнь Тел: 86-592-2388138 Қытай – Чжухай Тел: 86-756-3210040 |
Үндістан – Бангалор Тел: 91-80-3090-4444 Үндістан – Нью-Дели Тел: 91-11-4160-8631 Үндістан - Пуна Тел: 91-20-4121-0141 Жапония – Осака Тел: 81-6-6152-7160 Жапония – Токио Тел: 81-3-6880- 3770 Корея – Тэгу Тел: 82-53-744-4301 Корея – Сеул Тел: 82-2-554-7200 Малайзия - Куала-Лумпур Тел: 60-3-7651-7906 Малайзия – Пенанг Тел: 60-4-227-8870 Филиппин – Манила Тел: 63-2-634-9065 Сингапур Тел: 65-6334-8870 Тайвань – Хсин Чу Тел: 886-3-577-8366 Тайвань – Каосюнг Тел: 886-7-213-7830 Тайвань - Тайпей Тел: 886-2-2508-8600 Тайланд – Бангкок Тел: 66-2-694-1351 Вьетнам – Хо Ши Мин Тел: 84-28-5448-2100 |
Австрия – Велс Тел: 43-7242-2244-39 Факс: 43-7242-2244-393 Дания – Копенгаген Тел: 45-4485-5910 Факс: 45-4485-2829 Финляндия – Эспоо Тел: 358-9-4520-820 Франция – Париж Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Германия – Гарчинг Тел: 49-8931-9700 Германия – Хаан Тел: 49-2129-3766400 Германия – Хайльбронн Тел: 49-7131-72400 Германия – Карлсруэ Тел: 49-721-625370 Германия – Мюнхен Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Германия – Розенхайм Тел: 49-8031-354-560 Израиль – Раанана Тел: 972-9-744-7705 Италия – Милан Тел: 39-0331-742611 Факс: 39-0331-466781 Италия – Падова Тел: 39-049-7625286 Нидерланды – Друнен Тел: 31-416-690399 Факс: 31-416-690340 Норвегия – Тронхейм Тел: 47-72884388 Польша – Варшава Тел: 48-22-3325737 Румыния – Бухарест Tel: 40-21-407-87-50 Испания - Мадрид Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 Швеция – Гетеберг Tel: 46-31-704-60-40 Швеция – Стокгольм Тел: 46-8-5090-4654 Ұлыбритания – Вокингем Тел: 44-118-921-5800 Факс: 44-118-921-5820 |
© 2023 Microchip Technology Inc. және оның еншілес компаниялары
DS50003627A –
Құжаттар / Ресурстар
![]() |
MICROCHIP Libero SoC симуляциялық кітапханасының бағдарламалық құралы [pdf] Пайдаланушы нұсқаулығы DS50003627A, Libero SoC симуляциялық кітапханасының бағдарламалық құралы, SoC симуляциялық кітапханасының бағдарламалық құралы, симуляциялық кітапхананың бағдарламалық құралы, кітапханалық бағдарламалық құрал, бағдарламалық құрал |