லிபரோ SoC சிமுலேஷன்
நூலக அமைவு வழிமுறைகள்
அறிமுகம்
இந்த ஆவணத்தின் நோக்கம், Libero SoC திட்டத்தை உள்ளீடாகப் பயன்படுத்தி உருவகப்படுத்துதல் சூழலை அமைப்பதற்கான செயல்முறையை விவரிப்பதாகும். இந்த ஆவணம் Libero SoC v11.9 மற்றும் புதிய மென்பொருள் வெளியீடுகளுடன் பயன்படுத்துவதற்காக வழங்கப்பட்ட முன் தொகுக்கப்பட்ட நூலகங்களுக்கு ஒத்திருக்கிறது. வழங்கப்பட்ட நூலகங்கள் Verilog க்காக தொகுக்கப்பட்டுள்ளன. VHDL பயனர்களுக்கு கலப்பு முறை உருவகப்படுத்துதலை அனுமதிக்கும் உரிமம் தேவை.
தொகுக்கப்பட்ட உருவகப்படுத்துதல் நூலகங்கள் பின்வரும் கருவிகளுக்குக் கிடைக்கின்றன:
- Aldec Active-HDL
- Aldec Riviera-PRO
- Cadence Incisive Enterprise மற்றும் Xcelium
- சீமென்ஸ் குவெஸ்டாசிம்
- சுருக்கம் VCS
வேறொரு சிமுலேட்டருக்கு நூலகத்தைக் கோர, தொடர்பு கொள்ளவும் மைக்ரோசிப் தொழில்நுட்ப ஆதரவு.
லிபரோ SoC ஒருங்கிணைப்பு
Run.do ஐ உருவாக்குவதன் மூலம் ModelSim ME ஐப் பயன்படுத்தி Libero SoC உருவகப்படுத்துதலை ஆதரிக்கிறது file. இது file உருவகப்படுத்துதலை அமைக்கவும் இயக்கவும் ModelSim ME/ModelSim Pro ME ஆல் பயன்படுத்தப்படுகிறது. பிற உருவகப்படுத்துதல் கருவிகளைப் பயன்படுத்த, நீங்கள் ModelSim ME/ModelSim Pro ME run.do ஐ உருவாக்கலாம் மற்றும் Tcl ஸ்கிரிப்டை மாற்றலாம் file உங்கள் சிமுலேட்டருடன் இணக்கமான கட்டளைகளைப் பயன்படுத்தவும்.
1.1 லிபரோ SoC Tcl File தலைமுறை (ஒரு கேள்வி கேள்)
Libero SoC இல் வடிவமைப்பை உருவாக்கி உருவாக்கிய பிறகு, அனைத்து வடிவமைப்பு கட்டங்களிலும் (presynth, postsynth மற்றும் post-layout) ModelSim ME/ModelSim Pro ME உருவகப்படுத்துதலைத் தொடங்கவும். இந்த படி run.do ஐ உருவாக்குகிறது file ஒவ்வொரு வடிவமைப்பு கட்டத்திற்கும் ModelSim ME/ModelSim Pro ME.
முக்கியமானது: ஒவ்வொரு உருவகப்படுத்துதலையும் தொடங்கிய பிறகு, தானாக உருவாக்கப்பட்ட run.do இன் பெயரை மாற்றவும் file லிபரோ SoC அதை மேலெழுதுவதைத் தடுக்க உருவகப்படுத்துதல் கோப்பகத்தின் கீழ் file. உதாரணமாகampலெ, தி files ஐ presynth_run.do, postsynth_run.do மற்றும் postlayout_run.do என மறுபெயரிடலாம்.
ஆக்டிவ்-எச்டிஎல் மற்றும் ரிவியரா-ப்ரோவுக்கான ஆல்டெக் அமைப்பு (ஒரு கேள்வி கேள்)
The run.do file ModelSim ME/ModelSim Pro ME ஆல் பயன்படுத்தப்பட்டது, Aldec சிமுலேட்டர்களைப் பயன்படுத்தி உருவகப்படுத்துதலுக்கு மாற்றியமைக்கப்படலாம்.
2.1 சுற்றுச்சூழல் மாறி (ஒரு கேள்வி கேள்)
உங்கள் சுற்றுச்சூழல் மாறியை உங்கள் உரிமத்திற்கு அமைக்கவும் file இடம்:
LM_LICENSE_FILE: உரிமம் சர்வருக்கு ஒரு சுட்டி சேர்க்க வேண்டும்.
2.2 தொகுக்கப்பட்ட நூலகத்தைப் பதிவிறக்கவும் (ஒரு கேள்வி கேள்)
மைக்ரோசிப்பில் இருந்து Aldec Active-HDL மற்றும் Aldec Riviera-PRO நூலகங்களைப் பதிவிறக்கவும் webதளம்.
2.3 Aldec உருவகப்படுத்துதலுக்கான run.do ஐ மாற்றுதல் (ஒரு கேள்வி கேள்)
The run.do fileActive-HDL மற்றும் Riviera-Pro கருவியைப் பயன்படுத்தி உருவகப்படுத்துதல்களுக்காக Libero SoC ஆல் உருவாக்கப்பட்ட கள், Active-HDL மற்றும் Riviera-Pro ஆகியவற்றைப் பயன்படுத்தி உருவகப்படுத்துதல்களுக்கு ஒரே மாற்றத்துடன் பயன்படுத்தப்படலாம். ModelSim run.do இல் மாற்றுவதற்கான Aldec-சமமான கட்டளைகளை பின்வரும் அட்டவணை பட்டியலிடுகிறது. file.
அட்டவணை 2-1. Aldec சமமான கட்டளைகள்
மாடல் சிம் | செயலில்-எச்.டி.எல் |
vlog | ஒரு பதிவு |
vcom | acom |
vlib | அலிப் |
vsim | அசிம் |
vmap | ஆம்ப் |
பின்வருமாறு உள்ளதுample run.do Aldec சிமுலேட்டர்களுடன் தொடர்புடையது.
- தற்போதைய வேலை கோப்பகத்தின் இருப்பிடத்தை அமைக்கவும்.
டிஎஸ்என் அமைக்கவும் - வேலை செய்யும் நூலகத்தின் பெயரை அமைத்து, அதன் இருப்பிடத்தை வரைபடமாக்கி, மைக்ரோசிப் FPGA குடும்பத்தின் இருப்பிடத்தை வரைபடமாக்குங்கள்
முன்தொகுக்கப்பட்ட நூலகங்கள் (எ.காample, SmartFusion2) உங்கள் வடிவமைப்பை இயக்குகிறீர்கள்.
alib presynth
amap presynth presynth
amap SmartFusion2 - தேவையான அனைத்து HDL ஐ தொகுக்கவும் fileகள் தேவையான நூலகத்துடன் வடிவமைப்பில் பயன்படுத்தப்படுகின்றன.
alog-work presynth temp.v (Verilogக்கு)
alog-work presynth testbench.v
acom –work presynth temp.vhd (Vhdlக்கு)
acom –work presynth testbench.vhd - வடிவமைப்பை உருவகப்படுத்தவும்.
asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
10 us ஐ இயக்கவும்
2.4 அறியப்பட்ட சிக்கல்கள் (ஒரு கேள்வி கேள்)
இந்த பகுதி அறியப்பட்ட சிக்கல்கள் மற்றும் வரம்புகளை பட்டியலிடுகிறது.
- ரிவியரா-பிஆர்ஓவைப் பயன்படுத்தி தொகுக்கப்பட்ட நூலகங்கள் பிளாட்ஃபார்ம் சார்ந்தவை (அதாவது 64-பிட் லைப்ரரிகளை 32-பிட் பிளாட்ஃபார்மில் இயக்க முடியாது மற்றும் நேர்மாறாகவும்).
- SERDES/MDDR/FDDR உள்ள வடிவமைப்புகளுக்கு, உங்கள் run.do இல் பின்வரும் விருப்பத்தைப் பயன்படுத்தவும் fileஅவற்றின் வடிவமைப்புகளைத் தொகுத்த பிறகு உருவகப்படுத்துதல்களை இயக்கும் போது:
செயலில்-எச்டிஎல்: asim –o2
– Riviera-PRO: asim –O2 (பிரிசின்த் மற்றும் பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களுக்கு) மற்றும் asim –O5 (பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களுக்கு)
Active-HDL மற்றும் Riviera-Pro க்கான Aldec அமைவு பின்வரும் SARகள் நிலுவையில் உள்ளது. மேலும் தகவலுக்கு, தொடர்பு கொள்ளவும் மைக்ரோசிப் தொழில்நுட்ப ஆதரவு. - SAR 49908 – Active-HDL: கணிதத் தொகுதி உருவகப்படுத்துதலுக்கான VHDL பிழை
- SAR 50627 – Riviera-PRO 2013.02: SERDES வடிவமைப்புகளுக்கான உருவகப்படுத்துதல் பிழைகள்
- SAR 50461 – Riviera-PRO: உருவகப்படுத்துதல்களில் asim -O2/-O5 விருப்பம்
கேடென்ஸ் இன்சிசிவ் செட்டப் (ஒரு கேள்வி கேள்)
நீங்கள் ஒரு ஸ்கிரிப்டை உருவாக்க வேண்டும் file இயங்குவதற்கு ModelSim ME/ModelSim Pro ME run.do போன்றது
கேடென்ஸ் இன்சிசிவ் சிமுலேட்டர். இந்த படிகளைப் பின்பற்றி ஸ்கிரிப்டை உருவாக்கவும் file NCSim க்கு அல்லது ஸ்கிரிப்டைப் பயன்படுத்தவும் file
ModelSim ME/ModelSim Pro ME run.do ஐ மாற்ற வழங்கப்பட்டுள்ளது fileகட்டமைப்பில் கள் files
NCSim ஐப் பயன்படுத்தி உருவகப்படுத்துதல்களை இயக்க வேண்டும்.
முக்கியமானது: கேடன்ஸ் Incisive Enterprise இன் புதிய பதிப்புகளை வெளியிடுவதை நிறுத்தியுள்ளது
சிமுலேட்டர் மற்றும் Xcelium சிமுலேட்டரை ஆதரிக்கத் தொடங்கியது.
3.1 சுற்றுச்சூழல் மாறிகள் (ஒரு கேள்வி கேள்)
கேடென்ஸ் இன்சிசிவ் சிமுலேட்டரை இயக்க, பின்வரும் சூழல் மாறிகளை உள்ளமைக்கவும்:
- LM_LICENSE_FILE: உரிமத்தில் ஒரு சுட்டியைச் சேர்க்க வேண்டும் file.
- cds_root: Cadence Incisive நிறுவலின் முகப்பு அடைவு இருப்பிடத்தை சுட்டிக்காட்ட வேண்டும்.
- பாதை: cds_root ஆல் சுட்டிக்காட்டப்பட்ட கருவிகள் கோப்பகத்தின் கீழ் உள்ள தொட்டி இருப்பிடத்தை சுட்டிக்காட்ட வேண்டும், அதாவது,
$cds_root/tools/bin/64bit (64-பிட் இயந்திரத்திற்கும் $cds_root/tools/bin 32-பிட் இயந்திரத்திற்கும்).
64-பிட் மற்றும் 32-பிட் இயக்க முறைமைகளுக்கு இடையில் மாறும்போது உருவகப்படுத்துதல் சூழலை அமைக்க மூன்று வழிகள் உள்ளன:
வழக்கு 1: PATH மாறி
பின்வரும் கட்டளையை இயக்கவும்:
64பிட் இயந்திரங்களுக்கான பாதை = (install_dir/tools/bin/64bit $path) மற்றும்
32பிட் இயந்திரங்களுக்கான பாதை = (install_dir/tools/bin $path) அமைக்கவும்
வழக்கு 2: -64பிட் கட்டளை வரி விருப்பத்தைப் பயன்படுத்துதல்
கட்டளை வரியில் 64பிட் இயங்கக்கூடியதை செயல்படுத்த -64பிட் விருப்பத்தை குறிப்பிடவும்.
வழக்கு 3: INCA_64BIT அல்லது CDS_AUTO_64BIT சுற்றுச்சூழல் மாறியை அமைத்தல்
INCA_64BIT மாறி பூலியனாகக் கருதப்படுகிறது. இந்த மாறியை எந்த மதிப்புக்கும் அல்லது பூஜ்ய சரத்திற்கும் அமைக்கலாம்.
setenv INCA_64BIT
முக்கியமானது: தி INCA_64BIT சூழல் மாறி, IC கருவிகள் போன்ற பிற கேடென்ஸ் கருவிகளைப் பாதிக்காது. இருப்பினும், Incisive toolsக்கு, INCA_64BIT மாறியானது CDS_AUTO_64BIT சூழல் மாறிக்கான அமைப்பை மீறுகிறது. INCA_64BIT சூழல் மாறி அமைக்கப்பட்டால், அனைத்து Incisive கருவிகளும் 64-பிட் பயன்முறையில் இயங்கும். setenv CDS_AUTO_64BIT அடங்கும்:INCA
முக்கியமானது: தி INCA சரம் பெரிய எழுத்தில் இருக்க வேண்டும். அனைத்து எக்ஸிகியூட்டபிள்களும் 32-பிட் பயன்முறையில் அல்லது 64-பிட் பயன்முறையில் இயக்கப்பட வேண்டும், பின்வருவனவற்றைப் போல ஒரு இயங்கக்கூடியதாக மாறியை அமைக்க வேண்டாம்:
setenv CDS_AUTO_64BIT அடங்கும்: ncelab
IC கருவிகள் போன்ற பிற கேடென்ஸ் கருவிகள், 64-பிட் அல்லது 32-பிட் இயங்குதளங்களின் தேர்வைக் கட்டுப்படுத்த CDS_AUTO_64BIT சூழல் மாறியைப் பயன்படுத்துகின்றன. CDS_AUTO_64BIT மாறியை எப்படி அனைத்து முறைகளிலும் Incisive tools மற்றும் IC கருவிகளை இயக்கலாம் என்பதை பின்வரும் அட்டவணை காட்டுகிறது.
அட்டவணை 3-1. CDS_AUTO_64BIT மாறிகள்
CDS_AUTO_64BIT மாறி | கீறல் கருவிகள் | ஐசி கருவிகள் |
setenv CDS_AUTO_64BIT அனைத்தும் | 64 பிட் | 64 பிட் |
setenv CDS_AUTO_64BIT இல்லை | 32 பிட் | 32 பிட் |
setenv CDS_AUTO_64BIT தவிர:ic_binary | 64 பிட் | 32 பிட் |
setenv CDS_AUTO_64BIT விலக்கு:INCA | 32 பிட் | 64 பிட் |
முக்கியமானது: அனைத்து நுண்ணுயிர் கருவிகளும் 32-பிட் பயன்முறையில் அல்லது 64-பிட் பயன்முறையில் இயக்கப்பட வேண்டும், பின்வருவனவற்றைப் போல, குறிப்பிட்ட இயங்கக்கூடியவை விலக்க EXCLUDE ஐப் பயன்படுத்த வேண்டாம்: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Incisive tools (setenv CDS_AUTO_64BIT EXCLUDE:INCA) தவிர்த்து CDS_AUTO_64BIT மாறியை அமைத்தால், அனைத்து Incisive கருவிகளும் 32-பிட் பயன்முறையில் இயக்கப்படும். இருப்பினும், -64bit கட்டளை வரி விருப்பம் சூழல் மாறியை மீறுகிறது.
பின்வரும் கட்டமைப்பு fileஉங்கள் தரவை நிர்வகிக்கவும், உருவகப்படுத்துதல் கருவிகள் மற்றும் பயன்பாடுகளின் செயல்பாட்டைக் கட்டுப்படுத்தவும் உதவும்:
- நூலக மேப்பிங் file (cds.lib)-உங்கள் வடிவமைப்பின் இருப்பிடத்திற்கான தருக்கப் பெயரை வரையறுக்கிறது.
- நூலகங்கள் மற்றும் அவற்றை இயற்பியல் அடைவுப் பெயர்களுடன் இணைக்கிறது.
- மாறிகள் file (hdl.var)-உருவகப்படுத்துதல் கருவிகள் மற்றும் பயன்பாடுகளின் நடத்தையைப் பாதிக்கும் மாறிகளை வரையறுக்கிறது.
3.2 தொகுக்கப்பட்ட நூலகத்தைப் பதிவிறக்கவும் (ஒரு கேள்வி கேள்)
மைக்ரோசெமியில் இருந்து Cadence Incisive நூலகங்களைப் பதிவிறக்கவும் webதளம்.
3.3 NCSim ஸ்கிரிப்டை உருவாக்குதல் File (ஒரு கேள்வி கேள்)
run.do இன் நகலை உருவாக்கிய பிறகு fileகள், NCSim ஐப் பயன்படுத்தி உங்கள் உருவகப்படுத்துதலை இயக்க, இந்தப் படிகளைச் செய்யவும்:
- cds.lib ஐ உருவாக்கவும் file இது அணுகக்கூடிய நூலகங்களையும் அவற்றின் இருப்பிடத்தையும் வரையறுக்கிறது. தி file நூலகத்தின் தருக்கப் பெயர்களை அவற்றின் இயற்பியல் அடைவுப் பாதைகளுக்கு வரைபடமாக்கும் அறிக்கைகளைக் கொண்டுள்ளது. உதாரணமாகample, நீங்கள் presynth உருவகப்படுத்துதலை இயக்குகிறீர்கள் என்றால், cds.lib file பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி எழுதப்பட்டுள்ளது.
ப்ரிசின்த் ./ப்ரிசின்த் என்பதை வரையறுக்கவும்
COREAHBLITE_LIB ./COREAHBLITE_LIB ஐ வரையறுக்கவும்
ஸ்மார்ட்ஃபியூஷனை வரையறுக்கவும்2 - hdl.var ஐ உருவாக்கவும் file, ஒரு விருப்ப கட்டமைப்பு file உங்கள் வடிவமைப்பு சூழல் எவ்வாறு கட்டமைக்கப்படுகிறது என்பதைத் தீர்மானிக்கும் கட்டமைப்பு மாறிகளைக் கொண்டுள்ளது. பின்வரும் மாறி fileகள் சேர்க்கப்பட்டுள்ளன:
- தொகுக்கப்பட்ட பொருள்கள் மற்றும் பிற பெறப்பட்ட தரவுகளை கம்பைலர் சேமிக்கும் பணி நூலகத்தைக் குறிப்பிடப் பயன்படுத்தப்படும் மாறிகள்.
– வெரிலாக், மாறிகள் (LIB_MAP, VIEW_MAP, WORK) நூலகங்களைக் குறிப்பிடப் பயன்படுகிறது மற்றும் viewவிரிவுபடுத்துபவர் நிகழ்வுகளைத் தீர்க்கும்போது தேட கள்.
- கம்பைலர், எலபரேட்டர் மற்றும் சிமுலேட்டர் கட்டளை வரி விருப்பங்கள் மற்றும் வாதங்களை வரையறுக்க உங்களை அனுமதிக்கும் மாறிகள்.
ப்ரிசின்த் சிமுலேஷன் வழக்கில் முன்னாள்ampமேலே காட்டப்பட்டுள்ளபடி, எங்களிடம் மூன்று RTL உள்ளது என்று கூறுங்கள் files: av, bv மற்றும் testbench.v, இது முறையே ப்ரிசின்த், COREAHBLITE_LIB மற்றும் ப்ரிசின்த் லைப்ரரிகளில் தொகுக்கப்பட வேண்டும். hdl.var file பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி எழுதலாம்.
வேலை presynth வரையறுக்க
திட்டம்_DIR ஐ வரையறுக்கவும் files>
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/av => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth)
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, + => presynth ) - வடிவமைப்பைத் தொகுக்கவும் filencvlog விருப்பத்தைப் பயன்படுத்துகிறது.
ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - ncelab ஐப் பயன்படுத்தி வடிவமைப்பை விரிவுபடுத்தவும். வடிவமைப்பாளர் வடிவமைப்பில் உள்ள உடனடி மற்றும் உள்ளமைவு தகவல்களின் அடிப்படையில் ஒரு வடிவமைப்பு படிநிலையை உருவாக்குகிறார், சமிக்ஞை இணைப்பை நிறுவுகிறார் மற்றும் வடிவமைப்பில் உள்ள அனைத்து பொருட்களுக்கான ஆரம்ப மதிப்புகளையும் கணக்கிடுகிறார். விரிவான வடிவமைப்பு படிநிலையானது உருவகப்படுத்துதல் ஸ்னாப்ஷாட்டில் சேமிக்கப்படுகிறது, இது சிமுலேட்டரை இயக்குவதற்கு சிமுலேட்டர் பயன்படுத்தும் உங்கள் வடிவமைப்பின் பிரதிநிதித்துவமாகும்.
ncelab –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
அணுகல் + rwc -status worklib. : தொகுதி
பிந்தைய தளவமைப்பு உருவகப்படுத்துதலின் போது விரிவாக்கம்
பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களில், முதலில் SDF file ncsdfc கட்டளையைப் பயன்படுத்தி விரிவுபடுத்துவதற்கு முன் தொகுக்கப்பட வேண்டும்.
ncsdfcfileபெயர்>.sdf –அவுட்புட்fileபெயர்>.sdf.X
விரிவாக்கத்தின் போது தொகுக்கப்பட்ட SDF வெளியீட்டை -autosdf விருப்பத்துடன் பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளது.
ncelab -autosdf –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
15 -அணுகல் + rwc -நிலை வொர்க்லிப். :தொகுதி –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி இருக்க வேண்டும்.
COMPILED_SDF_FILE = " file>” - ncsim ஐப் பயன்படுத்தி உருவகப்படுத்தவும். விரிவாக்கத்திற்குப் பிறகு ஒரு உருவகப்படுத்துதல் ஸ்னாப்ஷாட் உருவாக்கப்படுகிறது, இது உருவகப்படுத்துதலுக்காக ncsim ஆல் ஏற்றப்படுகிறது. நீங்கள் தொகுதி முறையில் அல்லது GUI முறையில் இயக்கலாம்.
ncsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log -
errormax 15 -நிலை பணிக்குழு. : தொகுதி
முக்கியமானது: தொகுத்தல், விரிவுபடுத்துதல் மற்றும் உருவகப்படுத்துதல் ஆகிய மேற்கூறிய மூன்று படிகளும் ஷெல் ஸ்கிரிப்ட்டில் வைக்கப்படலாம். file மற்றும் கட்டளை வரியிலிருந்து பெறப்பட்டது. இந்த மூன்று படிகளைப் பயன்படுத்துவதற்குப் பதிலாக, பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி ncverilog அல்லது irun விருப்பத்தைப் பயன்படுத்தி வடிவமைப்பை ஒரு படியில் உருவகப்படுத்தலாம்.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileகள் வடிவமைப்பில் பயன்படுத்தப்படுகிறது>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
வடிவமைப்பில் பயன்படுத்தப்படுகிறது>
3.3.1 அறியப்பட்ட சிக்கல்கள் (ஒரு கேள்வி கேள்)
டெஸ்ட்பெஞ்ச் பணிச்சுமை
பயனரால் உருவாக்கப்பட்ட டெஸ்ட்பெஞ்சில் உள்ள கடிகார அதிர்வெண்ணைக் குறிப்பிடுவதற்கு பின்வரும் அறிக்கையைப் பயன்படுத்துதல் அல்லது Libero SoC ஆல் உருவாக்கப்பட்ட இயல்புநிலை டெஸ்ட்பெஞ்ச் NCSim உடன் வேலை செய்யாது.
எப்போதும் @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
உருவகப்படுத்துதலை இயக்க, பின்வருமாறு மாற்றவும்:
எப்போதும் #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
முக்கியமானது: தொகுக்கப்பட்டது NCSim க்கான நூலகங்கள் பிளாட்ஃபார்ம் சார்ந்தவை (அதாவது 64 பிட் லைப்ரரிகள் 32 பிட் பிளாட்ஃபார்முடன் இணக்கமாக இல்லை மற்றும் நேர்மாறாகவும்).
MSS மற்றும் SERDES ஐப் பயன்படுத்தி Postsynth மற்றும் பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்கள் MSS பிளாக் அல்லது SERDES ஐப் பயன்படுத்தி வடிவமைப்புகளின் பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களைக் கொண்ட வடிவமைப்புகளின் போஸ்ட்சின்த் உருவகப்படுத்துதல்களை இயக்கும் போது, -libmap விருப்பம் இருந்தால் BFM உருவகப்படுத்துதல்கள் வேலை செய்யாது.
விரிவாக்கத்தின் போது குறிப்பிடப்படவில்லை. ஏனென்றால், விரிவாக்கத்தின் போது, MSS ஆனது பணி நூலகத்தில் இருந்து தீர்க்கப்படுகிறது (இயல்புநிலை பிணைப்பு மற்றும் ஒர்க்லிப் போஸ்ட்சின்த்/பிந்தைய தளவமைப்பு என்பதால்) அது ஒரு நிலையான செயல்பாடாக உள்ளது.
MSS ஐத் தீர்க்க பின்வரும் குறியீடு தொகுதியில் காட்டப்பட்டுள்ளபடி ncelab கட்டளை எழுதப்பட வேண்டும்
SmartFusion2 முன்தொகுக்கப்பட்ட நூலகத்திலிருந்து தடுக்கவும்.
ncelab -libmap lib.map -libverbose -Message -access +rwc cfg1
மற்றும் lib.map file பின்வருமாறு இருக்க வேண்டும்:
config cfg1;
வடிவமைப்பு ;
இயல்புநிலை லிப்லிஸ்ட் smartfusion2 ;
endconfig
இது SmartFusion2 லைப்ரரியில் உள்ள எந்த கலத்தையும் பணி நூலகத்தில் பார்ப்பதற்கு முன் தீர்க்கிறது, அதாவது postsynth/ post-layout.
ஒவ்வொரு உருவகப்படுத்துதலுக்கும் (presynth, postsynth மற்றும் post-layout) விரிவாக்கத்தின் போது –libmap விருப்பத்தை முன்னிருப்பாகப் பயன்படுத்தலாம். இது நூலகங்களிலிருந்து நிகழ்வுகளைத் தீர்மானிப்பதால் ஏற்படும் உருவகப்படுத்துதல் சிக்கல்களைத் தவிர்க்கிறது.
ncelab: *F,INTERR: அக விதிவிலக்கு
இந்த என்செலாப் கருவி விதிவிலக்கு என்பது ஸ்மார்ட்ஃப்யூஷன் 2 மற்றும் IGLOO 2 இல் FDDR உள்ள வடிவமைப்புகளுக்கு ஒரு எச்சரிக்கையாகும்.
முக்கியமானது: இந்தச் சிக்கல் Cadence ஆதரவுக் குழுவிடம் (SAR 52113) புகாரளிக்கப்பட்டது.
3.4 எஸ்ample Tcl மற்றும் ஷெல் ஸ்கிரிப்ட் Fileகள் (ஒரு கேள்வி கேள்)
பின்வரும் fileகள் கட்டமைப்பு ஆகும் fileவடிவமைப்பு மற்றும் ஷெல் ஸ்கிரிப்டை அமைக்க கள் தேவை file NCSim கட்டளைகளை இயக்குவதற்கு.
Cds.lib
NE smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB ஐ வரையறுக்கவும்
ப்ரிசின்த் ./ப்ரிசின்த் என்பதை வரையறுக்கவும்
Hdl.var
வேலை presynth வரையறுக்க
DEFINE PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
ப்ரிசின்த்)
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
ப்ரிசின்த்)
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, + => presynth )
கட்டளைகள்.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../கூறு/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../கூறு/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../கூறு/பணி/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../கூறு/பணி/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-வேலை ப்ரிசின்த் -லாக்file ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -நிலை presynth.testbench:module
3.5 ஆட்டோமேஷன் (ஒரு கேள்வி கேள்)
பின்வரும் ஸ்கிரிப்ட் file ModelSim run.do ஐ மாற்றுகிறது fileகட்டமைப்பில் கள் fileNCSim ஐப் பயன்படுத்தி உருவகப்படுத்துதல்களை இயக்க கள் தேவை.
ஸ்கிரிப்ட் File பயன்பாடு
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
கேடென்ஸ்_முன்தொகுக்கப்பட்ட_நூலகங்களின்_இடம்
Cadence_parser.pl
#!/usr/bin/perl -w
############################################### #########################################
##################
#பயன்பாடு: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
மைக்ரோசெமி_குடும்ப முன்தொகுக்கப்பட்ட_நூலகங்கள்_இடம்#
############################################### #########################################
##################
POSIX ஐப் பயன்படுத்தவும்;
கண்டிப்பாக பயன்படுத்தவும்;
என் ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
துணை questa_parser {
எனது $ModelSim_run_do = $_[0];
எனது $actel_family = $_[1];
எனது $lib_location = $_[2];
எனது $நிலை;
என்றால் ( -e “$ModelSim_run_do” )
{
திறந்த (INFILE,”$ModelSim_run_do”);
எனது @ModelSim_run_do =FILE>;
எனது $வரி;
என்றால் ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
திறந்த (அவுட்FILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
திறந்த (அவுட்FILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
திறந்த (அவுட்FILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} வேறு
{
"தவறான உள்ளீடுகள் கொடுக்கப்பட்டுள்ளன file\n”;
அச்சு “#பயன்பாடு: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Libraries_location\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#பொது செயல்பாடுகள்
$line =~ s/..\/designer.*simulation\///g;
$வரி =~ s/$state/$state\_questa/g;
#அச்சிடுFILE “$வரி \n”;
என்றால் ($line =~ m/vmap\s+.*($actel_family)/)
{
பிரிண்ட் அவுட்FILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$வரி =~ s/..\/component/..\/..\/component/g;
பிரிண்ட் அவுட்FILE “$வரி \n”;
} எல்சிஃப் ($லைன் =~ மீ/விசிம்/)
{
$வரி =~ s/vsim/vsim -novopt/g;
பிரிண்ட் அவுட்FILE “$வரி \n”;
} வேறு
{
பிரிண்ட் அவுட்FILE “$வரி \n”;
}
}
மூடு(INFILE);
மூடு(அவுட்FILE);
} வேறு {
அச்சிடுக “$ModelSim_run_do இல்லை. மீண்டும் உருவகப்படுத்துதலை மீண்டும் இயக்கவும் \n”;
}
}
Cadence Xcelium அமைப்பு (மைக்ரோசிப் உள்நுழைவு)
நீங்கள் ஒரு ஸ்கிரிப்டை உருவாக்க வேண்டும் file Cadence Xcelium சிமுலேட்டரை இயக்க ModelSim ME/ModelSim Pro ME run.do போன்றது. இந்த படிகளைப் பின்பற்றி ஸ்கிரிப்டை உருவாக்கவும் file Xcelium க்கு அல்லது ஸ்கிரிப்டைப் பயன்படுத்தவும் file ModelSim ME/ModelSim Pro ME run.do ஐ மாற்ற வழங்கப்பட்டுள்ளது fileகட்டமைப்பில் கள் fileXcelium ஐப் பயன்படுத்தி உருவகப்படுத்துதல்களை இயக்க கள் தேவை.
4.1 சுற்றுச்சூழல் மாறிகள் (ஒரு கேள்வி கேள்)
Cadence Xcelium ஐ இயக்க, பின்வரும் சூழல் மாறிகளை உள்ளமைக்கவும்:
- LM_LICENSE_FILE: உரிமத்தில் ஒரு சுட்டியைச் சேர்க்க வேண்டும் file.
- cds_root: Cadence Incisive நிறுவலின் முகப்பு அடைவு இருப்பிடத்தை சுட்டிக்காட்ட வேண்டும்.
- பாதை: cds_root ஆல் சுட்டிக்காட்டப்பட்ட கருவிகள் கோப்பகத்தின் கீழ் உள்ள தொட்டி இருப்பிடத்தை சுட்டிக்காட்ட வேண்டும் (அதாவது
$cds_root/tools/bin/64bit (64 பிட் இயந்திரத்திற்கு மற்றும் $cds_root/tools/bin க்கு 32 பிட்
இயந்திரம்).
64-பிட் மற்றும் 32-பிட் இயக்க முறைமைகளுக்கு இடையில் மாறும்போது உருவகப்படுத்துதல் சூழலை அமைக்க மூன்று வழிகள் உள்ளன:
வழக்கு 1: PATH மாறி
64பிட் இயந்திரங்களுக்கான பாதை = (install_dir/tools/bin/64bit $path) மற்றும்
32பிட் இயந்திரங்களுக்கான பாதை = (install_dir/tools/bin $path) அமைக்கவும்
வழக்கு 2: -64பிட் கட்டளை வரி விருப்பத்தைப் பயன்படுத்துதல்
கட்டளை வரியில் 64-பிட் இயங்கக்கூடியதை செயல்படுத்த -64பிட் விருப்பத்தை குறிப்பிடவும்.
வழக்கு 3: INCA_64BIT அல்லது CDS_AUTO_64BIT சுற்றுச்சூழல் மாறியை அமைத்தல்
INCA_64BIT மாறி பூலியனாகக் கருதப்படுகிறது. இந்த மாறியை நீங்கள் எந்த மதிப்புக்கும் அல்லது பூஜ்யத்திற்கும் அமைக்கலாம்
சரம்.
setenv INCA_64BIT
முக்கியமானது: தி INCA_64BIT சூழல் மாறி, IC கருவிகள் போன்ற பிற கேடென்ஸ் கருவிகளைப் பாதிக்காது. இருப்பினும், Incisive toolsக்கு, INCA_64BIT மாறியானது CDS_AUTO_64BIT சூழல் மாறிக்கான அமைப்பை மீறுகிறது. INCA_64BIT சூழல் மாறி et எனில், அனைத்து Incisive கருவிகளும் 64-பிட் பயன்முறையில் இயங்கும்.
setenv CDS_AUTO_64BIT அடங்கும்:INCA
முக்கியமானது: தி INCA சரம் பெரிய எழுத்தில் இருக்க வேண்டும். அனைத்து எக்ஸிகியூட்டபிள்களும் 2-பிட் பயன்முறையில் அல்லது 64-பிட் பயன்முறையில் இயக்கப்பட வேண்டும், பின்வருவனவற்றைப் போல ஒரு இயங்கக்கூடியதாக மாறியை அமைக்க வேண்டாம்:
setenv CDS_AUTO_64BIT அடங்கும்: ncelab
IC கருவிகள் போன்ற பிற கேடென்ஸ் கருவிகள், 64-பிட் அல்லது 32-பிட் இயங்குதளங்களின் தேர்வைக் கட்டுப்படுத்த CDS_AUTO_64BIT சூழல் மாறியைப் பயன்படுத்துகின்றன. CDS_AUTO_64BIT மாறியை எப்படி அனைத்து முறைகளிலும் Incisive tools மற்றும் IC கருவிகளை இயக்கலாம் என்பதை பின்வரும் அட்டவணை காட்டுகிறது.
அட்டவணை 4-1. CDS_AUTO_64BIT மாறிகள்
CDS_AUTO_64BIT மாறி | கீறல் கருவிகள் | ஐசி கருவிகள் |
setenv CDS_AUTO_64BIT அனைத்தும் | 64-பிட் | 64-பிட் |
setenv CDS_AUTO_64BIT இல்லை | 32-பிட் | 32-பிட் |
setenv CDS_AUTO_64BIT விலக்கு:ic_binary |
64-பிட் | 32-பிட் |
setenv CDS_AUTO_64BIT விலக்கு:INCA | 32-பிட் | 64-பிட் |
முக்கியமானது: அனைத்து நுண்ணுயிர் கருவிகளும் 32-பிட் பயன்முறையில் அல்லது 64-பிட் பயன்முறையில் இயக்கப்பட வேண்டும், பின்வருவனவற்றைப் போல ஒரு குறிப்பிட்ட இயங்கக்கூடியதைத் தவிர்க்க EXCLUDE ஐப் பயன்படுத்த வேண்டாம்:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
நீங்கள் CDS_AUTO_64BIT மாறியை அமைத்தால், Incisive tools (setenv
CDS_AUTO_64BIT EXCLUDE:INCA), அனைத்து இன்சிசிவ் கருவிகளும் 32-பிட் பயன்முறையில் இயக்கப்படுகின்றன. இருப்பினும், தி
-64bit கட்டளை வரி விருப்பம் சூழல் மாறியை மேலெழுதுகிறது.
பின்வரும் கட்டமைப்பு fileஉங்கள் தரவை நிர்வகிக்கவும், உருவகப்படுத்துதல் கருவிகள் மற்றும் பயன்பாடுகளின் செயல்பாட்டைக் கட்டுப்படுத்தவும் உதவும்:
- நூலக மேப்பிங் file (cds.lib) உங்கள் வடிவமைப்பின் இருப்பிடத்திற்கான தருக்கப் பெயரை வரையறுக்கிறது.
- நூலகங்கள் மற்றும் அவற்றை இயற்பியல் அடைவுப் பெயர்களுடன் இணைக்கிறது.
- மாறிகள் file (hdl.var) உருவகப்படுத்துதல் கருவிகள் மற்றும் பயன்பாடுகளின் நடத்தையை பாதிக்கும் மாறிகளை வரையறுக்கிறது.
4.2 தொகுக்கப்பட்ட நூலகத்தைப் பதிவிறக்கவும் (ஒரு கேள்வி கேள்)
மைக்ரோசெமியில் இருந்து Cadence Xcelium நூலகங்களைப் பதிவிறக்கவும் webதளம்.
4.3 Xcelium ஸ்கிரிப்டை உருவாக்குதல் file (ஒரு கேள்வி கேள்)
run.do இன் நகலை உருவாக்கிய பிறகு files, Xcelium ஸ்கிரிப்டைப் பயன்படுத்தி உங்கள் உருவகப்படுத்துதலை இயக்க பின்வரும் படிகளைச் செய்யவும் file.
- cds.lib ஐ உருவாக்கவும் file எந்த நூலகங்கள் அணுகக்கூடியவை மற்றும் அவை அமைந்துள்ளன என்பதை வரையறுக்கிறது.
தி file நூலகத்தின் தருக்கப் பெயர்களை அவற்றின் இயற்பியல் அடைவுப் பாதைகளுக்கு வரைபடமாக்கும் அறிக்கைகளைக் கொண்டுள்ளது. உதாரணமாகample, நீங்கள் presynth உருவகப்படுத்துதலை இயக்குகிறீர்கள் என்றால், cds.lib file பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி எழுதலாம்.
ப்ரிசின்த் ./ப்ரிசின்த் என்பதை வரையறுக்கவும்
COREAHBLITE_LIB ./COREAHBLITE_LIB ஐ வரையறுக்கவும்
ஸ்மார்ட்ஃபியூஷனை வரையறுக்கவும்2 - hdl.var ஐ உருவாக்கவும் file இது ஒரு விருப்பமான கட்டமைப்பு ஆகும் file உங்கள் வடிவமைப்பு சூழல் எவ்வாறு கட்டமைக்கப்படுகிறது என்பதைத் தீர்மானிக்கும் கட்டமைப்பு மாறிகளைக் கொண்டுள்ளது. இவற்றில் அடங்கும்:
- தொகுக்கப்பட்ட பொருள்கள் மற்றும் பிற பெறப்பட்ட தரவுகளை கம்பைலர் சேமிக்கும் பணி நூலகத்தைக் குறிப்பிடப் பயன்படுத்தப்படும் மாறிகள்.
– வெரிலாக், மாறிகள் (LIB_MAP, VIEW_MAP, WORK) நூலகங்களைக் குறிப்பிடப் பயன்படுகிறது மற்றும் viewவிரிவுபடுத்துபவர் நிகழ்வுகளைத் தீர்க்கும்போது தேட கள்.
- கம்பைலர், எலபரேட்டர் மற்றும் சிமுலேட்டர் கட்டளை வரி விருப்பங்கள் மற்றும் வாதங்களை வரையறுக்க உங்களை அனுமதிக்கும் மாறிகள்.
ப்ரிசின்த் சிமுலேஷன் வழக்கில் முன்னாள்ampமேலே காட்டப்பட்டுள்ளபடி, எங்களிடம் 3 RTL உள்ளது என்று கூறுங்கள் files av, bv மற்றும் testbench.v ஆகியவை முறையே presynth, COREAHBLITE_LIB மற்றும் presynth நூலகங்களாகத் தொகுக்கப்பட வேண்டும். hdl.var file பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி எழுதலாம்.
வேலை presynth வரையறுக்க
திட்டம்_DIR ஐ வரையறுக்கவும் files>
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/av => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth)
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, + => presynth ) - வடிவமைப்பைத் தொகுக்கவும் filencvlog விருப்பத்தைப் பயன்படுத்துகிறது.
xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - ncelab ஐப் பயன்படுத்தி வடிவமைப்பை விரிவுபடுத்தவும். வடிவமைப்பாளர் வடிவமைப்பில் உள்ள உடனடி மற்றும் உள்ளமைவு தகவல்களின் அடிப்படையில் ஒரு வடிவமைப்பு படிநிலையை உருவாக்குகிறார், சமிக்ஞை இணைப்பை நிறுவுகிறார் மற்றும் வடிவமைப்பில் உள்ள அனைத்து பொருட்களுக்கான ஆரம்ப மதிப்புகளையும் கணக்கிடுகிறார். விரிவான வடிவமைப்பு படிநிலையானது உருவகப்படுத்துதல் ஸ்னாப்ஷாட்டில் சேமிக்கப்படுகிறது, இது சிமுலேட்டரை இயக்குவதற்கு சிமுலேட்டர் பயன்படுத்தும் உங்கள் வடிவமைப்பின் பிரதிநிதித்துவமாகும்.
Xcelium –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
அணுகல் + rwc -status worklib. : தொகுதி
பிந்தைய தளவமைப்பு உருவகப்படுத்துதலின் போது விரிவாக்கம்
பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களில், முதலில் SDF file ncsdfc கட்டளையைப் பயன்படுத்தி விரிவுபடுத்துவதற்கு முன் தொகுக்கப்பட வேண்டும்.
Xceliumfileபெயர்>.sdf –அவுட்புட்fileபெயர்>.sdf.X
விரிவாக்கத்தின் போது தொகுக்கப்பட்ட SDF வெளியீட்டை -autosdf விருப்பத்துடன் பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளது.
xmelab -autosdf –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log -errormax
15 -அணுகல் + rwc -நிலை வொர்க்லிப். :தொகுதி –sdf_cmd_file ./
sdf_cmd_file
sdf_cmd_file பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி இருக்க வேண்டும்.
COMPILED_SDF_FILE = " file>” - Xcelium ஐப் பயன்படுத்தி உருவகப்படுத்தவும். விரிவாக்கத்திற்குப் பிறகு ஒரு உருவகப்படுத்துதல் ஸ்னாப்ஷாட் உருவாக்கப்படுகிறது, இது உருவகப்படுத்துதலுக்காக Xcelium ஆல் ஏற்றப்படுகிறது. இதை தொகுதி முறையில் அல்லது GUI முறையில் இயக்கலாம்.
xmsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log -
errormax 15 -நிலை பணிக்குழு. : தொகுதி
Cadence Xcelium அமைப்பு
முக்கியமானது: அனைத்தும் தொகுத்தல், விரிவுபடுத்துதல் மற்றும் உருவகப்படுத்துதல் ஆகியவற்றின் மேற்கூறிய மூன்று படிகளை ஷெல் ஸ்கிரிப்ட்டில் வைக்கலாம். file மற்றும் கட்டளை வரியிலிருந்து பெறப்பட்டது. இந்த மூன்று படிகளைப் பயன்படுத்துவதற்குப் பதிலாக, பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி ncverilog அல்லது xrun விருப்பத்தைப் பயன்படுத்தி வடிவமைப்பை ஒரு படியில் உருவகப்படுத்தலாம்.
xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileகள் வடிவமைப்பில் பயன்படுத்தப்படுகிறது>
xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
வடிவமைப்பில் பயன்படுத்தப்படுகிறது>
4.3.1 அறியப்பட்ட சிக்கல்கள் (ஒரு கேள்வி கேள்)
டெஸ்ட்பெஞ்ச் பணிச்சுமை
பயனரால் உருவாக்கப்பட்ட டெஸ்ட்பெஞ்சில் கடிகார அதிர்வெண்ணைக் குறிப்பிடுவதற்கு பின்வரும் அறிக்கையைப் பயன்படுத்துவது அல்லது Libero SoC ஆல் உருவாக்கப்பட்ட இயல்புநிலை டெஸ்ட்பெஞ்ச் Xcelium உடன் வேலை செய்யாது.
எப்போதும் @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
உருவகப்படுத்துதலை இயக்க, பின்வருமாறு மாற்றவும்:
எப்போதும் #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
முக்கியமானது: Xcelium க்கான தொகுக்கப்பட்ட நூலகங்கள் பிளாட்ஃபார்ம் சார்ந்தவை (அதாவது 64 பிட் லைப்ரரிகள் 32 பிட் பிளாட்ஃபார்முடன் இணக்கமாக இல்லை மற்றும் நேர்மாறாகவும்).
MSS மற்றும் SERDES ஐப் பயன்படுத்தி Postsynth மற்றும் Post-layout சிமுலேஷன்கள்
எம்எஸ்எஸ் பிளாக் கொண்ட டிசைன்களின் போஸ்ட்சின்த் சிமுலேஷன்கள் அல்லது SERDES ஐப் பயன்படுத்தி வடிவமைப்புகளின் பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களை இயக்கும் போது, விரிவாக்கத்தின் போது -libmap விருப்பம் குறிப்பிடப்படாவிட்டால் BFM உருவகப்படுத்துதல்கள் இயங்காது. ஏனென்றால், விரிவாக்கத்தின் போது, MSS ஆனது பணி நூலகத்தில் இருந்து தீர்க்கப்படுகிறது (இயல்புநிலை பிணைப்பு மற்றும் ஒர்க்லிப் போஸ்ட்சின்த்/பிந்தைய தளவமைப்பு என்பதால்) அது ஒரு நிலையான செயல்பாடாக உள்ளது.
SmartFusion2 முன்தொகுக்கப்பட்ட நூலகத்திலிருந்து MSS தடுப்பைத் தீர்க்க, பின்வரும் குறியீடு தொகுதியில் காட்டப்பட்டுள்ளபடி ncelab கட்டளை எழுதப்பட வேண்டும்.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
மற்றும் lib.map file பின்வருமாறு இருக்க வேண்டும்:
config cfg1;
வடிவமைப்பு ;
இயல்புநிலை லிப்லிஸ்ட் smartfusion2 ;
endconfig
பணி நூலகத்தில் அதாவது Postsynth/post-layout இல் பார்ப்பதற்கு முன் SmartFusion2 நூலகத்தில் உள்ள எந்த கலத்தையும் இது தீர்க்க வேண்டும்.
ஒவ்வொரு உருவகப்படுத்துதலுக்கும் (presynth, postsynth மற்றும் post-layout) விரிவாக்கத்தின் போது –libmap விருப்பத்தை முன்னிருப்பாகப் பயன்படுத்தலாம். இது நூலகங்களிலிருந்து நிகழ்வுகளைத் தீர்மானிப்பதால் ஏற்படும் உருவகப்படுத்துதல் சிக்கல்களைத் தவிர்க்கிறது.
xmelab: *F,INTERR: அக விதிவிலக்கு
இந்த ncelab கருவி விதிவிலக்கு SmartFusion2 மற்றும் IGLOO2 இல் FDDR உள்ள வடிவமைப்புகளுக்கு ஒரு எச்சரிக்கையாகும்.
postsynth மற்றும் பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்களின் போது -libmap விருப்பத்தைப் பயன்படுத்தி.
முக்கியமானது: இந்தச் சிக்கல் Cadence ஆதரவுக் குழுவிடம் (SAR 52113) புகாரளிக்கப்பட்டது.
4.4 எஸ்ample Tcl மற்றும் ஷெல் ஸ்கிரிப்ட் fileகள் (ஒரு கேள்வி கேள்)
பின்வரும் fileகள் கட்டமைப்பு ஆகும் fileவடிவமைப்பு மற்றும் ஷெல் ஸ்கிரிப்டை அமைக்க கள் தேவை file Xcelium கட்டளைகளை இயக்குவதற்கு.
Cds.lib
ஸ்மார்ட்ஃப்யூஷன்2 /ஸ்கிராட்ச்/க்ரைடோர்/டிஎம்பிஸ்பேஸ்/பயனர்கள்/மீ/என்சி-விலாக்64/ஸ்மார்ட்ஃப்யூஷன்2 என்பதை வரையறுக்கவும்
COREAHBLITE_LIB ./COREAHBLITE_LIB ஐ வரையறுக்கவும்
ப்ரிசின்த் ./ப்ரிசின்த் என்பதை வரையறுக்கவும்
Hdl.var
வேலை presynth வரையறுக்க
DEFINE PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
ப்ரிசின்த்)
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
ப்ரிசின்த்)
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
LIB_MAP ஐ வரையறுக்கவும் ($LIB_MAP, + => presynth )
கட்டளைகள்.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../கூறு/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../கூறு/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../கூறு/பணி/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../கூறு/பணி/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-வேலை ப்ரிசின்த் -லாக்file ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -நிலை presynth.testbench:module
4.5 ஆட்டோமேஷன் (மைக்ரோசிப் உள்நுழைவு)
பின்வரும் ஸ்கிரிப்ட் file ModelSim run.do ஐ மாற்றுகிறது fileகட்டமைப்பில் கள் fileXcelium ஐப் பயன்படுத்தி உருவகப்படுத்துதல்களை இயக்க கள் தேவை.
ஸ்கிரிப்ட் File பயன்பாடு
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
கேடென்ஸ்_முன்தொகுக்கப்பட்ட_நூலகங்களின்_இடம்
Cadence_parser.pl
#!/usr/bin/perl -w
############################################### #########################################
##################
#பயன்பாடு: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
மைக்ரோசெமி_குடும்ப முன்தொகுக்கப்பட்ட_நூலகங்கள்_இடம்#
############################################### #########################################
##################
POSIX ஐப் பயன்படுத்தவும்;
கண்டிப்பாக பயன்படுத்தவும்;
என் ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
துணை questa_parser {
எனது $ModelSim_run_do = $_[0];
எனது $actel_family = $_[1];
எனது $lib_location = $_[2];
எனது $நிலை;
என்றால் ( -e “$ModelSim_run_do” )
{
திறந்த (INFILE,”$ModelSim_run_do”);
எனது @ModelSim_run_do =FILE>;
எனது $வரி;
என்றால் ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
திறந்த (அவுட்FILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
திறந்த (அவுட்FILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
திறந்த (அவுட்FILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} வேறு
{
"தவறான உள்ளீடுகள் கொடுக்கப்பட்டுள்ளன file\n”;
அச்சு “#பயன்பாடு: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Libraries_location\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#பொது செயல்பாடுகள்
$line =~ s/..\/designer.*simulation\///g;
$வரி =~ s/$state/$state\_questa/g;
#அச்சிடுFILE “$வரி \n”;
என்றால் ($line =~ m/vmap\s+.*($actel_family)/)
{
பிரிண்ட் அவுட்FILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$வரி =~ s/..\/component/..\/..\/component/g;
பிரிண்ட் அவுட்FILE “$வரி \n”;
} எல்சிஃப் ($லைன் =~ மீ/விசிம்/)
{
$வரி =~ s/vsim/vsim -novopt/g;
பிரிண்ட் அவுட்FILE “$வரி \n”;
} வேறு
{
பிரிண்ட் அவுட்FILE “$வரி \n”;
}
}
மூடு(INFILE);
மூடு(அவுட்FILE);
} வேறு {
அச்சிடுக “$ModelSim_run_do இல்லை. மீண்டும் உருவகப்படுத்துதலை மீண்டும் இயக்கவும் \n”;
}
}
சீமென்ஸ் குவெஸ்டாசிம் அமைப்பு/மாடல் சிம் அமைப்பு (ஒரு கேள்வி கேள்)
The run.do fileModelSim மைக்ரோசெமி பதிப்புகளைப் பயன்படுத்தி உருவகப்படுத்துதல்களுக்காக Libero SoC ஆல் உருவாக்கப்பட்ட s, ஒரே மாற்றத்துடன் QuestaSim/ModelSim SE/DE/PE ஐப் பயன்படுத்தி உருவகப்படுத்துதல்களுக்குப் பயன்படுத்தப்படலாம். ModelSim ME/ModelSim Pro ME run.do இல் file, முன்தொகுக்கப்பட்ட நூலகங்களின் இருப்பிடம் மாற்றியமைக்கப்பட வேண்டும்.
முக்கியமானது:
முன்னிருப்பாக, ModelSim Pro ME ஐத் தவிர மற்ற உருவகப்படுத்துதல் கருவியானது உருவகப்படுத்துதலின் போது வடிவமைப்பு மேம்படுத்தலைச் செய்கிறது, இது வடிவமைப்பு பொருள்கள் மற்றும் உள்ளீட்டு தூண்டுதல் போன்ற உருவகப்படுத்துதல் கலைப்பொருட்களில் தெரிவுநிலையை பாதிக்கலாம்.
சிக்கலான உருவகப்படுத்துதல்களுக்கான உருவகப்படுத்துதல் இயக்க நேரத்தைக் குறைப்பதற்கு, வாய்மொழி, சுய-சரிபார்ப்பு சோதனைப் பெட்டிகளைப் பயன்படுத்தி இது பொதுவாக உதவியாக இருக்கும். இருப்பினும், இயல்புநிலை மேம்படுத்தல்கள் அனைத்து உருவகப்படுத்துதல்களுக்கும் பொருத்தமானதாக இருக்காது, குறிப்பாக அலை சாளரத்தைப் பயன்படுத்தி உருவகப்படுத்துதல் முடிவுகளை வரைபடமாக ஆய்வு செய்ய நீங்கள் எதிர்பார்க்கும் சந்தர்ப்பங்களில்.
இந்த தேர்வுமுறையால் ஏற்படும் சிக்கல்களைத் தீர்க்க, வடிவமைப்பில் தெரிவுநிலையை மீட்டெடுக்க, உருவகப்படுத்துதலின் போது பொருத்தமான கட்டளைகளையும் தொடர்புடைய வாதங்களையும் சேர்க்க வேண்டும். கருவி சார்ந்த கட்டளைகளுக்கு, பயன்பாட்டில் உள்ள சிமுலேட்டரின் ஆவணங்களைப் பார்க்கவும்.
5.1 சுற்றுச்சூழல் மாறிகள் (ஒரு கேள்வி கேள்)
தேவையான சூழல் மாறிகள் பின்வருமாறு.
- LM_LICENSE_FILE: உரிமத்திற்கான பாதையை உள்ளடக்கியிருக்க வேண்டும் file.
- MODEL_TECH: QuestaSim நிறுவலின் முகப்பு அடைவு இருப்பிடத்திற்கான பாதையை அடையாளம் காண வேண்டும்.
- PATH: MODEL_TECH ஆல் சுட்டிக்காட்டப்பட்ட இயங்கக்கூடிய இருப்பிடத்தை சுட்டிக்காட்ட வேண்டும்.
5.2 வழிகாட்டி QuestaSim க்கான run.do ஐ மாற்றுதல் (ஒரு கேள்வி கேள்)
The run.do fileModelSim மைக்ரோசெமி பதிப்புகளைப் பயன்படுத்தி உருவகப்படுத்துதல்களுக்காக Libero SoC ஆல் உருவாக்கப்பட்ட கள், ஒரே மாற்றத்துடன் QuestaSim/ModelSim_SE ஐப் பயன்படுத்தி உருவகப்படுத்துதல்களுக்குப் பயன்படுத்தப்படலாம்.
முக்கியமானது: அனைத்தும் QuestaSim ஐப் பயன்படுத்தி உருவகப்படுத்தப்பட்ட வடிவமைப்புகளில் -novopt இருக்க வேண்டும்
run.do ஸ்கிரிப்டில் vsim கட்டளையுடன் விருப்பமும் இருக்கும் files.
5.3 தொகுக்கப்பட்ட நூலகத்தைப் பதிவிறக்கவும் (ஒரு கேள்வி கேள்)
மைக்ரோசெமியில் இருந்து வழிகாட்டி கிராபிக்ஸ் QuestaSim நூலகங்களைப் பதிவிறக்கவும் webதளம்.
சுருக்கம் VCS அமைப்பு (ஒரு கேள்வி கேள்)
மைக்ரோசெமி பரிந்துரைத்த ஓட்டமானது VCS இல் உள்ள விரிவான மற்றும் தொகுத்தல் ஓட்டத்தை நம்பியுள்ளது. இந்த ஆவணத்தில் ஸ்கிரிப்ட் உள்ளது file அது run.do ஸ்கிரிப்டைப் பயன்படுத்துகிறது fileலிபரோ SoC ஆல் உருவாக்கப்பட்டு அமைப்பை உருவாக்குகிறது fileVCS உருவகப்படுத்துதலுக்கு கள் தேவை. ஸ்கிரிப்ட் file run.do ஐப் பயன்படுத்துகிறது file பின்வரும் செய்ய.
- நூலக மேப்பிங்கை உருவாக்கவும் file, இது synopsys_sim.setup ஐப் பயன்படுத்தி செய்யப்படுகிறது file VCS சிமுலேஷன் இயங்கும் அதே கோப்பகத்தில் அமைந்துள்ளது.
- ஷெல் ஸ்கிரிப்டை உருவாக்கவும் file VCS ஐப் பயன்படுத்தி உங்கள் வடிவமைப்பை விரிவாகவும் தொகுக்கவும்.
6.1 சுற்றுச்சூழல் மாறிகள் (ஒரு கேள்வி கேள்)
உங்கள் அமைப்பின் அடிப்படையில் VCS க்கு பொருத்தமான சூழல் மாறிகளை அமைக்கவும். VCS ஆவணங்களின்படி தேவைப்படும் சூழல் மாறிகள்:
- LM_LICENSE_FILE: உரிமம் சர்வருக்கு ஒரு சுட்டி சேர்க்க வேண்டும்.
- VCS_HOME: VCS நிறுவலின் முகப்பு அடைவு இருப்பிடத்தை சுட்டிக்காட்ட வேண்டும்.
- PATH: VCS_HOME கோப்பகத்திற்கு கீழே உள்ள பின் கோப்பகத்திற்கு ஒரு சுட்டிக்காட்டி இருக்க வேண்டும்.
6.2 தொகுக்கப்பட்ட நூலகத்தைப் பதிவிறக்கவும் (ஒரு கேள்வி கேள்)
Synopsys VCS க்கான நூலகங்களை மைக்ரோசெமியில் இருந்து பதிவிறக்கவும் webதளம்.
6.3 VCS சிமுலேஷன் ஸ்கிரிப்ட் File (ஒரு கேள்வி கேள்)
VCS ஐ அமைத்து வடிவமைப்பு மற்றும் வேறுபட்ட run.do ஐ உருவாக்கிய பிறகு fileலிபரோ SoC இலிருந்து, நீங்கள் கண்டிப்பாக:
- நூலக வரைபடத்தை உருவாக்கவும் file synopsys_sim.setup; இது file வடிவமைப்பால் பயன்படுத்தப்படும் அனைத்து நூலகங்களின் இருப்பிடத்திற்கான சுட்டிகளைக் கொண்டுள்ளது.
முக்கியமானது: தி file பெயர் மாறக்கூடாது மேலும் அது உருவகப்படுத்துதல் இயங்கும் அதே கோப்பகத்தில் இருக்க வேண்டும். இதோ ஒரு முன்னாள்ampஅத்தகைய ஒரு file முன்கூட்டிய உருவகப்படுத்துதலுக்காக.
வேலை > EFAULT
SmartFusion2:
presynth : ./presynth
இயல்புநிலை: ./வேலை - வெவ்வேறு வடிவமைப்பை விரிவுபடுத்துங்கள் fileVCS இல் vlogan கட்டளையைப் பயன்படுத்தி, டெஸ்ட்பெஞ்ச் உட்பட. இந்த கட்டளைகள் ஷெல் ஸ்கிரிப்ட்டில் சேர்க்கப்படலாம் file. பின்வருவது ஒரு முன்னாள்amprtl.v இல் வரையறுக்கப்பட்ட ஒரு வடிவமைப்பை விரிவுபடுத்த தேவையான கட்டளைகளின் le அதன் testbench இல் வரையறுக்கப்பட்டுள்ளது
testbench.v
vlogan +v2k -work presynth rtl.v
vlogan +v2k -work presynth testbench.v - பின்வரும் கட்டளையைப் பயன்படுத்தி VCS ஐப் பயன்படுத்தி வடிவமைப்பைத் தொகுக்கவும்.
vcs –sim_res=1fs presynth.testbench
குறிப்பு: தி சரியான செயல்பாட்டு உருவகப்படுத்துதலுக்கு உருவகப்படுத்துதலின் நேரத் தீர்மானம் 1fs ஆக அமைக்கப்பட வேண்டும். - வடிவமைப்பு தொகுக்கப்பட்டவுடன், பின்வரும் கட்டளையைப் பயன்படுத்தி உருவகப்படுத்துதலைத் தொடங்கவும்.
./simv - பின் சிறுகுறிப்பு உருவகப்படுத்துதலுக்கு, பின்வரும் கோட் பிளாக்கில் காட்டப்பட்டுள்ளபடி VCS கட்டளை இருக்க வேண்டும்.
vcs postlayout.testbench –sim_res=1fs –sdf அதிகபட்சம்: .
பெயர்>: file பாதை> –gui –l postlayout.log
6.4 வரம்புகள்/விதிவிலக்குகள் (ஒரு கேள்வி கேள்)
Synopsys VCS அமைப்பின் வரம்புகள்/விதிவிலக்குகள் பின்வருமாறு.
- லிபரோ SoC இன் வெரிலாக் திட்டங்களுக்கு மட்டுமே VCS உருவகப்படுத்துதல்களை இயக்க முடியும். VCS சிமுலேட்டரில் கடுமையான VHDL மொழித் தேவைகள் உள்ளன, அவை Libero SoC தானாகவே உருவாக்கப்பட்ட VHDL ஆல் பூர்த்தி செய்யப்படவில்லை. files.
- நீங்கள் எப்போது வேண்டுமானாலும் சிமுலேஷனை நிறுத்த, வெரிலாக் டெஸ்ட்பெஞ்சில் $finish அறிக்கையை வைத்திருக்க வேண்டும்.
முக்கியமானது: எப்போது உருவகப்படுத்துதல்கள் GUI பயன்முறையில் இயக்கப்படுகின்றன, இயக்க நேரத்தை GUI இல் குறிப்பிடலாம்.
6.5 எஸ்ample Tcl மற்றும் ஷெல் ஸ்கிரிப்ட் Fileகள் (ஒரு கேள்வி கேள்)
பின்வரும் Perl ஆனது synopsys_sim.setup இன் தலைமுறையை தானியங்குபடுத்துகிறது file அத்துடன் தொடர்புடைய ஷெல் ஸ்கிரிப்ட் fileவடிவமைப்பை விரிவுபடுத்தவும், தொகுக்கவும், உருவகப்படுத்தவும் கள் தேவை.
வடிவமைப்பு MSS ஐப் பயன்படுத்தினால், test.vec ஐ நகலெடுக்கவும் file லிபரோ SoC திட்டத்தின் உருவகப்படுத்துதல் கோப்புறையில் VCS உருவகப்படுத்துதல் கோப்புறையில் அமைந்துள்ளது. பின்வரும் பிரிவுகளில் கள் உள்ளனample run.do fileதொடர்புடைய நூலக மேப்பிங் மற்றும் ஷெல் ஸ்கிரிப்ட் உட்பட, Libero SoC ஆல் உருவாக்கப்பட்டது fileVCS உருவகப்படுத்துதலுக்கு கள் தேவை.
6.5.1 முன் தொகுப்பு (ஒரு கேள்வி கேள்)
Presynth_run.do
ACTELLIBNAME SmartFusion2 ஐ அமைதியாக அமைக்கவும்
PROJECT_DIR “/sqa/users/me/VCS_Tests/Test_DFF” என அமைதியாக அமைக்கவும்
என்றால் {[file Presynth உள்ளது/_info]} {
எதிரொலி "தகவல்: சிமுலேஷன் லைப்ரரி ப்ரிசின்த் ஏற்கனவே உள்ளது"
} வேறு {
vlib presynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog “+incdir+${PROJECT_DIR}/தூண்டுதல்” -வொர்க் ப்ரிசின்த் “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
அலை சேர் /SD1_TB1/*
பதிவு -r /* சேர்
1000ns ஓடவும்
presynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” என அமை
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/கூறு/
வேலை/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
பணி > இயல்புநிலை
SmartFusion2 : /VCS/SmartFusion2
presynth : ./presynth
இயல்புநிலை: ./வேலை
6.5.2 பிந்தைய தொகுப்பு (ஒரு கேள்வி கேள்)
postsynth_run.do
ACTELLIBNAME SmartFusion2 ஐ அமைதியாக அமைக்கவும்
PROJECT_DIR “/sqa/users/Me/VCS_Tests/Test_DFF” என அமைதியாக அமைக்கவும்
என்றால் {[file போஸ்ட்சின்த் உள்ளது/_தகவல்]} {
எதிரொலி "தகவல்: சிமுலேஷன் லைப்ரரி போஸ்ட்சின்த் ஏற்கனவே உள்ளது"
} வேறு {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
vlog “+incdir+${PROJECT_DIR}/தூண்டுதல்” -போஸ்ட்சின்த் “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
அலை சேர் /SD1_TB1/*
பதிவு -r /* சேர்
1000ns ஓடவும்
பதிவு SD1_TB1/*
வெளியேறு
Postsynth_main.csh
#!/bin/csh -f
PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF” என அமை
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
பணி > இயல்புநிலை
SmartFusion2 : /VCS/SmartFusion2
postsynth : ./postsynth
இயல்புநிலை: ./வேலை
6.5.3 பிந்தைய தளவமைப்பு (ஒரு கேள்வி கேள்)
postlayout_run.do
ACTELLIBNAME SmartFusion2 ஐ அமைதியாக அமைக்கவும்
PROJECT_DIR ஐ அமைதியாக அமைக்கவும் “E:/ModelSim_Work/Test_DFF”
என்றால் {[file உள்ளது ../designer/SD1/simulation/postlayout/_info]} {
எதிரொலி “தகவல்: சிமுலேஷன் லைப்ரரி ../டிசைனர்/எஸ்டி1/சிமுலேஷன்/போஸ்ட்லேஅவுட் ஏற்கனவே உள்ளது”
} வேறு {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -work postlayout “${PROJECT_DIR}/designer/SD1/SD1_ba.v”
vlog “+incdir+${PROJECT_DIR}/தூண்டுதல்” -வொர்க் போஸ்ட்லேஅவுட் “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postlayout -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
அலை சேர் /SD1_TB1/*
பதிவு -r /* சேர்
1000ns ஓடவும்
Postlayout_main.csh
#!/bin/csh -f
PROJECT_DIR = “/VCS_Tests/Test_DFF” என அமை
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postlayout “${PROJECT_DIR}/
வடிவமைப்பாளர்/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
போஸ்ட்லேஅவுட் “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf
max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
பணி > இயல்புநிலை
SmartFusion2 : /VCS/SmartFusion2
postlayout : ./postlayout
இயல்புநிலை: ./workVCS
6.6 ஆட்டோமேஷன் (ஒரு கேள்வி கேள்)
பின்வரும் பெர்ல் ஸ்கிரிப்டைப் பயன்படுத்தி ஓட்டத்தை தானியக்கமாக்க முடியும் file ModelSim run.do ஐ மாற்ற fileVCS இணக்கமான ஷெல் ஸ்கிரிப்ட்டில் கள் files, Libero SoC உருவகப்படுத்துதல் கோப்பகத்தில் சரியான கோப்பகங்களை உருவாக்கி, பின்னர் உருவகப்படுத்துதல்களை இயக்கவும்.
ஸ்கிரிப்டை இயக்கவும் file பின்வரும் தொடரியல் பயன்படுத்தி.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
############################################### #############################
#
#பயன்பாடு: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
############################################### #############################
என் ($presynth, $postsynth, $postlayout) = @ARGV;
if(system(“mkdir VCS_Presynth”)) {print “mkdir தோல்வியடைந்தது:\n”;}
if(system(“mkdir VCS_Postsynth”)) {print “mkdir தோல்வி:\n”;}
if(system(“mkdir VCS_Postlayout”)) {print “mkdir தோல்வியடைந்தது:\n”;}
chdir (VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,”presynth”);
chdir ("../");
chdir (VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,”postsynth”);
chdir ("../");
chdir (VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,”postlayout”);
chdir ("../");
துணை பகுத்து_செய்யவும் {
my $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k” ;
எனது %LIB = ();
என் $file = $_[0] ;
என் $ஸ்டேட் = $_[1];
திறந்த (INFILE,”$file”) || இறக்கவும் "திறக்க முடியாது File காரணம்:$!”;
என்றால் ( $state eq "presynth" )
{
open(OUT1,”>presynth_main.csh”) || இறக்கவும் "கட்டளை உருவாக்க முடியாது File காரணம்:$!”;
}
elsif ($state eq "postsynth" )
{
open(OUT1,”>postsynth_main.csh”) || இறக்கவும் "கட்டளை உருவாக்க முடியாது File காரணம்:$!”;
}
elsif ($state eq "postlayout" )
{
open(OUT1,”>postlayout_main.csh”) || இறக்கவும் "கட்டளை உருவாக்க முடியாது File காரணம்:$!”;
}
வேறு
{
"சிமுலேஷன் நிலை காணவில்லை \n" ;
}
open(OUT2,”>synopsys_sim.setup”) || இறக்கவும் "கட்டளை உருவாக்க முடியாது File காரணம்:$!”;
# .csh file
அச்சிட OUT1 “#!/bin/csh -f\n\n\n” ;
#அமைக்கவும் FILE
அச்சிடுக OUT2 “பணி > இயல்புநிலை\n” ;
அச்சிட OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
போது ($ வரி =FILE>)
{
சுருக்கம் VCS அமைப்பு
என்றால் ($வரி =~ மீ/அமைதியாக PROJECT_DIR\s+\”(.*?)\”/)
{
அச்சிட OUT1 “செட் PROJECT_DIR = \”$1\”\n\n\n” ;
}
elsif ($line =~ m/vlog.*\.v\”/)
{
என்றால் ($வரி =~ மீ/\s+(\w*?)\_LIB/)
{
#அச்சிடு “\$1 =$1 \n” ;
$temp = “$1″.”_LIB”;
#அச்சிடு “Temp = $temp \n” ;
$LIB{$temp}++;
}
chomp($ line);
$line =~ s/^vlog/$vlog/ ;
$வரி =~ s/ //g;
அச்சிட OUT1 “$line\n”;
}
elsif ( ($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb);
#அச்சிடு “காசநோய் பெயர் : $tb \n”;
என்றால் ($வரி =~ m/sdf(.*)\.sdf/)
{
chomp($ line);
$வரி = $1 ;
#அச்சிடு “LINE : $line \n” ;
என்றால் ($வரி =~ மீ/அதிகபட்சம்/)
{
$வரி =~ s/max \/// ;
$வரி =~ s/=/:/;
அச்சிட OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
அதிகபட்சம்:$tb.$line.sdf -l compile.log\n” ;
}
எல்சிஃப் ($லைன் =~ மீ/நிமி/)
{
$வரி =~ s/min \/// ;
$வரி =~ s/=/:/;
அச்சிட OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
நிமிடம்:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($line =~ m/typ/)
{
$வரி =~ s/typ \/// ;
$வரி =~ s/=/:/;
அச்சிட OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
வகை:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — ModelSim SDF வடிவம்
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -வி.சி.எஸ்
SDF வடிவம்
}
}
}
அச்சு
OUT1 “\n\n”
;
if
( $state eq "presynth"
)
{
அச்சு
OUT2 “ப்ரிசின்த்
: ./presynth\n”
;
அச்சு
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
எல்சிஃப்
( $state eq "postsynth"
)
{
அச்சு
OUT2 "போஸ்ட்சின்த்
: ./postsynth\n”
;
அச்சு
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
எல்சிஃப்
( $state eq “postlayout”
)
{
அச்சிட OUT2 “postlayout : ./postlayout\n” ;
}
வேறு
{
"சிமுலேஷன் நிலை காணவில்லை \n" ;
}
foreach $i (விசைகள் %LIB)
{
#அச்சிடு “விசை : $i மதிப்பு : $LIB{$i} \n” ;
அச்சிட OUT2 “$i : ./$i\n” ;
}
அச்சிட OUT1 “\n\n” ;
அச்சிட OUT1 “./simv -l run.log\n” ;
அச்சிட OUT2 “DEFAULT : ./work\n” ;
IN ஐ மூடவும்FILE;
மூடு OUT1;
மூடு OUT2;
}
மீள்பார்வை வரலாறு (மைக்ரோசிப் உள்நுழைவு
திருத்த வரலாறு ஆவணத்தில் செயல்படுத்தப்பட்ட மாற்றங்களை விவரிக்கிறது. மாற்றங்கள்
தற்போதைய வெளியீட்டில் தொடங்கி, திருத்தம் மூலம் பட்டியலிடப்பட்டுள்ளன.
திருத்தம் | தேதி | விளக்கம் |
A | 12/2023 | இந்த திருத்தத்தில் பின்வரும் மாற்றங்கள் செய்யப்பட்டுள்ளன: • ஆவணம் மைக்ரோசிப் டெம்ப்ளேட்டாக மாற்றப்பட்டது. ஆரம்ப திருத்தம். • புதுப்பிக்கப்பட்ட பிரிவு 5. உருவகப்படுத்துதல் மற்றும் மேம்படுத்தலின் போது தெரிவுநிலையில் ஏற்படும் தாக்கத்தை விளக்கும் புதிய குறிப்பைச் சேர்க்க Siemens QuestaSim அமைப்பு/மாடல் சிம் அமைவு. |
மைக்ரோசிப் FPGA ஆதரவு
Microchip FPGA தயாரிப்புகள் குழு அதன் தயாரிப்புகளை வாடிக்கையாளர் சேவை, வாடிக்கையாளர் தொழில்நுட்ப ஆதரவு மையம் உள்ளிட்ட பல்வேறு ஆதரவு சேவைகளுடன் ஆதரிக்கிறது. webதளம் மற்றும் உலகளாவிய விற்பனை அலுவலகங்கள்.
வாடிக்கையாளர்கள் தங்கள் கேள்விகளுக்கு ஏற்கனவே பதில் கிடைத்திருக்க வாய்ப்புள்ளதால், ஆதரவைத் தொடர்புகொள்வதற்கு முன் மைக்ரோசிப் ஆன்லைன் ஆதாரங்களைப் பார்வையிட பரிந்துரைக்கப்படுகிறது.
மூலம் தொழில்நுட்ப ஆதரவு மையத்தைத் தொடர்பு கொள்ளவும் webதளத்தில் www.microchip.com/support. FPGA சாதன பகுதி எண்ணைக் குறிப்பிடவும், பொருத்தமான வகை வகையைத் தேர்ந்தெடுத்து வடிவமைப்பைப் பதிவேற்றவும் fileஒரு தொழில்நுட்ப ஆதரவு வழக்கை உருவாக்கும் போது கள்.
தயாரிப்பு விலை, தயாரிப்பு மேம்படுத்தல்கள், புதுப்பித்தல் தகவல், ஆர்டர் நிலை மற்றும் அங்கீகாரம் போன்ற தொழில்நுட்பமற்ற தயாரிப்பு ஆதரவுக்கு வாடிக்கையாளர் சேவையைத் தொடர்புகொள்ளவும்.
- வட அமெரிக்காவிலிருந்து, 800.262.1060 ஐ அழைக்கவும்
- உலகின் பிற பகுதிகளிலிருந்து, 650.318.4460 ஐ அழைக்கவும்
- தொலைநகல், உலகில் எங்கிருந்தும், 650.318.8044
மைக்ரோசிப் தகவல்
மைக்ரோசிப் Webதளம்
மைக்ரோசிப் எங்கள் வழியாக ஆன்லைன் ஆதரவை வழங்குகிறது webதளத்தில் www.microchip.com/. இது webதளம் தயாரிக்க பயன்படுகிறது fileகள் மற்றும் தகவல்கள் வாடிக்கையாளர்களுக்கு எளிதில் கிடைக்கும். கிடைக்கக்கூடிய சில உள்ளடக்கங்களில் பின்வருவன அடங்கும்:
- தயாரிப்பு ஆதரவு - தரவுத் தாள்கள் மற்றும் பிழைகள், பயன்பாட்டுக் குறிப்புகள் மற்றும் கள்ample நிரல்கள், வடிவமைப்பு ஆதாரங்கள், பயனர் வழிகாட்டிகள் மற்றும் வன்பொருள் ஆதரவு ஆவணங்கள், சமீபத்திய மென்பொருள் வெளியீடுகள் மற்றும் காப்பகப்படுத்தப்பட்ட மென்பொருள்
- பொது தொழில்நுட்ப ஆதரவு - அடிக்கடி கேட்கப்படும் கேள்விகள் (FAQகள்), தொழில்நுட்ப ஆதரவு கோரிக்கைகள், ஆன்லைன் கலந்துரையாடல் குழுக்கள், மைக்ரோசிப் வடிவமைப்பு கூட்டாளர் நிரல் உறுப்பினர் பட்டியல்
- மைக்ரோசிப்பின் வணிகம் - தயாரிப்பு தேர்வாளர் மற்றும் வரிசைப்படுத்தும் வழிகாட்டிகள், சமீபத்திய மைக்ரோசிப் பத்திரிகை வெளியீடுகள், கருத்தரங்குகள் மற்றும் நிகழ்வுகளின் பட்டியல், மைக்ரோசிப் விற்பனை அலுவலகங்கள், விநியோகஸ்தர்கள் மற்றும் தொழிற்சாலை பிரதிநிதிகளின் பட்டியல்கள்
தயாரிப்பு மாற்ற அறிவிப்பு சேவை
மைக்ரோசிப்பின் தயாரிப்பு மாற்ற அறிவிப்பு சேவையானது வாடிக்கையாளர்களை மைக்ரோசிப் தயாரிப்புகளில் தொடர்ந்து வைத்திருக்க உதவுகிறது. குறிப்பிட்ட தயாரிப்பு குடும்பம் அல்லது ஆர்வமுள்ள மேம்பாட்டுக் கருவி தொடர்பான மாற்றங்கள், புதுப்பிப்புகள், திருத்தங்கள் அல்லது பிழைகள் ஏற்படும் போதெல்லாம் சந்தாதாரர்கள் மின்னஞ்சல் அறிவிப்பைப் பெறுவார்கள்.
பதிவு செய்ய, செல்லவும் www.microchip.com/pcn மற்றும் பதிவு வழிமுறைகளைப் பின்பற்றவும்.
வாடிக்கையாளர் ஆதரவு
மைக்ரோசிப் தயாரிப்புகளின் பயனர்கள் பல சேனல்கள் மூலம் உதவியைப் பெறலாம்:
- விநியோகஸ்தர் அல்லது பிரதிநிதி
- உள்ளூர் விற்பனை அலுவலகம்
- உட்பொதிக்கப்பட்ட தீர்வுகள் பொறியாளர் (ESE)
- தொழில்நுட்ப ஆதரவு
ஆதரவுக்காக வாடிக்கையாளர்கள் தங்கள் விநியோகஸ்தர், பிரதிநிதி அல்லது ESE ஐ தொடர்பு கொள்ள வேண்டும். வாடிக்கையாளர்களுக்கு உதவ உள்ளூர் விற்பனை அலுவலகங்களும் உள்ளன. விற்பனை அலுவலகங்கள் மற்றும் இருப்பிடங்களின் பட்டியல் இந்த ஆவணத்தில் சேர்க்கப்பட்டுள்ளது.
மூலம் தொழில்நுட்ப ஆதரவு கிடைக்கிறது webதளத்தில்: www.microchip.com/support
மைக்ரோசிப் சாதனங்களின் குறியீடு பாதுகாப்பு அம்சம்
மைக்ரோசிப் தயாரிப்புகளில் குறியீடு பாதுகாப்பு அம்சத்தின் பின்வரும் விவரங்களைக் கவனியுங்கள்:
- மைக்ரோசிப் தயாரிப்புகள் அவற்றின் குறிப்பிட்ட மைக்ரோசிப் டேட்டா ஷீட்டில் உள்ள விவரக்குறிப்புகளைப் பூர்த்தி செய்கின்றன.
- மைக்ரோசிப், அதன் தயாரிப்புகளின் குடும்பம் நோக்கம் கொண்ட முறையில், செயல்பாட்டு விவரக்குறிப்புகளுக்குள் மற்றும் சாதாரண நிலைமைகளின் கீழ் பயன்படுத்தப்படும் போது பாதுகாப்பானது என்று நம்புகிறது.
- மைக்ரோசிப் அதன் அறிவுசார் சொத்துரிமைகளை மதிப்பிடுகிறது மற்றும் தீவிரமாக பாதுகாக்கிறது. மைக்ரோசிப் தயாரிப்பின் குறியீடு பாதுகாப்பு அம்சங்களை மீறும் முயற்சிகள் கண்டிப்பாக தடைசெய்யப்பட்டுள்ளது மற்றும் டிஜிட்டல் மில்லினியம் பதிப்புரிமைச் சட்டத்தை மீறலாம்.
- மைக்ரோசிப் அல்லது வேறு எந்த குறைக்கடத்தி உற்பத்தியாளர்களும் அதன் குறியீட்டின் பாதுகாப்பிற்கு உத்தரவாதம் அளிக்க முடியாது. குறியீடு பாதுகாப்பு என்பது தயாரிப்பு "உடைக்க முடியாதது" என்று நாங்கள் உத்தரவாதம் அளிக்கிறோம் என்று அர்த்தமல்ல.
குறியீடு பாதுகாப்பு தொடர்ந்து உருவாகி வருகிறது. எங்கள் தயாரிப்புகளின் குறியீடு பாதுகாப்பு அம்சங்களை தொடர்ந்து மேம்படுத்த மைக்ரோசிப் உறுதிபூண்டுள்ளது.
சட்ட அறிவிப்பு
இந்த வெளியீடும் இங்குள்ள தகவல்களும் மைக்ரோசிப் தயாரிப்புகளுடன் மட்டுமே பயன்படுத்தப்படலாம், இதில் மைக்ரோசிப் தயாரிப்புகளை வடிவமைத்தல், சோதனை செய்தல் மற்றும் உங்கள் பயன்பாட்டுடன் ஒருங்கிணைத்தல் ஆகியவை அடங்கும். இந்தத் தகவலை வேறு எந்த வகையிலும் பயன்படுத்துவது இந்த விதிமுறைகளை மீறுகிறது. சாதன பயன்பாடுகள் தொடர்பான தகவல்கள் உங்கள் வசதிக்காக மட்டுமே வழங்கப்படுகின்றன மற்றும் புதுப்பிப்புகளால் மாற்றப்படலாம். உங்கள் விண்ணப்பம் உங்களின் விவரக்குறிப்புகளுடன் பொருந்துகிறதா என்பதை உறுதிப்படுத்துவது உங்கள் பொறுப்பு. கூடுதல் ஆதரவுக்காக உங்கள் உள்ளூர் மைக்ரோசிப் விற்பனை அலுவலகத்தைத் தொடர்புகொள்ளவும் அல்லது கூடுதல் ஆதரவைப் பெறவும் www.microchip.com/en-us/support/design-help/client-support-services.
இந்த தகவல் மைக்ரோசிப் மூலம் வழங்கப்படுகிறது. MICROCHIP எந்த விதமான பிரதிநிதித்துவங்கள் அல்லது உத்தரவாதங்களை வழங்காது, வெளிப்படையாகவோ அல்லது மறைமுகமாகவோ, எழுதப்பட்டதாகவோ அல்லது வாய்மொழியாகவோ, சட்டப்பூர்வமாகவோ அல்லது வேறுவிதமாகவோ, குறிப்பிடப்பட்டுள்ள தகவல்களுடன் தொடர்புடையது விதிமீறல், வர்த்தகம் மற்றும் ஒரு குறிப்பிட்ட நோக்கத்திற்கான உடற்தகுதி அல்லது அதன் நிபந்தனை, தரம் அல்லது செயல்திறன் தொடர்பான உத்தரவாதங்கள்.
எந்தவொரு சந்தர்ப்பத்திலும் மைக்ரோசிப் எந்தவொரு மறைமுகமான, சிறப்பு, தண்டனை, தற்செயலான அல்லது அடுத்தடுத்த இழப்புகள், சேதம், செலவு அல்லது அது தொடர்பான எந்தவொரு செலவுக்கும் பொறுப்பாகாது. எவ்வாறாயினும், மைக்ரோசிப் சாத்தியம் குறித்து அறிவுறுத்தப்பட்டிருந்தாலும் அல்லது சேதங்கள் எதிர்நோக்கக்கூடியவை. சட்டத்தால் அனுமதிக்கப்பட்ட முழு அளவில், மைக்ரோசிப்பின் அனைத்து உரிமைகோரல்களின் மொத்தப் பொறுப்பும், தகவல் அல்லது அதன் பயன்பாடு தொடர்பான எந்த வகையிலும், உணவுத் தொகையின் அளவை விட அதிகமாக இருக்காது. தகவலுக்காக மைக்ரோசிப்பிற்கு நேரடியாக.
லைஃப் சப்போர்ட் மற்றும்/அல்லது பாதுகாப்புப் பயன்பாடுகளில் மைக்ரோசிப் சாதனங்களைப் பயன்படுத்துவது முற்றிலும் வாங்குபவரின் ஆபத்தில் உள்ளது, மேலும் இதுபோன்ற பயன்பாட்டினால் ஏற்படும் எந்தவொரு மற்றும் அனைத்து சேதங்கள், உரிமைகோரல்கள், வழக்குகள் அல்லது செலவினங்களிலிருந்து பாதிப்பில்லாத மைக்ரோசிப்பைப் பாதுகாக்கவும், இழப்பீடு வழங்கவும் மற்றும் வைத்திருக்கவும் வாங்குபவர் ஒப்புக்கொள்கிறார். மைக்ரோசிப் அறிவுசார் சொத்துரிமையின் கீழ், வேறுவிதமாகக் கூறப்படாவிட்டால், மறைமுகமாகவோ அல்லது வேறுவிதமாகவோ உரிமங்கள் தெரிவிக்கப்படாது.
வர்த்தக முத்திரைகள்
மைக்ரோசிப் பெயர் மற்றும் லோகோ, மைக்ரோசிப் லோகோ, அடாப்டெக், ஏவிஆர், ஏவிஆர் லோகோ, ஏவிஆர் ஃப்ரீக்ஸ், பெஸ்டைம், பிட்க்ளவுட், கிரிப்டோமெமரி, கிரிப்டோஆர்எஃப், டிஎஸ்பிஐசி, ஃப்ளெக்ஸ்பிடபிள்யூஆர், ஹெல்டோ, இக்லூ, ஜூக் ப்ளாக்ஸ், எல்எக்ஸ், எல்எக்ஸ், எல்எக்ஸ் , MediaLB, megaAVR, மைக்ரோசெமி, மைக்ரோசெமி லோகோ, MOST, MOST லோகோ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 லோகோ, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SyMetrim, SymmeSTIC, SST, , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron மற்றும் XMEGA ஆகியவை அமெரிக்கா மற்றும் பிற நாடுகளில் இணைக்கப்பட்ட மைக்ரோசிப் டெக்னாலஜியின் பதிவு செய்யப்பட்ட வர்த்தக முத்திரைகளாகும்.
AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC-Logo, Proasic Plus லோகோ SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime மற்றும் ZL ஆகியவை அமெரிக்காவில் இணைக்கப்பட்ட மைக்ரோசிப் தொழில்நுட்பத்தின் பதிவு செய்யப்பட்ட வர்த்தக முத்திரைகள்
அட்ஜசென்ட் கீ சப்ரஷன், ஏகேஎஸ், அனலாக் ஃபார்-தி-டிஜிட்டல் வயது, ஏதேனும் மின்தேக்கி, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, DDE, Cryptoatchcompanage, CryptoatchCompanage , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, JitterBlocker, Knob-on-DisxView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB சான்றளிக்கப்பட்ட லோகோ, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI,
SuperSwitcher II, Switchtec, SynchroPHY, மொத்த சகிப்புத்தன்மை, நம்பகமான நேரம், TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect மற்றும் ZENA ஆகியவை மைக்ரோசிப் தொழில்நுட்பத்தின் வர்த்தக முத்திரைகள்
அமெரிக்கா மற்றும் பிற நாடுகளில்.
SQTP என்பது அமெரிக்காவில் இணைக்கப்பட்ட மைக்ரோசிப் தொழில்நுட்பத்தின் சேவை அடையாளமாகும்
அடாப்டெக் லோகோ, ப்ரீக்வென்சி ஆன் டிமாண்ட், சிலிக்கான் ஸ்டோரேஜ் டெக்னாலஜி மற்றும் சிம்காம் ஆகியவை பிற நாடுகளில் உள்ள மைக்ரோசிப் டெக்னாலஜி இன்க். இன் பதிவு செய்யப்பட்ட வர்த்தக முத்திரைகளாகும்.
GestIC என்பது மைக்ரோசிப் டெக்னாலஜி ஜெர்மனி II GmbH & Co. KG இன் பதிவு செய்யப்பட்ட வர்த்தக முத்திரையாகும், இது மற்ற நாடுகளில் உள்ள Microchip Technology Inc. இன் துணை நிறுவனமாகும்.
இங்கு குறிப்பிடப்பட்டுள்ள மற்ற அனைத்து வர்த்தக முத்திரைகளும் அந்தந்த நிறுவனங்களின் சொத்து.
© 2023, Microchip Technology Incorporated மற்றும் அதன் துணை நிறுவனங்கள். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை.
ISBN: 978-1-6683-3694-6
தர மேலாண்மை அமைப்பு
மைக்ரோசிப்பின் தர மேலாண்மை அமைப்புகள் பற்றிய தகவலுக்கு, தயவுசெய்து பார்வையிடவும் www.microchip.com/quality.
அமெரிக்கா | ASIA/PACIFIC | ASIA/PACIFIC | ஐரோப்பா |
கார்ப்பரேட் அலுவலகம் 2355 மேற்கு சாண்ட்லர் Blvd. சாண்ட்லர், AZ 85224-6199 தொலைபேசி: 480-792-7200 தொலைநகல்: 480-792-7277 தொழில்நுட்ப ஆதரவு: www.microchip.com/support Web முகவரி: www.microchip.com அட்லாண்டா டுலூத், ஜிஏ தொலைபேசி: 678-957-9614 தொலைநகல்: 678-957-1455 ஆஸ்டின், TX தொலைபேசி: 512-257-3370 பாஸ்டன் வெஸ்ட்பரோ, எம்.ஏ தொலைபேசி: 774-760-0087 தொலைநகல்: 774-760-0088 சிகாகோ இட்டாஸ்கா, IL தொலைபேசி: 630-285-0071 தொலைநகல்: 630-285-0075 டல்லாஸ் அடிசன், டி.எக்ஸ் தொலைபேசி: 972-818-7423 தொலைநகல்: 972-818-2924 டெட்ராய்ட் நோவி, எம்.ஐ தொலைபேசி: 248-848-4000 ஹூஸ்டன், TX தொலைபேசி: 281-894-5983 இண்டியானாபோலிஸ் நோபல்ஸ்வில்லே, IN தொலைபேசி: 317-773-8323 தொலைநகல்: 317-773-5453 தொலைபேசி: 317-536-2380 லாஸ் ஏஞ்சல்ஸ் மிஷன் விஜோ, CA தொலைபேசி: 949-462-9523 தொலைநகல்: 949-462-9608 தொலைபேசி: 951-273-7800 ராலே, NC தொலைபேசி: 919-844-7510 நியூயார்க், NY தொலைபேசி: 631-435-6000 சான் ஜோஸ், CA தொலைபேசி: 408-735-9110 தொலைபேசி: 408-436-4270 கனடா - டொராண்டோ தொலைபேசி: 905-695-1980 தொலைநகல்: 905-695-2078 |
ஆஸ்திரேலியா - சிட்னி தொலைபேசி: 61-2-9868-6733 சீனா - பெய்ஜிங் தொலைபேசி: 86-10-8569-7000 சீனா - செங்டு தொலைபேசி: 86-28-8665-5511 சீனா - சோங்கிங் தொலைபேசி: 86-23-8980-9588 சீனா - டோங்குவான் தொலைபேசி: 86-769-8702-9880 சீனா - குவாங்சோ தொலைபேசி: 86-20-8755-8029 சீனா - ஹாங்சோ தொலைபேசி: 86-571-8792-8115 சீனா - ஹாங்காங் SAR தொலைபேசி: 852-2943-5100 சீனா - நான்ஜிங் தொலைபேசி: 86-25-8473-2460 சீனா - கிங்டாவ் தொலைபேசி: 86-532-8502-7355 சீனா - ஷாங்காய் தொலைபேசி: 86-21-3326-8000 சீனா - ஷென்யாங் தொலைபேசி: 86-24-2334-2829 சீனா - ஷென்சென் தொலைபேசி: 86-755-8864-2200 சீனா - சுசோவ் தொலைபேசி: 86-186-6233-1526 சீனா - வுஹான் தொலைபேசி: 86-27-5980-5300 சீனா - சியான் தொலைபேசி: 86-29-8833-7252 சீனா - ஜியாமென் தொலைபேசி: 86-592-2388138 சீனா - ஜுஹாய் தொலைபேசி: 86-756-3210040 |
இந்தியா - பெங்களூர் தொலைபேசி: 91-80-3090-4444 இந்தியா - புது டெல்லி தொலைபேசி: 91-11-4160-8631 இந்தியா - புனே தொலைபேசி: 91-20-4121-0141 ஜப்பான் - ஒசாகா தொலைபேசி: 81-6-6152-7160 ஜப்பான் - டோக்கியோ தொலைபேசி: 81-3-6880- 3770 கொரியா - டேகு தொலைபேசி: 82-53-744-4301 கொரியா - சியோல் தொலைபேசி: 82-2-554-7200 மலேசியா - கோலாலம்பூர் தொலைபேசி: 60-3-7651-7906 மலேசியா - பினாங்கு தொலைபேசி: 60-4-227-8870 பிலிப்பைன்ஸ் - மணிலா தொலைபேசி: 63-2-634-9065 சிங்கப்பூர் தொலைபேசி: 65-6334-8870 தைவான் - ஹசின் சூ தொலைபேசி: 886-3-577-8366 தைவான் - காஹ்சியுங் தொலைபேசி: 886-7-213-7830 தைவான் - தைபே தொலைபேசி: 886-2-2508-8600 தாய்லாந்து - பாங்காக் தொலைபேசி: 66-2-694-1351 வியட்நாம் - ஹோ சி மின் தொலைபேசி: 84-28-5448-2100 |
ஆஸ்திரியா - வெல்ஸ் தொலைபேசி: 43-7242-2244-39 தொலைநகல்: 43-7242-2244-393 டென்மார்க் - கோபன்ஹேகன் தொலைபேசி: 45-4485-5910 தொலைநகல்: 45-4485-2829 பின்லாந்து - எஸ்பூ தொலைபேசி: 358-9-4520-820 பிரான்ஸ் - பாரிஸ் Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 ஜெர்மனி - கார்ச்சிங் தொலைபேசி: 49-8931-9700 ஜெர்மனி - ஹான் தொலைபேசி: 49-2129-3766400 ஜெர்மனி - ஹெய்ல்பிரான் தொலைபேசி: 49-7131-72400 ஜெர்மனி - கார்ல்ஸ்ரூஹே தொலைபேசி: 49-721-625370 ஜெர்மனி - முனிச் Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 ஜெர்மனி - ரோசன்ஹெய்ம் தொலைபேசி: 49-8031-354-560 இஸ்ரேல் - ரானானா தொலைபேசி: 972-9-744-7705 இத்தாலி - மிலன் தொலைபேசி: 39-0331-742611 தொலைநகல்: 39-0331-466781 இத்தாலி - படோவா தொலைபேசி: 39-049-7625286 நெதர்லாந்து - ட்ரூனென் தொலைபேசி: 31-416-690399 தொலைநகல்: 31-416-690340 நார்வே - ட்ரொன்ட்ஹெய்ம் தொலைபேசி: 47-72884388 போலந்து - வார்சா தொலைபேசி: 48-22-3325737 ருமேனியா - புக்கரெஸ்ட் Tel: 40-21-407-87-50 ஸ்பெயின் - மாட்ரிட் Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 ஸ்வீடன் - கோதன்பெர்க் Tel: 46-31-704-60-40 ஸ்வீடன் - ஸ்டாக்ஹோம் தொலைபேசி: 46-8-5090-4654 யுகே - வோக்கிங்ஹாம் தொலைபேசி: 44-118-921-5800 தொலைநகல்: 44-118-921-5820 |
© 2023 மைக்ரோசிப் டெக்னாலஜி இன்க். மற்றும் அதன் துணை நிறுவனங்கள்
DS50003627A –
ஆவணங்கள் / ஆதாரங்கள்
![]() |
மைக்ரோசிப் லிபரோ SoC சிமுலேஷன் லைப்ரரி மென்பொருள் [pdf] பயனர் வழிகாட்டி DS50003627A, Libero SoC சிமுலேஷன் லைப்ரரி மென்பொருள், SoC சிமுலேஷன் லைப்ரரி மென்பொருள், சிமுலேஷன் லைப்ரரி மென்பொருள், நூலக மென்பொருள், மென்பொருள் |