Lógó MICROCHIP Insamhladh Libero SoC
Treoracha le haghaidh Socrú Leabharlainne

Réamhrá

(Cuir Ceist)

Is é cuspóir an doiciméid seo cur síos a dhéanamh ar an nós imeachta chun an timpeallacht ionsamhlúcháin a bhunú ag baint úsáide as tionscadal Libero SoC mar ionchur. Freagraíonn an doiciméadú seo do na leabharlanna réamhthiomsaithe a chuirtear ar fáil le húsáid le Libero SoC v11.9 agus eisiúintí bogearraí níos nuaí. Tiomsaítear na leabharlanna a chuirtear ar fáil do Verilog. Teastaíonn ceadúnas ó úsáideoirí VHDL a cheadaíonn insamhalta modh measctha.
Tá na leabharlanna ionsamhlúcháin tiomsaithe ar fáil do na huirlisí seo a leanas:

  • Aldec Gníomhach-HDL
  • Aldec Riviera-OCP
  • Cadence Incisive Enterprise agus Xcelium
  • Siemens QuestaSim
  • Achoimre VC

Chun leabharlann a iarraidh le haghaidh insamhlóir eile, déan teagmháil le Tacaíocht Theicniúil Micrishlis.

Comhtháthú Libero SoC

(Cuir Ceist)

Tacaíonn Libero SoC le hionsamhlú ag baint úsáide as ModelSim ME trí run.do a ghiniúint file. seo file Úsáideann ModelSim ME/ModelSim Pro ME chun an t-ionsamhlú a shocrú agus a rith. Chun uirlisí ionsamhlúcháin eile a úsáid, is féidir leat run.do ModelSim ME/ModelSim Pro ME a ghiniúint agus an script Tcl a mhodhnú file chun na horduithe atá ag luí le d'Insamhlóir a úsáid.
1.1 Libero SoC Tcl File Giniúint (Cuir Ceist)
Tar éis dearadh a chruthú agus a ghiniúint i Libero SoC, cuir tús le insamhalta ModelSim ME/ModelSim Pro ME faoi gach céim dearaidh (presynth, postsynth, agus iar-leagan amach). Gineann an chéim seo an run.do file don ModelSim ME/ModelSim Pro ME do gach céim dearaidh.
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Tar éis duit gach rith insamhalta a thosú, athainmnigh an run.do uathghinte file faoin eolaire insamhalta chun Libero SoC a chosc ó sin a fhorscríobh file. Le haghaidh example, an fileis féidir s a athainmniú go presynth_run.do, postsynth_run.do agus postlayout_run.do.

Socrú Aldec le haghaidh Active-HDL agus Riviera-Pro (Cuir Ceist)

An rith.do file is féidir úsáid a bhaint as ModelSim ME/ModelSim Pro ME a mhodhnú agus a úsáid le haghaidh ionsamhlúcháin ag baint úsáide as insamhlóirí Aldec.
2.1 Comhshaol Athraitheach (Cuir Ceist)
Socraigh do athróg timpeallachta le do cheadúnas file suíomh:
LM_LICENSE_FILE: ní mór pointeoir chuig an bhfreastalaí ceadúnais a áireamh.
2.2 Íoslódáil Leabharlann Tiomsaithe (Cuir Ceist)
Íoslódáil na leabharlanna don Aldec Active-HDL agus an Aldec Riviera-PRO ón Micrishlis websuíomh.
2.3 Run.do a thiontú le haghaidh insamhalta Aldec (Cuir Ceist)
An rith.do files ginte ag Libero SoC le haghaidh insamhaltaí ag baint úsáide as an uirlis Active-HDL agus Riviera-Pro a úsáid le haghaidh insamhaltaí ag baint úsáide as Active-HDL agus Riviera-Pro le hathrú amháin. Liostaíonn an tábla seo a leanas na horduithe Aldec-coibhéis le mionathrú sa ModelSim run.do file.
Tábla 2-1. Orduithe Coibhéis Aldec

MúnlaSim Gníomhach-HDL
vlog logh
vcom accom
vlib ailib
vsim asim
vmap amap

Seo a leanas marample run.do a bhaineann le insamhlóirí Aldec.

  1. Socraigh suíomh an eolaire oibre reatha.
    leagtar dsn
  2. Socraigh ainm leabharlainne oibre, mapáil a suíomh, agus ansin mapáil suíomh theaghlach Micrishlis FPGA
    leabharlanna réamhthiomsaithe (le haghaidh seanample, SmartFusion2) ar a bhfuil tú ag rith do dhearadh.
    alib presynth
    amap presynth presynth
    Íosluchtaigh SmartFusion2
  3. Déan an HDL riachtanach go léir a thiomsú files a úsáidtear sa dearadh leis an leabharlann riachtanach.
    alog –work presynth temp.v (do Verilog)
    alog –work presynth testbench.v
    acom –work presynth temp.vhd (do Vhdl)
    acom –work presynth testbench.vhd
  4. Insamhail an dearadh.
    asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
    rith 10us

2.4 Saincheisteanna Aitheanta (Cuir Ceist)
Liostaíonn an chuid seo na saincheisteanna agus na teorainneacha atá ar eolas.

  • Tá leabharlanna a chuirtear le chéile ag baint úsáide as Riviera-PRO sainiúil don ardán (.i. ní féidir leabharlanna 64-giotán a rith ar ardán 32-giotán agus a mhalairt).
  • Le haghaidh dearaí ina bhfuil SERDES/MDDR/FDDR, bain úsáid as an rogha seo a leanas i do run.do files agus iad ag rith insamhaltaí tar éis dóibh a gcuid dearaí a thiomsú:
    – Gníomhach-HDL: asim –o2
    – Riviera-PRO: asim –O2 (le haghaidh insamhaltaí presynth agus iar-leagan amach) agus asim –O5 (le haghaidh insamhaltaí iar-leagan amach)
    Tá na SARanna seo a leanas ar feitheamh ag socrú Aldec do Active-HDL agus Riviera-Pro. Le haghaidh tuilleadh eolais, déan teagmháil le Tacaíocht Theicniúil Micrishlis.
  • SAR 49908 - Active-HDL: Earráid VHDL le haghaidh insamhaltaí bloc Mata
  • SAR 50627 – Riviera-PRO 2013.02: Earráidí ionsamhlúcháin le haghaidh dearaí SERDES
  • SAR 50461 – Riviera-PRO: rogha asim -O2/-O5 in insamhaltaí

Socrú Cadence Incisive (Cuir Ceist)

Ní mór duit script a chruthú file cosúil leis an run.do ModelSim ME/ModelSim Pro ME chun an
Insamhlóir Cadence Incisive. Lean na céimeanna seo agus cruthaigh script file le haghaidh NCSim nó bain úsáid as an script file
ar fáil chun an run.do ModelSim ME/ModelSim Pro ME a thiontú files isteach sa chumraíocht files
ag teastáil chun na insamhaltaí a rith ag baint úsáide as NCSim.
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Cadence tá deireadh curtha aige le leaganacha nua den Incisive Enterprise a scaoileadh
insamhlóir agus thosaigh sé ag tacú le Insamhlóir Xcelium.

3.1 Athróga Comhshaoil ​​(Cuir Ceist)
Chun an t-insamhlóir Cadence Incisive a rith, cumraigh na hathróga timpeallachta seo a leanas:

  1. LM_LICENSE_FILE: ní mór pointeoir don cheadúnas a áireamh file.
  2. cds_root: ní mór pointe a dhéanamh ar shuíomh eolaire baile na Suiteála Cadence Incisive.
  3. PATH: caithfidh sé a bheith dírithe ar shuíomh an bhosca bruscair faoin eolaire uirlisí atá léirithe ag cds_root is é sin,
    $ cds_root/tools/bin/64bit (le haghaidh meaisín 64-giotán agus $ cds_root/tools/bin le haghaidh meaisín 32-giotán).
    Tá trí bhealach ann chun an timpeallacht ionsamhlúcháin a shocrú i gcás lasc idir córais oibriúcháin 64-giotán agus 32-giotán:

Cás 1: PATH Athróg
Rith an t-ordú seo a leanas:
cosán socraithe = (install_dir/tools/bin/64bit $path) le haghaidh meaisíní 64bit agus
cosán socraithe = (install_dir/tools/bin $path) le haghaidh meaisíní 32 giotán
Cás 2: Ag baint úsáide as an -64bit Ordú Líne Rogha
Sa líne ordaithe sonraigh an rogha -64bit chun an inrite 64 giotán a agairt.
Cás 3: Timpeallacht INCA_64BIT nó CDS_AUTO_64BIT Athraitheach a shocrú
Déileáiltear leis an athróg INCA_64BIT mar Boole. Is féidir leat an athróg seo a shocrú d'aon luach nó do theaghrán nialasach.
setenv INCA_64BIT

MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: An Ní chuireann athróg timpeallachta INCA_64BIT isteach ar uirlisí Cadence eile, amhail uirlisí IC. I gcás uirlisí Éisceacha, áfach, sáraíonn an athróg INCA_64BIT an socrú don athróg timpeallachta CDS_AUTO_64BIT. Má tá an athróg timpeallachta INCA_64BIT socraithe, ritheann na huirlisí Incisive go léir i mód 64-giotán. setenv CDS_AUTO_64BIT ÁIREAMH:INCA
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: An ní mór sreang INCA a bheith sa chás uachtair. Ní mór gach inrite a rith i mód 32-giotán nó i mód 64-giotán, ná socraigh an athróg chun inrite amháin a chur san áireamh, mar atá sa mhéid seo a leanas:
setenv CDS_AUTO_64BIT ÁIREAMH:ncelab

Úsáideann uirlisí Cadence eile, mar uirlisí IC, an athróg timpeallachta CDS_AUTO_64BIT freisin chun roghnú na n-earraí inrite 32-giotán nó 64-giotán a rialú. Taispeánann an tábla seo a leanas conas is féidir leat an athróg CDS_AUTO_64BIT a shocrú chun na huirlisí Incisive agus na huirlisí IC a reáchtáil i ngach modh.
Tábla 3-1. Athróga CDS_AUTO_64BIT

CDS_AUTO_64BIT Athróg Uirlisí Éigeantach Uirlisí IC
setenv CDS_AUTO_64BIT UILE 64 giotán 64 giotán
setenv CDS_AUTO_64BIT NONE 32 giotán 32 giotán
setenv CDS_AUTO_64BIT EXCLUDE:ic_dhénártha 64 giotán 32 giotán
setenv CDS_AUTO_64BIT EXCLUDE:INCA 32 giotán 64 giotán

MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Ní mór gach uirlis inrite a rith i mód 32-giotán nó i mód 64-giotán, ná húsáid EXCLUDE chun inrite ar leith a eisiamh, mar a leanas: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Má shocraíonn tú an athróg CDS_AUTO_64BIT chun na huirlisí géarchúiseacha a eisiamh (setenv CDS_AUTO_64BIT EXCLUDE:INCA), ritear na huirlisí géarchúiseacha go léir i mód 32-giotán. Mar sin féin, sáraíonn an rogha-orduithe -64bit an t-athróg timpeallachta.
An chumraíocht seo a leanas files cabhrú leat do shonraí a bhainistiú agus oibriú na n-uirlisí agus na bhfóntas ionsamhlúcháin a rialú:

  • Mapáil leabharlainne file (cds.lib)—Sainmhíníonn sé ainm loighciúil do shuíomh do dhearaidh.
  • Leabharlanna agus comhlachaíonn siad iad le hainmneacha eolaire fisiciúil.
  • Athróga file (hdl.var)—Sainmhíníonn sé athróga a chuireann isteach ar iompar uirlisí agus fóntais ionsamhlúcháin.

3.2 Íoslódáil Leabharlann Tiomsaithe (Cuir Ceist)
Íoslódáil na leabharlanna le haghaidh cadence incisive ó Microsemi's websuíomh.
3.3 An Script NCSim a Chruthú File (Cuir Ceist)
Tar éis cóip den run.do a chruthú files, déan na céimeanna seo chun do insamhalta a rith ag baint úsáide as NCSim:

  1. Cruthaigh cds.lib file a shainíonn na leabharlanna atá inrochtana agus a suíomh. Tá an file ina bhfuil ráitis a mhapálann ainmneacha loighciúla leabharlainne ar a cosáin eolaire fisiciúil. Le haghaidh example, má tá tú ag rith insamhalta presynth, an cds.lib file scríofa mar a thaispeántar sa codeblock seo a leanas.
    DEFINE presynth ./presynth
    DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
    SAINMHÍNIÚ smartfusion2
  2. Cruthaigh hdl.var file, cumraíocht roghnach file ina bhfuil athróga cumraíochta, a chinneann conas a dhéantar do thimpeallacht dearaidh a chumrú. An athróg seo a leanas files san áireamh:
    – Athróga a úsáidtear chun an leabharlann oibre a shonrú ina stórálann an tiomsaitheoir réada tiomsaithe agus sonraí díorthaithe eile.
    – I gcás Verilog, athróga (LIB_MAP, VIEW_MAP, OIBRE) a úsáidtear chun na leabharlanna agus views cuardach a dhéanamh nuair a réitíonn an mionsaothróir cásanna.
    - Athróga a ligeann duit roghanna agus argóintí ordú-líne tiomsaitheora, mionsaothraithe agus ionsamhlóra a shainiú.
    I gcás insamhalta presynth example thaispeántar thuas, abair go bhfuil trí RTL againn files: av, bv, agus testbench.v, a chaithfear a chur le chéile i leabharlanna presynth, COREHBLITE_LIB, agus presynth faoi seach. Tá an hdl.var file is féidir a scríobh mar a thaispeántar sa codeblock seo a leanas.
    DEFINE WORK presynth
    DEFINE PROJECT_DIR files>
    DEFINE LIB_MAP ( $LIB_MAP, ${ PROJECT_DIR}/av => presynth )
    DEFINE LIB_MAP ( $LIB_MAP, ${ PROJECT_DIR}/bv => COREHBLITE_LIB )
    DEFINE LIB_MAP ( $LIB_MAP, ${ PROJECT_DIR}/testbench.v => presynth )
    SAINMHÍNIÚ LIB_MAP ( $LIB_MAP, + => presynth )
  3. Déan an dearadh a thiomsú files ag baint úsáide as rogha ncvlog.
    ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log – nuashonraigh –linedebug av bv testbench.v
  4. Mínigh an dearadh ag baint úsáide as ncelab. Tógann an mionsaothróir ordlathas dearaidh bunaithe ar an bhfaisnéis láithreach agus cumraíochta sa dearadh, bunaíonn sé nascacht chomharthaí, agus ríomhann sé luachanna tosaigh do gach réad sa dearadh. Stóráiltear an t-ordlathas dearaidh mionsaothraithe i ngrianghraf insamhalta, arb é an léiriú ar do dhearadh a úsáideann an t-insamhlóir chun an t-ionsamhlú a rith.
    ncelab –Teachtaireacht –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
    rochtain + rwc -status worklib. :modúl
    Mionsaothrú Le linn ionsamhlúcháin Iar-leagan amach
    I gcás insamhaltaí iar-leagan amach, an SDF ar dtús file Ní mór é a thiomsú roimh mhionsaothrú ag baint úsáide as an ordú ncsdfc.
    ncsdfcfileainm>.sdf –aschurfileainm>.sdf.X
    Le linn mionsaothraithe bain úsáid as an aschur SDF tiomsaithe le rogha -autosdf mar a thaispeántar sa bhlocchód seo a leanas.
    ncelab -autosdf –Teachtaireacht –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
    15 –rochtain + rwc –status worklib. :modúl –sdf_cmd_file ./
    sdf_cmd_file
    An sdf_cmd_file a bheith mar a thaispeántar sa bhlocchód seo a leanas.
    COMPILED_SDF_FILE = “ file>”
  5. Insamhail ag baint úsáide as ncsim. Tar éis mionsaothraithe cruthaítear pictiúr insamhalta, a luchtaítear ag ncsim le haghaidh insamhalta. Is féidir leat rith i mód baisce nó mód GUI.
    ncsim –Teachtaireacht –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log -
    errormax 15 – status lib. :modúl

MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Is féidir na trí chéim thuas de thiomsú, mionsaothrú agus ionsamhlú a chur i script bhlaosc file agus a fuarthas ó líne na n-orduithe. In ionad na trí chéim seo a úsáid, is féidir dearadh a insamhladh in aon chéim amháin ag baint úsáide as rogha ncverilog nó irun mar a thaispeántar sa bhlocchód seo a leanas.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
files a úsáidtear sa dearadh>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
a úsáidtear sa dearadh>

3.3.1 Saincheisteanna Aitheanta (Cuir Ceist)
Workaround Testbench
Trí úsáid a bhaint as an ráiteas seo a leanas chun an minicíocht clog a shonrú sa bhinse tástála a ghineann an t-úsáideoir, nó ní oibríonn an binse tástála réamhshocraithe a ghineann Libero SoC le NCSim.
i gcónaí @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Athraigh mar seo a leanas chun insamhalta a rith:
i gcónaí #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Tiomsaithe tá leabharlanna do NCSim sainiúil don ardán (ie níl leabharlanna 64 giotán comhoiriúnach leis an ardán 32 giotán agus vice versa).
Insamhaltaí Postsynth agus Iar-leagan amach ag Úsáid MSS agus SERDES Agus ionsamhlúcháin postsynth de dhearaí ina bhfuil an bloc MSS nó insamhaltaí iar-leagan amach na ndearaí ag úsáid SERDES á rith, ní oibríonn na insamhaltaí BFM má tá an rogha -libmap
nach bhfuil sonraithe le linn mionsaothraithe. Is é an fáth atá leis seo ná go réitítear MSS ón leabharlann oibre le linn mionsaothraithe (toisc gur postsynth/iarbhleagan amach an worklib) áit nach bhfuil ann ach Feidhm Sheasta.
Ní mór an t-ordú ncelab a scríobh mar a thaispeántar sa bhloc cód seo a leanas chun an MSS a réiteach
bloc ón leabharlann réamhdhéanta SmartFusion2.

ncelab -libmap lib.map -libverbose -Message -access +rwc cfg1
agus an lib.mapa file ní mór a bheith mar seo a leanas:
cumraíocht cfg1;
dearadh ;
liblist réamhshocraithe smartfusion2 ;
críochconfig
Réitíonn sé seo aon chill i leabharlann SmartFusion2 sula mbreathnaíonn sé sa leabharlann oibre .i. postsynth/ iar-leagan amach.
Is féidir an rogha -libmap a úsáid de réir réamhshocraithe le linn mionsaothraithe le haghaidh gach ionsamhlúcháin (presynth, postsynth, agus post-layout). Seachnaíonn sé seo saincheisteanna ionsamhlúcháin a eascraíonn de bharr cásanna a réiteach ó leabharlanna.
ncelab: *F, INTERR: EISCEACHT INMHEÁNACH
Is caveat é an eisceacht uirlis ncelab seo do dhearaí ina bhfuil FDDR i SmartFusion 2 agus IGLOO 2 le linn insamhaltaí postsynth agus iar-leagan amach ag baint úsáide as rogha -libmap.
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Tuairiscíodh an cheist seo don fhoireann tacaíochta Cadence (SAR 52113).

3.4 Sample Tcl agus Shell Script Files (Cuir Ceist)
Seo a leanas files iad an chumraíocht files atá ag teastáil chun an dearadh agus an script bhlaosc a shocrú file chun orduithe NCSim a rith.
Cds.lib
NE smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
DEFINE presynth ./presynth

Hdl.var
DEFINE WORK presynth
DEFINE PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => láithreoir )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
SAINMHÍNIÚ LIB_MAP ( $LIB_MAP, + => presynth )
orduithe.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-work presynth -logfile ncelab.log -errormax 15 -access + rwc -status presynth.testbench:modúl
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:modúl

3.5. XNUMX Uathoibriú (Cuir Ceist)
An script seo a leanas file athraíonn an run.do ModelSim files isteach cumraíocht files ag teastáil chun insamhaltaí a rith ag baint úsáide as NCSim.
Script File Úsáid
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Leabharlanna_réamhthiomsaithe

Cadence_parser.pl
#!/usr/bin/perl -w

######################################## ###################################
################
#Úsáid: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#

######################################## ###################################
################
úsáid POSIX;
bain úsáid as dian;
mo ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
fo questa_parsálaí {
mo $ModelSim_run_do = $_[0];
mo $actel_family = $_[1];
mo $lib_location = $_[2];
mo stát;
má ( -e “$ ModelSim_run_do”)
{
oscailte (INFILE,”$ModelSim_run_do”);
mo @ModelSim_run_do =FILE>;
mo $líne;
má ( $ ModelSim_run_do = ~ m / (presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
oscailte (AMACHFILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ($ ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
oscailte (AMACHFILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/ (postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
oscailte (AMACHFILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} eile
{
priontáil “Ionchuir Mhícheart tugtha do na file\n";
priontáil “#Usage: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Leabharlanna_suíomh\"\n";
}
foreach $line (@ModelSim_run_do)
{
#Oibríochtaí Ginearálta
$line =~ s/..\/designer.*insamhlú\///g;
$line =~ s/$state/$state\_questa/g;
#Priontáil amachFILE “$líne\n”;
má ($ líne =~ m/vmap\s+.*($actel_family)/)
{
Priontáil amachFILE “vmap $actel_family \"$lib_location\"\n";
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
Priontáil amachFILE “$líne\n”;
} elsif ($ líne =~ m/vsim/)
{
$ líne =~ s/vsim/vsim -novopt/g;
Priontáil amachFILE “$líne\n”;
} eile
{
Priontáil amachFILE “$líne\n”;
}
}
dún(INFILE);
dhúnadh amachFILE);
} eile {
priontáil “Níl $ModelSim_run_do ann. Athrith an t-ionsamhlú arís\n";
}
}

Socrú Cadence Xcelium (Logáil isteach Micrishlis)

Ní mór duit script a chruthú file cosúil leis an run.do ModelSim ME/ModelSim Pro ME chun an t-insamhlóir Cadence Xcelium a rith. Lean na céimeanna seo agus cruthaigh script file le haghaidh Xcelium nó bain úsáid as an script file ar fáil chun an run.do ModelSim ME/ModelSim Pro ME a thiontú files isteach sa chumraíocht files ag teastáil chun insamhaltaí a rith ag baint úsáide as Xcelium.
4.1 Athróga Comhshaoil ​​(Cuir Ceist)
Chun an Cadence Xcelium a rith, cumraigh na hathróga timpeallachta seo a leanas:

  1. LM_LICENSE_FILE: ní mór pointeoir don cheadúnas a áireamh file.
  2. cds_root: ní mór pointe a chur ar an suíomh eolaire baile de Shuiteáil Ghéarchúiseach Cadence.
  3. PATH: ní mór dó a bheith dírithe ar shuíomh an bhosca bruscair faoin eolaire uirlisí arna lua ag cds_root (ie
    $ cds_root/tools/bin/64bit (le haghaidh meaisín 64 giotán agus $ cds_root/tools/bin le haghaidh 32 giotán
    meaisín).

Tá trí bhealach ann chun an timpeallacht ionsamhlúcháin a shocrú i gcás lasc idir córais oibriúcháin 64-giotán agus 32-giotán:
Cás 1: PATH Athróg
cosán socraithe = (install_dir/tools/bin/64bit $path) le haghaidh meaisíní 64bit agus
cosán socraithe = (install_dir/tools/bin $path) le haghaidh meaisíní 32 giotán
Cás 2: Ag baint úsáide as an -64bit Ordú Líne Rogha
Sa líne ordaithe sonraigh an rogha -64bit chun an inrite 64-giotán a agairt.
Cás 3: Timpeallacht INCA_64BIT nó CDS_AUTO_64BIT Athraitheach a shocrú
Déileáiltear leis an athróg INCA_64BIT mar Boole. Is féidir leat an athróg seo a shocrú d'aon luach nó go neamhní
teaghrán.
setenv INCA_64BIT

MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: An Ní chuireann athróg timpeallachta INCA_64BIT isteach ar uirlisí Cadence eile, amhail uirlisí IC. I gcás uirlisí Éisceacha, áfach, sáraíonn an athróg INCA_64BIT an socrú don athróg timpeallachta CDS_AUTO_64BIT. Má tá an t-athróg timpeallachta INCA_64BIT et, ritheann gach uirlis Incisive i mód 64-giotán.
setenv CDS_AUTO_64BIT ÁIREAMH:INCA
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: An ní mór sreang INCA a bheith sa chás uachtair. Ní mór gach inrite a rith i mód 2-giotán nó i mód 64-giotán, ná socraigh an athróg chun inrite amháin a chur san áireamh, mar atá sa mhéid seo a leanas:
setenv CDS_AUTO_64BIT ÁIREAMH:ncelab
Úsáideann uirlisí Cadence eile, mar uirlisí IC, an athróg timpeallachta CDS_AUTO_64BIT freisin chun roghnú na n-earraí inrite 32-giotán nó 64-giotán a rialú. Taispeánann an tábla seo a leanas conas is féidir leat an athróg CDS_AUTO_64BIT a shocrú chun na huirlisí Incisive agus na huirlisí IC a reáchtáil i ngach modh.

Tábla 4-1. Athróga CDS_AUTO_64BIT

CDS_AUTO_64BIT Athróg Uirlisí Éigeantach Uirlisí IC
setenv CDS_AUTO_64BIT UILE 64-giotán 64-giotán
setenv CDS_AUTO_64BIT NONE 32-giotán 32-giotán
setenv CDS_AUTO_64BIT
EXCLUDE: ic_dénártha
64-giotán 32-giotán
setenv CDS_AUTO_64BIT EXCLUDE:INCA 32-giotán 64-giotán

MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Ní mór gach uirlis inrite a rith i mód 32-giotán nó i mód 64-giotán, ná húsáid EXCLUDE chun inrite ar leith a eisiamh, mar atá sa mhéid seo a leanas:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Má shocraíonn tú an athróg CDS_AUTO_64BIT chun na huirlisí géarchúiseacha a eisiamh (setenv
CDS_AUTO_64BIT EXCLUDE:INCA), reáchtáiltear na huirlisí géarchúiseacha go léir i mód 32-giotán. Mar sin féin, tá an
Sáraíonn rogha na n-orduithe -64bit an t-athróg timpeallachta.
An chumraíocht seo a leanas files cabhrú leat do shonraí a bhainistiú agus oibriú na n-uirlisí agus na bhfóntas ionsamhlúcháin a rialú:

  • Mapáil leabharlainne file (cds.lib) sainmhíníonn sé ainm loighciúil do shuíomh do dhearaidh.
  • Leabharlanna agus comhlachaíonn siad iad le hainmneacha eolaire fisiciúil.
  • Athróga file (hdl.var) sainmhínítear athróga a théann i bhfeidhm ar iompar uirlisí agus fóntais ionsamhlúcháin.

4.2 Íoslódáil Leabharlann Tiomsaithe (Cuir Ceist)
Íoslódáil na leabharlanna do cadence xcelium ó microsemi's websuíomh.
4.3 An script Xcelium a chruthú file (Cuir Ceist)
Tar éis cóip den run.do a chruthú files, déan na céimeanna seo a leanas chun do insamhalta a rith ag baint úsáide as script Xcelium file.

  1. Cruthaigh cds.lib file a shainíonn na leabharlanna atá inrochtana agus an áit a bhfuil siad lonnaithe.
    Tá an file ina bhfuil ráitis a mhapálann ainmneacha loighciúla leabharlainne ar a cosáin eolaire fisiciúil. Le haghaidh example, má tá tú ag rith insamhalta presynth, an cds.lib file is féidir a scríobh mar a thaispeántar sa codeblock seo a leanas.
    DEFINE presynth ./presynth
    DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
    SAINMHÍNIÚ smartfusion2
  2. Cruthaigh hdl.var file atá ina chumraíocht roghnach file ina bhfuil athróga cumraíochta, a chinneann conas a dhéantar do thimpeallacht dearaidh a chumrú. Ina measc seo tá:
    – Athróga a úsáidtear chun an leabharlann oibre a shonrú ina stórálann an tiomsaitheoir réada tiomsaithe agus sonraí díorthaithe eile.
    – I gcás Verilog, athróga (LIB_MAP, VIEW_MAP, OIBRE) a úsáidtear chun na leabharlanna agus views cuardach a dhéanamh nuair a réitíonn an mionsaothróir cásanna.
    - Athróga a ligeann duit roghanna agus argóintí ordú-líne tiomsaitheora, mionsaothraithe agus ionsamhlóra a shainiú.
    I gcás insamhalta presynth example thaispeántar thuas, abair go bhfuil 3 RTL againn files av, bv, agus testbench.v, a chaithfear a chur le chéile i leabharlanna presynth, COREHBLITE_LIB, agus presynth faoi seach. Tá an hdl.var file is féidir a scríobh mar a thaispeántar sa codeblock seo a leanas.
    DEFINE WORK presynth
    DEFINE PROJECT_DIR files>
    DEFINE LIB_MAP ( $LIB_MAP, ${ PROJECT_DIR}/av => presynth )
    DEFINE LIB_MAP ( $LIB_MAP, ${ PROJECT_DIR}/bv => COREHBLITE_LIB )
    DEFINE LIB_MAP ( $LIB_MAP, ${ PROJECT_DIR}/testbench.v => presynth )
    SAINMHÍNIÚ LIB_MAP ( $LIB_MAP, + => presynth )
  3. Déan an dearadh a thiomsú files ag baint úsáide as rogha ncvlog.
    xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log – nuashonraigh –linedebug av bv testbench.v
  4. Mínigh an dearadh ag baint úsáide as ncelab. Tógann an mionsaothróir ordlathas dearaidh bunaithe ar an bhfaisnéis láithreach agus cumraíochta sa dearadh, bunaíonn sé nascacht chomharthaí, agus ríomhann sé luachanna tosaigh do gach réad sa dearadh. Stóráiltear an t-ordlathas dearaidh mionsaothraithe i ngrianghraf insamhalta, arb é an léiriú ar do dhearadh a úsáideann an t-insamhlóir chun an t-ionsamhlú a rith.
    Xcelium –Teachtaireacht –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
    rochtain + rwc -status worklib. :modúl
    Mionsaothrú Le linn ionsamhlúcháin Iar-leagan amach
    I gcás insamhaltaí iar-leagan amach, an SDF ar dtús file Ní mór é a thiomsú roimh mhionsaothrú ag baint úsáide as an ordú ncsdfc.
    Xceliumfileainm>.sdf –aschurfileainm>.sdf.X
    Le linn mionsaothraithe bain úsáid as an aschur SDF tiomsaithe le rogha -autosdf mar a thaispeántar sa bhlocchód seo a leanas.
    xmelab -autosdf –Teachtaireacht –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
    15 –rochtain + rwc –status worklib. :modúl –sdf_cmd_file ./
    sdf_cmd_file
    An sdf_cmd_file a bheith mar a thaispeántar sa bhlocchód seo a leanas.
    COMPILED_SDF_FILE = “ file>”
  5. Insamhail ag baint úsáide as Xcelium. Tar éis mionsaothraithe cruthaítear pictiúr insamhalta a luchtaítear ag Xcelium le haghaidh insamhalta. Is féidir é seo a rith i mód baisce nó i mód GUI.
    xmsim –Teachtaireacht –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log -
    errormax 15 – status lib. :modúl
    Cadence Socrú Xcelium
    MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Gach is féidir na trí chéim thuas de thiomsú, mionsaothrú agus ionsamhlú a chur isteach i script bhlaosc file agus a fuarthas ó líne na n-orduithe. In ionad na trí chéim seo a úsáid, is féidir dearadh a insamhladh i gcéim amháin ag baint úsáide as rogha ncverilog nó xrun mar a thaispeántar sa bhlocchód seo a leanas.
    xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
    files a úsáidtear sa dearadh>
    xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
    a úsáidtear sa dearadh>

4.3.1 Saincheisteanna Aitheanta (Cuir Ceist)
Workaround Testbench
Ag baint úsáide as an ráiteas seo a leanas chun minicíocht clog a shonrú sa bhinse tástála arna ghiniúint ag an úsáideoir nó ar an mbinse tástála réamhshocraithe ginte ag Libero SoC, ní oibríonn sé le Xcelium.
i gcónaí @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Athraigh mar seo a leanas chun insamhalta a rith:
i gcónaí #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;

MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Tá na leabharlanna tiomsaithe do Xcelium sainiúil don ardán (ie níl leabharlanna 64 giotán comhoiriúnach le hardán 32 giotán agus vice versa).
Insamhaltaí Postsynth agus Iar-leagan amach ag baint úsáide as MSS agus SERDES
Agus ionsamhlúcháin postsynth de dhearaí ina bhfuil bloc MSS á rith, nó insamhaltaí iar-leagan amach de dhearaí ag baint úsáide as SERDES, ní oibríonn na insamhaltaí BFM mura sonraítear an rogha -libmap le linn mionsaothraithe. Is é an fáth atá leis seo ná go réitítear MSS ón leabharlann oibre le linn mionsaothraithe (toisc gur postsynth/iarbhleagan amach an worklib) áit nach bhfuil ann ach Feidhm Sheasta.
Ní mór an t-ordú ncelab a scríobh mar a thaispeántar sa bhloc cód seo a leanas chun an bloc MSS a réiteach ón leabharlann réamhdhéanta SmartFusion2.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
agus an lib.mapa file ní mór a bheith mar seo a leanas:
cumraíocht cfg1;
dearadh ;
liblist réamhshocraithe smartfusion2 ;
críochconfig
Caithfidh sé seo aon chill i leabharlann SmartFusion2 a réiteach sula mbreathnaíonn sé sa leabharlann oibre .i. postsynth/post-layout.
Is féidir an rogha -libmap a úsáid de réir réamhshocraithe le linn mionsaothraithe do gach ionsamhlú (presynth, postsynth agus iar-leagan amach). Seachnaíonn sé seo saincheisteanna ionsamhlúcháin a eascraíonn de bharr cásanna a réiteach ó leabharlanna.
xmelab: *F, INTERR: EISCEACHT INMHEÁNACH
Is caveat é an eisceacht uirlis ncelab seo do dhearaí ina bhfuil FDDR in SmartFusion2 agus IGLOO2
le linn insamhaltaí postsynth agus iar-leagan amach ag baint úsáide as rogha -libmap.
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Tuairiscíodh an cheist seo don fhoireann tacaíochta Cadence (SAR 52113).

4.4 Sample Tcl agus script bhlaosc files (Cuir Ceist)
Seo a leanas files iad an chumraíocht files atá ag teastáil chun an dearadh agus an script bhlaosc a shocrú file chun orduithe Xcelium a rith.
Cds.lib
Sainmhínigh smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
DEFINE presynth ./presynth
Hdl.var
DEFINE WORK presynth
DEFINE PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => láithreoir )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
SAINMHÍNIÚ LIB_MAP ( $LIB_MAP, + => presynth )
orduithe.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-work presynth -logfile ncelab.log -errormax 15 -access + rwc -status presynth.testbench:modúl
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:modúl

4.5. XNUMX Uathoibriú (Logáil isteach Micrishlis)
An script seo a leanas file athraíonn ModelSim run.do files isteach cumraíocht files ag teastáil chun insamhaltaí a rith ag baint úsáide as Xcelium.
Script File Úsáid
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Leabharlanna_réamhthiomsaithe
Cadence_parser.pl
#!/usr/bin/perl -w

######################################## ###################################
################
#Úsáid: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#

######################################## ###################################
################
úsáid POSIX;
bain úsáid as dian;
mo ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);

&questa_parser($postlayout, $family, $lib_location);
fo questa_parsálaí {
mo $ModelSim_run_do = $_[0];
mo $actel_family = $_[1];
mo $lib_location = $_[2];
mo stát;
má ( -e “$ ModelSim_run_do”)
{
oscailte (INFILE,”$ModelSim_run_do”);
mo @ModelSim_run_do =FILE>;
mo $líne;
má ( $ ModelSim_run_do = ~ m / (presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
oscailte (AMACHFILE,”>QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ($ ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
oscailte (AMACHFILE,”>QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ModelSim_run_do =~ m/ (postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
oscailte (AMACHFILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} eile
{
priontáil “Ionchuir Mhícheart tugtha do na file\n";
priontáil “#Usage: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Leabharlanna_suíomh\"\n";
}
foreach $line (@ModelSim_run_do)
{
#Oibríochtaí Ginearálta
$line =~ s/..\/designer.*insamhlú\///g;
$line =~ s/$state/$state\_questa/g;
#Priontáil amachFILE “$líne\n”;
má ($ líne =~ m/vmap\s+.*($actel_family)/)
{
Priontáil amachFILE “vmap $actel_family \"$lib_location\"\n";
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
Priontáil amachFILE “$líne\n”;
} elsif ($ líne =~ m/vsim/)
{
$ líne =~ s/vsim/vsim -novopt/g;
Priontáil amachFILE “$líne\n”;
} eile
{
Priontáil amachFILE “$líne\n”;
}
}
dún(INFILE);
dhúnadh amachFILE);
} eile {
priontáil “Níl $ModelSim_run_do ann. Athrith an t-ionsamhlú arís\n";
}
}

Socrú Siemens QuestaSim/Socrú ModelSim (Cuir Ceist)

An rith.do fileIs féidir s, ginte ag an Libero SoC le haghaidh insamhaltaí ag baint úsáide as na ModelSim Microsemi Editions, a úsáid le haghaidh insamhaltaí ag baint úsáide as an QuestaSim/ModelSim SE/DE/PE le hathrú amháin. Sa ModelSim ME/ModelSim Pro ME run.do file, ní mór suíomh na leabharlann réamh- thiomsaithe a mhodhnú.
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: 
De réir réamhshocraithe, déanann an uirlis insamhalta seachas an ModelSim Pro ME leas iomlán a bhaint as dearadh le linn insamhalta a d'fhéadfadh tionchar a bheith aige ar an infheictheacht i ndéantúsáin ionsamhlúcháin mar rudaí dearaidh agus spreagadh ionchuir.
Cabhraíonn sé seo go hiondúil le ham rite ionsamhlúcháin a laghdú do na insamhaltaí casta, ag baint úsáide as binsí tástála féinseiceála briathartha. Mar sin féin, b'fhéidir nach mbeadh na leas iomlán a bhaint réamhshocraithe oiriúnach do gach ionsamhlúchán, go háirithe i gcásanna ina bhfuil tú ag súil le hiniúchadh grafach a dhéanamh ar thorthaí an insamhalta ag baint úsáide as an bhfuinneog tonnta.
Chun aghaidh a thabhairt ar na saincheisteanna is cúis leis an leas iomlán a bhaint seo, ní mór duit orduithe cuí agus argóintí gaolmhara a chur leis le linn insamhalta chun infheictheacht a chur ar ais sa dearadh. Le haghaidh orduithe a bhaineann go sonrach le huirlisí, féach ar dhoiciméadú an ionsamhlóra atá in úsáid.

5.1 Athróga Comhshaoil ​​(Cuir Ceist)
Seo a leanas na hathróga timpeallachta a theastaíonn.

  • LM_LICENSE_FILE: ní mór an cosán chuig an gceadúnas a áireamh file.
  • MODEL_TECH: caithfidh sé an cosán chuig suíomh eolaire baile na suiteála QuestaSim a shainaithint.
  • PATH: caithfidh sé díriú ar an suíomh inrite atá luaite ag MODEL_TECH.

5.2 Run.do a thiontú do Mentor QuestaSim (Cuir Ceist)
An rith.do files ginte ag Libero SoC le haghaidh insamhaltaí ag baint úsáide as ModelSim Microsemi Editions is féidir iad a úsáid le haghaidh insamhaltaí ag baint úsáide as QuestaSim/ModelSim_SE le hathrú amháin.
MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Gach ní mór -novopt a bheith sna dearaí a insamhladh le QuestaSim
rogha chomh maith le ordú vsim sa script run.do files.
5.3 Íoslódáil an Leabharlann Tiomsaithe (Cuir Ceist)
Íoslódáil na leabharlanna le haghaidh Mentor Graphics QuestaSim ó Microsemi's websuíomh.

Socrú VCS Synopsys (Cuir Ceist)

Braitheann an sreabhadh atá molta ag Microsemi ar an sreabhadh Ilchasta agus Tiomsaithe i VCS. Cuimsíonn an doiciméad seo script file a úsáideann an script run.do files ginte ag Libero SoC agus gineann an socrú files ag teastáil le haghaidh ionsamhlúcháin VCS. An script file úsáideann an run.do file seo a leanas a dhéanamh.

  • Cruthaigh léarscáiliú leabharlainne file, a dhéantar ag baint úsáide as an synopsys_sim.setup file lonnaithe san eolaire céanna ina bhfuil insamhalta VCS ar siúl.
  • Cruthaigh script bhlaosc file chun do dhearadh a mhionsaothrú agus a thiomsú ag baint úsáide as VCS.

6.1 Athróga Comhshaoil ​​(Cuir Ceist)
Socraigh na hathróga timpeallachta cuí do VCS bunaithe ar do shocrú. Is iad na hathróga timpeallachta a theastaíonn de réir na ndoiciméad VCS:

  • LM_LICENSE_FILE: ní mór pointeoir chuig an bhfreastalaí ceadúnais a áireamh.
  • VCS_HOME: caithfidh sé a bheith dírithe ar shuíomh eolaire baile na suiteála VCS.
  • PATH: ní mór pointeoir chuig an eolaire boscaí bruscair a chur san áireamh faoin eolaire VCS_HOME.

6.2 Íoslódáil Leabharlann Tiomsaithe (Cuir Ceist)
Íoslódáil na leabharlanna le haghaidh Achoimre VC ó Microsemi's websuíomh.
6.3 Script Insamhladh VCS File (Cuir Ceist)
Tar éis VCS a bhunú agus an dearadh agus an run.do éagsúla a ghiniúint files ó Libero SoC, ní mór duit:

  1. Cruthaigh mapáil na leabharlainne file synopsys_sim.setup; seo file ina bhfuil leideanna maidir le suíomh na leabharlann ar fad a úsáidfidh an dearadh.
    MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon  Tábhachtach: An file ní mór an t-ainm a athrú agus caithfidh sé a bheith suite san eolaire céanna ina bhfuil an t-ionsamhlú ar siúl. Seo seanample haghaidh a leithéid de file le haghaidh insamhalta presynthesis.
    OBAIR > ÉIFEACHT
    SmartFusion2 :
    presynth : ./presynth
    DEOCHANNA : ./oibre
  2. Mínigh an dearadh difriúil files, lena n-áirítear an testbench, ag baint úsáide as an ordú vlogan i VCS. Is féidir na horduithe seo a chur san áireamh i sliogscript file. Ina dhiaidh seo tá seanample na n-orduithe atá ag teastáil chun dearadh a shainítear in rtl.v a mhionsaothrú agus a bhinse tástála sainmhínithe i
    testbench.v.
    vlogan +v2k -work presynth rtl.v
    vlogan +v2k -work presynth testbench.v
  3. Tiomsaigh an dearadh le VCS ag baint úsáide as an ordú seo a leanas.
    vcs –sim_res=1fs presynth.testbench
    Nóta: Tá an ní mór taifeach uainiúcháin an ionsamhlúcháin a shocrú go 1fs le haghaidh ionsamhlúcháin feidhme ceart.
  4. Nuair a bheidh an dearadh curtha le chéile, cuir tús leis an insamhalta ag baint úsáide as an ordú seo a leanas.
    ./simv
  5. Le haghaidh insamhalta cúl-nótáilte, caithfidh an t-ordú VCS a bheith mar a thaispeántar sa bhlocchód seo a leanas.
    vcs postlayout.testbench –sim_res=1fs –sdf max: .
    ainm>: file cosán> –gui –l postlayout.log

6.4 Teorainneacha/Eisceachtaí (Cuir Ceist)
Seo a leanas na teorainneacha/eisceachtaí a bhaineann le socrú VCS Synopsys.

  • Ní féidir insamhaltaí VCS a reáchtáil ach amháin do thionscadail Verilog de Libero SoC. Tá dianriachtanais teanga VHDL ag an Insamhlóir VCS nach gcomhlíonann VHDL uathghinte Libero SoC files.
  • Ní mór go mbeadh ráiteas críochnaithe agat ar bhinse tástála Verilog chun stop a chur leis an ionsamhlúchán aon uair is mian leat.
    MICROCHIP Libero SoC Bogearraí Leabharlainne Insamhladh - icon Tábhachtach: Cathain reáchtáiltear insamhaltaí i mód GUI, is féidir am rite a shonrú sa GUI.

6.5 Sample Tcl agus Shell Script Files (Cuir Ceist)
Déanann an Perl seo a leanas giniúint an synopsys_sim.setup a uathoibriú file chomh maith leis an script bhlaosc comhfhreagrach files ag teastáil chun an dearadh a mhionsaothrú, a thiomsú agus a insamhladh.
Má úsáideann an dearadh MSS, cóipeáil an test.vec file atá suite i bhfillteán insamhalta an tionscadail Libero SoC isteach i bhfillteán insamhalta VCS. Tá sample run.do files ginte ag Libero SoC, lena n-áirítear an léarscáiliú leabharlainne comhfhreagrach agus script bhlaosc files ag teastáil le haghaidh ionsamhlúcháin VCS.
6.5.1 Réamhshintéis (Cuir Ceist)
Presynth_run.do
socraigh go ciúin ACTELLIBNAME SmartFusion2
socraigh go ciúin PROJECT_DIR “/sqa/users/me/VCS_Tests/Test_DFF”
dá {[file ann presynth/_info]} {
macalla “INFO: Tá presynth leabharlainne insamhalta ann cheana féin”
} eile {
vlib presynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” - work presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
cuir tonn /SD1_TB1/* leis
cuir log -r /* leis
rith 1000ns
presynth_main.csh
#!/bin/csh -f
socraigh PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k - work presynth “${PROJECT_DIR}/component/
obair/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l rith.log
Synopsys_sim.socrú
OBAIR > ROINNT
SmartFusion2 : /VCS/SmartFusion2
presynth : ./presynth
DEOCHANNA : ./oibre

6.5.2 Iar-shintéis (Cuir Ceist)
postsynth_run.do
socraigh go ciúin ACTELLIBNAME SmartFusion2
socraigh go ciúin PROJECT_DIR “/sqa/users/Me/VCS_Tests/Test_DFF”
dá {[file postsynth/_info ann]} {
macalla “INFO: Tá postsynth leabharlainne insamhalta ann cheana féin”
} eile {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2"
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
vlog “+incdir+${PROJECT_DIR}/stimulus” - postsynth oibre “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
cuir tonn /SD1_TB1/* leis
cuir log -r /* leis
rith 1000ns
logáil SD1_TB1/*
scoir
Postsynth_main.csh
#!/bin/csh -f
socraigh PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k - postsynth oibre “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l rith.log
Synopsys_sim.socrú
OBAIR > ROINNT
SmartFusion2 : /VCS/SmartFusion2
postsynth : ./postsynth
DEOCHANNA : ./oibre
6.5.3 Iar-leagan amach (Cuir Ceist)
postlayout_run.do
socraigh go ciúin ACTELLIBNAME SmartFusion2
socraigh go ciúin PROJECT_DIR “E:/ModelSim_Work/Test_DFF”
dá {[file ann. ../designer/SD1/simulation/postlayout/_info]} {
macalla “INFO: leabharlann ionsamhlúcháin ../designer/SD1/simulation/postlayout ann cheana”
} eile {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2"
vlog -work postlayout “${PROJECT_DIR}/designer/SD1/SD1_ba.v”
vlog “+incdir+${PROJECT_DIR}/spreagadh” - leagan amach na hoibre “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postleagan amach -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
cuir tonn /SD1_TB1/* leis
cuir log -r /* leis
rith 1000ns
Postlayout_main.csh
#!/bin/csh -f
socraigh PROJECT_DIR = “/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postlayout “${PROJECT_DIR}/
dearthóir/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -work
postleagan amach “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf

max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l rith.log
Synopsys_sim.socrú
OBAIR > ROINNT
SmartFusion2 : /VCS/SmartFusion2
postlayout : ./postlayout
DEOCHANNA : ./workVCS
6.6. XNUMX Uathoibriú (Cuir Ceist)
Is féidir an sreabhadh a uathoibriú ag baint úsáide as an script Perl seo a leanas file chun an run.do ModelSim a thiontú files isteach i script bhlaosc comhoiriúnach le VCS files, cruthaigh eolairí cearta taobh istigh den eolaire insamhalta Libero SoC, agus ansin rith insamhaltaí.
Rith an script file ag baint úsáide as an chomhréir seo a leanas.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
######################################## ########################
#
#Úsáid: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
######################################## #########################
mo ($presynth, $postsynth, $postlayout) = @ARGV;
if(system("mkdir VCS_Presynth")) {print "Theip ar mkdir:\n";}
if(system("mkdir VCS_Postsynth")) {print "Theip ar mkdir:\n";}
if(system("mkdir VCS_Postlayout")) {print "Theip ar mkdir:\n";}
chdir(VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,"presynth");
chdir (“../”);
chdir(VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,"postsynth");
chdir (“../”);
chdir(VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout, “postlayout”);
chdir (“../”);
fo pharsáil_do {
mo $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k” ;
mo %LIB = ();
mo $file = $_[0] ;
mo $state = $_[1];
oscailte(INFILE,”$file”) || bás “Ní féidir a oscailt File Seans gurb é an chúis atá leis ná:$!”;
má ( $state eq “presynth”)
{
oscailte(OUT1,”>presynth_main.csh”) || bás “Ní féidir Ordú a chruthú File Seans gurb é an chúis atá leis ná:$!”;
}
elsif ( $state eq “postsynth”)
{
oscailte(OUT1,”>postsynth_main.csh”) || bás “Ní féidir Ordú a chruthú File Seans gurb é an chúis atá leis ná:$!”;
}
elsif ( $state eq “postlayout”)
{
oscailte(OUT1,”>postlayout_main.csh”) || bás “Ní féidir Ordú a chruthú File Seans gurb é an chúis atá leis ná:$!”;
}
eile
{
priontáil “Stát Insamhladh in easnamh \n” ;
}
oscailte(OUT2,”>synopsys_sim.setup”) || bás “Ní féidir Ordú a chruthú File Seans gurb é an chúis atá leis ná:$!”;
# .csh file
priontáil OUT1 “#!/bin/csh -f\n\n\n” ;
#SET UP FILE
priontáil OUT2 “OIBRE > Réamhshocrú\n” ;
priontáil OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
agus ($ líne =FILE>)
{

Socrú VCS Achoimre

má ($ líne =~ m/socraithe go ciúin PROJECT_DIR\s+\"(.*?)\"/)
{
priontáil OUT1 "socraigh PROJECT_DIR = \"$1\"\n\n\n" ;
}
elsif ( $ líne = ~ m/vlog.* \.v \ ”/ )
{
má ($ líne =~ m/\s+(\w*?)\_LIB/)
{
#print “ \$1 =$1 \n” ;
$temp = "$1"." _LIB";
#print "Temp = $temp \n" ;
$LIB{$temp}++;
}
chomp($líne);
$line =~ s/^vlog/$vlog/ ;
$líne =~ s/ //g;
priontáil OUT1 “$line\n”;
}
elsif ( ($ líne =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb);
#print "Ainm TB : $tb \n";
más rud é ( $ líne = ~ m/sdf(.*) \.sdf/)
{
chomp($líne);
$line = $1 ;
#print “LINE : $line \n” ;
má ($ líne =~ m/uas/)
{
$líne =~ s/uas \/// ;
$líne =~ s/=/:/;
priontáil OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max:$tb.$line.sdf -l compile.log\n" ;
}
elsif ($líne =~ m/nóim/)
{
$líne =~ s/nóiméad \/// ;
$líne =~ s/=/:/;
priontáil OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
nóim:$tb.$line.sdf -l compile.log\n" ;
}
elsif ($líne =~ m/typ/)
{
$líne =~ s/typ \/// ;
$líne =~ s/=/:/;
priontáil OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
cineál:$tb.$line.sdf -l compile.log\n" ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — Formáid ModelSim SDF
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -VCS
Formáid SDF
}
}
}
cló
OUT1 "\n\n"
;
if
( $state eq “presynth”
)
{
cló
OUT2 “presynth
: ./presynth\n"
;
cló
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
tiomsaigh.log\n"
;
}
eisif
( $state eq “postsynth”
)
{
cló
OUT2 “postsynth
: ./postsynth\n"
;
cló
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
tiomsaigh.log\n"
;
}
eisif
( $state eq “postlayout”
)
{
priontáil OUT2 “postlayout : ./postlayout\n” ;
}
eile
{
priontáil “Stát Insamhladh in easnamh \n” ;
}
foreach $i ( eochracha %LIB)
{
#print “Eochair : $i Luach : $LIB{$i} \n” ;
priontáil OUT2 “$i : ./$i\n” ;
}
priontáil OUT1 “\n\n” ;
priontáil OUT1 “./simv -l run.log\n” ;
priontáil OUT2 “DEFAULT : ./work\n” ;
dún INFILE;
dún OUT1;
dún OUT2;
}

Stair Athbhreithnithe (Logáil isteach Micrishlis

Déanann an stair athbhreithnithe cur síos ar na hathruithe a cuireadh i bhfeidhm sa doiciméad. Na hathruithe
liostaithe de réir athbhreithnithe, ag tosú leis an bhfoilseachán is déanaí.

Athbhreithniú Dáta Cur síos
A 12/2023 Déantar na hathruithe seo a leanas san athbhreithniú seo:
• Tiontaíodh an doiciméad go teimpléad Micrishlis. Athbhreithniú Tosaigh.
• Alt 5 nuashonraithe. Socrú Siemens QuestaSim/Socrú ModelSim chun nóta nua a chur san áireamh a mhíníonn an tionchar ar infheictheacht le linn ionsamhlúcháin agus optamaithe.

Micrishlis Tacaíocht FPGA
Tacaíonn grúpa táirgí FPGA micrishlis a chuid táirgí le seirbhísí tacaíochta éagsúla, lena n-áirítear Seirbhís do Chustaiméirí, Ionad Tacaíochta Teicniúla do Chustaiméirí, a websuíomh, agus oifigí díolacháin ar fud an domhain.
Moltar do chustaiméirí cuairt a thabhairt ar acmhainní ar líne Micrishlis roimh theagmháil a dhéanamh le tacaíocht mar is dócha gur freagraíodh a gcuid fiosruithe cheana féin.
Déan teagmháil leis an Ionad Tacaíochta Teicniúla tríd an websuíomh ag www.microchip.com/support. Luaigh uimhir Chuid an Ghléis FPGA, roghnaigh catagóir an cháis chuí, agus uaslódáil dearadh files agus cás tacaíochta teicniúla á chruthú.
Déan teagmháil le Seirbhís do Chustaiméirí le haghaidh tacaíochta táirge neamhtheicniúil, amhail praghsáil táirge, uasghrádú táirgí, faisnéis cothrom le dáta, stádas ordú, agus údarú.

  • Ó Mheiriceá Thuaidh, cuir glaoch ar 800.262.1060
  • Ón gcuid eile den domhan, glaoigh ar 650.318.4460
  • Facs, ó áit ar bith ar domhan, 650.318.8044

Eolas Micrishlis
An Mhicrishliseanna Websuíomh
Soláthraíonn micrishlis tacaíocht ar líne trínár websuíomh ag www.microchip.com/. seo webúsáidtear suíomh le déanamh files agus eolas ar fáil go héasca do chustaiméirí. Áirítear le cuid den ábhar atá ar fáil:

  • Tacaíocht Táirge – Bileoga sonraí agus earráidí, nótaí feidhmchláir agus sample cláir, acmhainní deartha, treoracha úsáideora agus doiciméid tacaíochta crua-earraí, eisiúintí bogearraí is déanaí agus bogearraí cartlainne
  • Tacaíocht Theicniúil Ghinearálta – Ceisteanna Coitianta (CCanna), iarratais ar thacaíocht theicniúil, pléghrúpaí ar líne, liostú chomhaltaí an chláir chomhpháirtíochta dearaidh micrishlis
  • Gnó an Mhicrishlis – Roghnóir táirgí agus treoracha ordaithe, na preaseisiúintí is déanaí do Mhicrishlis, liosta de na seimineáir agus imeachtaí, liostaí na n-oifigí díolacháin Micrishlis, dáileoirí agus ionadaithe monarchan

Seirbhís Fógra um Athrú Táirge
Cuidíonn seirbhís fógra athraithe táirge Mhicrishlis do chustaiméirí a choinneáil ar an eolas faoi tháirgí Micrishlis. Gheobhaidh síntiúsóirí fógra ríomhphoist aon uair a bheidh athruithe, nuashonruithe, athbhreithnithe nó earráidí ann a bhaineann le teaghlach táirge sonraithe nó le huirlis shainspéise forbartha.
Chun clárú, téigh go dtí www.microchip.com/pcn agus lean na treoracha clárúcháin.
Tacaíocht do Chustaiméirí
Is féidir le húsáideoirí táirgí Micrishlis cúnamh a fháil trí roinnt bealaí:

  • Dáileoir nó Ionadaí
  • Oifig Díolacháin Áitiúil
  • Innealtóir Réitigh Leabaithe (ESE)
  • Tacaíocht Theicniúil

Ba cheart do chustaiméirí dul i dteagmháil lena dáilitheoir, a n-ionadaí nó ESE le haghaidh tacaíochta. Tá oifigí díolacháin áitiúla ar fáil freisin chun cabhrú le custaiméirí. Tá liosta de na hoifigí agus na láithreacha díolacháin sa doiciméad seo.
Tá tacaíocht theicniúil ar fáil tríd an websuíomh ag: www.microchip.com/support
Feistí Micrishlis Gné Cosanta Cód
Tabhair faoi deara na sonraí seo a leanas maidir le gné cosanta an chóid ar tháirgí Micrishlis:

  • Comhlíonann táirgí micrishlis na sonraíochtaí atá ina mBileog Sonraí Micrishlis ar leith.
  • Creideann micrishlis go bhfuil a theaghlach táirgí slán nuair a úsáidtear iad ar an mbealach atá beartaithe, laistigh de shonraíochtaí oibriúcháin, agus faoi ghnáthchoinníollacha.
  • Luachann micrishlis agus cosnaíonn sé a chearta maoine intleachtúla go tréan. Tá cosc ​​dian ar iarrachtaí chun gnéithe cosanta cód táirge Micrishlis a shárú agus d'fhéadfadh go sáródh siad Acht Cóipchirt na Mílaoise Digiteach.
  • Ní féidir le Micrishlis ná aon mhonaróir leathsheoltóra eile slándáil a chóid a ráthú. Ní chiallaíonn cosaint cód go bhfuilimid ag ráthú go bhfuil an táirge “dobhriste”.
    Tá cosaint cód ag athrú i gcónaí. Tá micrishlis tiomanta d'fheabhsú leanúnach a dhéanamh ar ghnéithe cosanta cód ár dtáirgí.

Fógra Dlíthiúil
Ní féidir an foilseachán seo agus an fhaisnéis anseo a úsáid ach amháin le táirgí Micrishlis, lena n-áirítear chun táirgí Micrishlis a dhearadh, a thástáil agus a chomhtháthú le d'iarratas. Sáraíonn úsáid na faisnéise seo ar aon bhealach eile na téarmaí seo. Ní sholáthraítear faisnéis maidir le feidhmchláir ghléis ach amháin ar mhaithe leatsa agus is féidir nuashonruithe a chur ina n-ionad. Is ortsa atá an fhreagracht a chinntiú go dtagann d’iarratas le do shonraíochtaí. Déan teagmháil le d’oifig áitiúil díolacháin Micrishlis chun tacaíocht bhreise a fháil nó, faigh tacaíocht bhreise ag www.microchip.com/en-us/support/design-help/client-support-services.
SOLÁTHAR AN EOLAS SEO TRÍ MICROCHIP “MAR ATÁ”. NÍ DHÉANANN MICREATHONNACH uiríll nó barántaí ar bith de chineál ar bith, cibé acu SONRAÍODH NÓ INTUIGTHE, I SCRÍOBH NÓ BÉIL, REACHTÚIL NÓ AR EILE, A BHAINEANN LEIS AN bhFAISNÉIS NACH n-áirítear ACH NACH BHFUIL TEORANTA D'AON BARÁNTAS INTUIGTHE FAOI SHAORÁID, RÉITEACHAIS, FAOI SHAOINIÚCHÁN, FAOI CHOMHPHÁIRTÍOCHT, AR FHAISNÉISIÚCHÁN, AGUS AR FHAISNÉISIÚCHÁN. AIDHM, NÓ BARÁNTAÍ A BHAINEANN LEIS A CHOINNÍOLL, A CHÁILÍOCHT, NÓ FEIDHMÍOCHT.
NÍ BHFUIL MICREATHONNACH Dlite ar AON CAILLTEANAS DÍREACH, SPEISIALTA, PIONÓISEACH, TIONCHAIR, DÁMHACHTA, NÓ COSTAS, NÓ COSTAS D'AON CHINEÁL A BHAINEANN LEIS AN bhFAISNÉIS NÓ A ÚSÁID, FAOI BHFUIL SIN A CHUR CHUN CINN, TAR ÉIS AN FHAISNÉIS NÓ A ÚSÁID, TAR ÉIS A DHÉANAMH FAOI CHOINNE. FÉIDIREACHT NÓ TÁ NA DAMÁISTÍ IN AGHAIDH. GO MÉID IOMLÁN A CEADAITHE DON DLÍ, NÍ BHÍONN DLITEANAS IOMLÁN MICROCHIP AR GACH ÉILEAMH AR BHEALACH AR AON A BHAINEANN LEIS AN bhFAISNÉIS NÓ A ÚSÁID NÍ MÓR MÉID NA dTÁILLÍ, MÁS ANN, ACH ÍOCADH TÚ DÍREACH LE MICREATHONNACH DON EOLAS.
Tá úsáid feistí micrishlis in iarratais tacaíochta saoil agus/nó sábháilteachta go hiomlán i mbaol an cheannaitheora, agus aontaíonn an ceannaitheoir Micrishlis neamhdhíobhálach a chosaint, a shlánú agus a choinneáil ar aon agus gach damáiste, éileamh, culaith nó speansais a eascraíonn as úsáid den sórt sin. Ní thugtar aon cheadúnais, go hintuigthe nó ar shlí eile, faoi aon chearta maoine intleachtúla Micrishlis mura luaitear a mhalairt.
Trádmharcanna
Ainm agus lógó an Mhicrishlis, lógó an Mhicrishlis, Adaptec, AVR, lógó AVR, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maxtouch, MediaLB, megaAVR, Microsemi, lógó Microsemi, MOST, lógó MOST, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, lógó PIC32, PolarFire, Dearthóir Prochip, QTouch, SAM-BA, SenGenuity, SpynIC, SST, SST Logo, SuperFlash, Symmetricom Is trádmharcanna cláraithe de Microchip Technology Incorporated i SAM agus i dtíortha eile iad SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, agus XMEGA.
AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, HyperLight Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, lógó ProASIC Plus, Quiet-Wire, SmartFusion, Is trádmharcanna cláraithe de Microchip Technology Incorporated i SAM iad SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime, agus ZL
Sochtadh Eochair In aice leis, AKS, Aois Analógach-don-Digiteach, Aon Toilleoir, AnyIn, AnyOut, Aistriú Méadaithe, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net Meaitseáil , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, Clárú Srathach Inchiorcad, ICSP, INICnet, Comhthreomhar Chliste, IntelliMOS, Nascacht Idir-Sliseanna, JitterBlocker, Knob-on-Taispeáin, KoD, maxCrypto, maxView, memBrain, Mindi, MiWi, MPASM, MPF, lógó deimhnithe MPLAB, MPLIB, MPLINK, MultiTRAK, NetDetach, Giniúint Cód Omniscient, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Srathach Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher,
SuperSwitcher II, Switchtec, SynchroPHY, Seasamh Iomlán, Am Iontaofa, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewIs trádmharcanna de Microchip Technology Incorporated iad Span, WiperLock, XpressConnect, agus ZENA
i SAM agus i dtíortha eile.
Is comhartha seirbhíse é SQTP de chuid Microchip Technology Incorporated i SAM
Is trádmharcanna cláraithe de chuid Microchip Technology Inc. iad lógó Adaptec, Minicíocht ar Éileamh, Teicneolaíocht Stórála Sileacain, agus Symmcom i dtíortha eile.
Is trádmharc cláraithe é GestIC de Microchip Technology Germany II GmbH & Co. KG, fochuideachta de chuid Microchip Technology Inc., i dtíortha eile.
Is maoin de chuid a gcuideachtaí faoi seach iad na trádmharcanna eile go léir a luaitear anseo.
© 2023, Microchip Technology Incorporated agus a fochuideachtaí. Gach ceart ar cosaint.
ISBN: 978-1-6683-3694-6
Córas Bainistíochta Cáilíochta
Chun eolas a fháil maidir le Córais Bainistíochta Cáilíochta Micrishlis, tabhair cuairt le do thoil www.microchip.com/quality.

AMERICAS ASIA/AN tAIGEAN ASIA/AN tAIGEAN EORAIP
Oifig Chorparáideach
2355 West Chandler Blvd.
Chandler, AZ 85224-6199
Teil: 480-792-7200
Facs: 480-792-7277
Tacaíocht Theicniúil:
www.microchip.com/support
Web Seoladh:
www.microchip.com
Atlanta
Duluth, GA
Teil: 678-957-9614
Facs: 678-957-1455
Aibhistín, TX
Teil: 512-257-3370
Boston
Westborough, MA
Teil: 774-760-0087
Facs: 774-760-0088
Chicago
Itasca, IL
Teil: 630-285-0071
Facs: 630-285-0075
Dallas
Addison, TX
Teil: 972-818-7423
Facs: 972-818-2924
Detroit
Novi, MI
Teil: 248-848-4000
Houston, TX
Teil: 281-894-5983
Indianapolis
Baile uasal, IN
Teil: 317-773-8323
Facs: 317-773-5453
Teil: 317-536-2380
Los Angeles
Misean Viejo, CA
Teil: 949-462-9523
Facs: 949-462-9608
Teil: 951-273-7800
Raleigh, NC
Teil: 919-844-7510
Nua Eabhrac, NY
Teil: 631-435-6000
San Jose, CA
Teil: 408-735-9110
Teil: 408-436-4270
Ceanada - Toronto
Teil: 905-695-1980
Facs: 905-695-2078
An Astráil - Sydney
Teil: 61-2-9868-6733
An tSín - Beijing
Teil: 86-10-8569-7000
An tSín - Chengdu
Teil: 86-28-8665-5511
An tSín - Chongqing
Teil: 86-23-8980-9588
An tSín - Dongguan
Teil: 86-769-8702-9880
An tSín - Guangzhou
Teil: 86-20-8755-8029
An tSín - Hangzhou
Teil: 86-571-8792-8115
An tSín - Hong Cong SAR
Teil: 852-2943-5100
An tSín - Nanjing
Teil: 86-25-8473-2460
An tSín - Qingdao
Teil: 86-532-8502-7355
An tSín - Shanghai
Teil: 86-21-3326-8000
An tSín - Shenyang
Teil: 86-24-2334-2829
An tSín - Shenzhen
Teil: 86-755-8864-2200
An tSín - Suzhou
Teil: 86-186-6233-1526
An tSín - Wuhan
Teil: 86-27-5980-5300
An tSín - Xian
Teil: 86-29-8833-7252
An tSín - Xiamen
Teil: 86-592-2388138
An tSín - Zhuhai
Teil: 86-756-3210040
India - Bangalore
Teil: 91-80-3090-4444
An India - Deilí Nua
Teil: 91-11-4160-8631
An India - Pune
Teil: 91-20-4121-0141
An tSeapáin - Osaka
Teil: 81-6-6152-7160
An tSeapáin - Tóiceo
Teil: 81-3-6880- 3770
An Chóiré - Daegu
Teil: 82-53-744-4301
An Chóiré - Seoul
Teil: 82-2-554-7200
An Mhalaeisia - Kuala Lumpur
Teil: 60-3-7651-7906
An Mhalaeisia - Penang
Teil: 60-4-227-8870
Na hOileáin Fhilipíneacha - Mainile
Teil: 63-2-634-9065
Singeapór
Teil: 65-6334-8870
Taiwan - Hsin Chu
Teil: 886-3-577-8366
Taiwan - Kaohsiung
Teil: 886-7-213-7830
Taiwan - Taipei
Teil: 886-2-2508-8600
An Téalainn - Bancác
Teil: 66-2-694-1351
Vítneam - Ho Chi Minh
Teil: 84-28-5448-2100
An Ostair - Wels
Teil: 43-7242-2244-39
Facs: 43-7242-2244-393
An Danmhairg - Cóbanhávan
Teil: 45-4485-5910
Facs: 45-4485-2829
An Fhionlainn - Espoo
Teil: 358-9-4520-820
An Fhrainc - Páras
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
An Ghearmáin - Garching
Teil: 49-8931-9700
An Ghearmáin - Haan
Teil: 49-2129-3766400
An Ghearmáin - Heilbronn
Teil: 49-7131-72400
An Ghearmáin - Karlsruhe
Teil: 49-721-625370
An Ghearmáin - München
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
An Ghearmáin - Rosenheim
Teil: 49-8031-354-560
Iosrael - Ra'anana
Teil: 972-9-744-7705
An Iodáil - Milan
Teil: 39-0331-742611
Facs: 39-0331-466781
An Iodáil - Padova
Teil: 39-049-7625286
An Ísiltír - Drunen
Teil: 31-416-690399
Facs: 31-416-690340
An Iorua - Trondheim
Teil: 47-72884388
An Pholainn - Vársá
Teil: 48-22-3325737
An Rómáin – Búcairist
Tel: 40-21-407-87-50
An Spáinn - Maidrid
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
An tSualainn - Gothenberg
Tel: 46-31-704-60-40
An tSualainn - Stócólm
Teil: 46-8-5090-4654
An Ríocht Aontaithe - Wokingham
Teil: 44-118-921-5800
Facs: 44-118-921-5820

Lógó MICROCHIP© 2023 Microchip Technology Inc. agus a fhochuideachtaí
DS50003627A –

Doiciméid / Acmhainní

Bogearraí Leabharlainne Insamhladh MICROCHIP Libero SoC [pdfTreoir Úsáideora
DS50003627A, Bogearraí Leabharlainne Insamhladh Libero SoC, Bogearraí Leabharlainne Insamhladh SoC, Bogearraí Leabharlainne Insamhladh, Bogearraí Leabharlainne, Bogearraí

Tagairtí

Fág trácht

Ní fhoilseofar do sheoladh ríomhphoist. Tá réimsí riachtanacha marcáilte *