Libero SoC szimuláció
Útmutató a könyvtár beállításához
Bevezetés
Ennek a dokumentumnak az a célja, hogy leírja a szimulációs környezet beállítási eljárását, bemenetként egy Libero SoC projektet használva. Ez a dokumentáció megfelel a Libero SoC v11.9-es és újabb szoftverkiadásaihoz biztosított előre összeállított könyvtáraknak. A megadott könyvtárak a Veriloghoz vannak fordítva. A VHDL-felhasználók vegyes módú szimulációt engedélyező licencet igényelnek.
Az összeállított szimulációs könyvtárak a következő eszközökhöz érhetők el:
- Aldec Active-HDL
- Aldec Riviera-PRO
- Cadence Incisive Enterprise és Xcelium
- Siemens QuestaSim
- Szinopszia VCS
Ha könyvtárat szeretne kérni egy másik szimulátorhoz, lépjen kapcsolatba Mikrochip műszaki támogatás.
Libero SoC integráció
A Libero SoC támogatja a ModelSim ME használatával végzett szimulációt a run.do generálásával file. Ez file a ModelSim ME/ModelSim Pro ME használja a szimuláció beállításához és futtatásához. Más szimulációs eszközök használatához létrehozhatja a ModelSim ME/ModelSim Pro ME run.do fájlt, és módosíthatja a Tcl parancsfájlt file a szimulátorral kompatibilis parancsok használatához.
1.1 Libero SoC Tcl File Generáció (Tegyen fel egy kérdést)
A Libero SoC dizájn létrehozása és generálása után indítsa el a ModelSim ME/ModelSim Pro ME szimulációt a tervezés minden fázisában (szinte előtti, posztszinti és post-layout). Ez a lépés létrehozza a run.do fájlt file a ModelSim ME/ModelSim Pro ME esetében minden tervezési fázishoz.
Fontos: Minden szimulációs futtatás elindítása után nevezze át az automatikusan generált run.do fájlt file a szimulációs könyvtárban, hogy megakadályozza a Libero SoC felülírását file. Plample, az files átnevezhető presynth_run.do, postsynth_run.do és postlayout_run.do névre.
Aldec beállítás az Active-HDL-hez és a Riviera-Pro-hoz (Tegyen fel egy kérdést)
A run.do file a ModelSim ME/ModelSim Pro ME által használt Aldec szimulátorok segítségével módosítható és szimulációra használható.
2.1 Környezeti változó (Tegyen fel egy kérdést)
Állítsa be a környezeti változót a licencére file elhelyezkedés:
LM_LICENSE_FILE: tartalmaznia kell egy mutatót a licenckiszolgálóra.
2.2 Összeállított könyvtár letöltése (Tegyen fel egy kérdést)
Töltse le az Aldec Active-HDL és az Aldec Riviera-PRO könyvtárait a Microchipről webtelek.
2.3 A run.do konvertálása Aldec szimulációhoz (Tegyen fel egy kérdést)
A run.do fileA Libero SoC által generált s az Active-HDL és Riviera-Pro eszközzel végzett szimulációkhoz egyetlen változtatással használható az Active-HDL és Riviera-Pro használatával végzett szimulációkhoz. Az alábbi táblázat felsorolja a ModelSim run.do fájlban módosítandó Aldec-ekvivalens parancsokat file.
2-1. táblázat. Aldec egyenértékű parancsok
ModelSim | Aktív HDL |
vlog | log |
vcom | acom |
vlib | alib |
vsim | asim |
vmap | amap |
A következő egy sampLe run.do az Aldec szimulátorokhoz kapcsolódik.
- Állítsa be az aktuális munkakönyvtár helyét.
dsn beállítása - Állítson be egy működő könyvtárnevet, térképezze fel a helyét, majd térképezze fel a Microchip FPGA család helyét
előre lefordított könyvtárak (plample, SmartFusion2), amelyen a tervezést futtatja.
alib presynth
amap presynth presynth
amap SmartFusion2 - Állítsa össze az összes szükséges HDL-t files a tervezésben használtak a szükséges könyvtárral.
alog –work presynth temp.v (Veriloghoz)
alog –work presynth testbench.v
acom –work presynth temp.vhd (Vhdl-hez)
acom –work presynth testbench.vhd - Szimulálja a tervezést.
asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
fuss 10us
2.4 Ismert problémák (Tegyen fel egy kérdést)
Ez a szakasz felsorolja az ismert problémákat és korlátozásokat.
- A Riviera-PRO segítségével összeállított könyvtárak platformspecifikusak (azaz a 64 bites könyvtárak nem futtathatók 32 bites platformon és fordítva).
- SERDES/MDDR/FDDR-t tartalmazó tervek esetén használja a következő beállítást a run.do fájlban files szimulációk futtatása közben a terv összeállítása után:
– Aktív HDL: asim –o2
– Riviera-PRO: asim –O2 (szinti előkészületi és elrendezés utáni szimulációkhoz) és asim –O5 (elrendezés utáni szimulációkhoz)
Az Active-HDL és Riviera-Pro Aldec beállításai a következő függőben lévő SAR-eket tartalmazzák. További információért forduljon Mikrochip műszaki támogatás. - SAR 49908 – Aktív HDL: VHDL hiba a matematikai blokkszimulációkhoz
- SAR 50627 – Riviera-PRO 2013.02: Szimulációs hibák a SERDES terveknél
- SAR 50461 – Riviera-PRO: asim -O2/-O5 opció a szimulációkban
Incizív ütemezési beállítás (Tegyen fel egy kérdést)
Létre kell hoznia egy szkriptet file hasonlóan a ModelSim ME/ModelSim Pro ME run.do futtatásához
Cadence Incisive szimulátor. Kövesse ezeket a lépéseket, és hozzon létre szkriptet file az NCSim számára, vagy használja a szkriptet file
a ModelSim ME/ModelSim Pro ME run.do konvertálásához biztosított files a konfigurációba files
szükséges a szimulációk NCSim használatával történő futtatásához.
Fontos: ütem leállította az Incisive Enterprise új verzióinak kiadását
szimulátort, és elkezdte támogatni az Xcelium szimulátort.
3.1 Környezeti változók (Tegyen fel egy kérdést)
A Cadence Incisive szimulátor futtatásához konfigurálja a következő környezeti változókat:
- LM_LICENSE_FILE: tartalmaznia kell egy mutatót a licencre file.
- cds_root: a Cadence Incisive telepítés kezdőkönyvtárának helyére kell mutatnia.
- PATH: a cds_root által mutatott eszközök könyvtár alatti bin helyre kell mutatnia, azaz
$cds_root/tools/bin/64bit (64 bites géphez és $cds_root/tools/bin 32 bites géphez).
Háromféleképpen állíthatjuk be a szimulációs környezetet 64 bites és 32 bites operációs rendszerek közötti váltás esetén:
1. eset: PATH Változó
Futtassa a következő parancsot:
set path = (install_dir/tools/bin/64bit $path) 64 bites gépekhez és
set path = (telepítési_könyvtár/eszközök/bin $útvonal) 32 bites gépekhez
2. eset: A -64 bites parancssori opció használata
A parancssorban adja meg a -64bit beállítást a 64 bites végrehajtható fájl meghívásához.
3. eset: Az INCA_64BIT vagy CDS_AUTO_64BIT környezeti változó beállítása
Az INCA_64BIT változót a rendszer logikai értékként kezeli. Ezt a változót bármilyen értékre vagy null karakterláncra állíthatja.
setenv INCA_64BIT
Fontos: A Az INCA_64BIT környezeti változó nincs hatással más Cadence-eszközökre, például az IC-eszközökre. Az Incisive eszközök esetében azonban az INCA_64BIT változó felülbírálja a CDS_AUTO_64BIT környezeti változó beállítását. Ha az INCA_64BIT környezeti változó be van állítva, az összes Incisive eszköz 64 bites módban fut. setenv CDS_AUTO_64BIT INCLUDE:INCA
Fontos: A Az INCA karakterláncnak nagybetűnek kell lennie. Minden végrehajtható fájlt 32 bites módban vagy 64 bites módban kell futtatni, ne állítsa be a változót úgy, hogy egy végrehajtható fájlt tartalmazzon, mint a következőkben:
setenv CDS_AUTO_64BIT INCLUDE:ncelab
Más ütemezési eszközök, például az IC-eszközök szintén a CDS_AUTO_64BIT környezeti változót használják a 32 vagy 64 bites végrehajtható fájlok kiválasztásának szabályozására. A következő táblázat bemutatja, hogyan állíthatja be a CDS_AUTO_64BIT változót az Incisive eszközök és az IC-eszközök minden módban történő futtatására.
3-1. táblázat. CDS_AUTO_64BIT Változók
CDS_AUTO_64BIT Változó | Merész eszközök | IC eszközök |
setenv CDS_AUTO_64BIT ALL | 64 bites | 64 bites |
setenv CDS_AUTO_64BIT NINCS | 32 bites | 32 bites |
setenv CDS_AUTO_64BIT EXCLUDE:ic_binary | 64 bites | 32 bites |
setenv CDS_AUTO_64BIT EXCLUDE:INCA | 32 bites | 64 bites |
Fontos: Minden Incisive eszközt 32 bites módban vagy 64 bites módban kell futtatni, ne használja az EXCLUDE parancsot egy adott végrehajtható fájl kizárásához, mint a következőkben: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Ha a CDS_AUTO_64BIT változót úgy állítja be, hogy kizárja az Incisive eszközöket (setenv CDS_AUTO_64BIT EXCLUDE:INCA), az összes Incisive eszköz 32 bites módban fut. A -64 bites parancssori beállítás azonban felülírja a környezeti változót.
A következő konfiguráció files segít az adatok kezelésében és a szimulációs eszközök és segédprogramok működésének vezérlésében:
- Könyvtári térképezés file (cds.lib) – Logikai nevet határoz meg a terv helyének.
- Könyvtárakat és fizikai könyvtárnevekhez társítja őket.
- Változók file (hdl.var) – Olyan változókat határoz meg, amelyek befolyásolják a szimulációs eszközök és segédprogramok viselkedését.
3.2 Összeállított könyvtár letöltése (Tegyen fel egy kérdést)
Töltse le a Cadence Incisive könyvtárait a Microsemi's-től webtelek.
3.3 Az NCSim Script létrehozása File (Tegyen fel egy kérdést)
A run.do másolatának létrehozása után files, hajtsa végre az alábbi lépéseket a szimuláció futtatásához az NCSim használatával:
- Hozzon létre egy cds.lib fájlt file amely meghatározza az elérhető könyvtárakat és azok helyét. A file olyan utasításokat tartalmaz, amelyek leképezik a könyvtári logikai neveket a fizikai könyvtárakra. Plample, ha presynth szimulációt futtat, akkor a cds.lib file a következő kódblokk szerint van írva.
DEFINE presynth ./presynth
A COREAHBLITE_LIB ./COREAHBLITE_LIB MEGHATÁROZÁSA
A smartfusion2 meghatározása - Hozzon létre egy hdl.var fájlt file, opcionális konfiguráció file konfigurációs változókat tartalmaz, amelyek meghatározzák a tervezési környezet konfigurálását. A következő változó filea következőket tartalmazza:
– Változók, amelyek a munkakönyvtár meghatározására szolgálnak, ahol a fordító a lefordított objektumokat és egyéb származtatott adatokat tárolja.
– Verilog esetén változók (LIB_MAP, VIEW_MAP, WORK), amelyek a könyvtárak és a könyvtárak megadására szolgálnak views keresni, amikor a fejlesztő megoldja az eseteket.
– Változók, amelyek lehetővé teszik a fordító, a fejlesztő és a szimulátor parancssori paramétereinek és argumentumainak meghatározását.
Presynth szimuláció esetén plampA fent látható, mondjuk három RTL-ünk van files: av, bv és testbench.v, amelyeket presynth, COREAHBLITE_LIB és presynth könyvtárakba kell fordítani. A hdl.var file a következő kódblokkban látható módon írható.
DEFINE WORK presynth
PROJECT_DIR DEFINE files>
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/av => presynth)
LIB_MAP MEGHATÁROZÁSA ($LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB)
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth)
LIB_MAP DEFINE ( $LIB_MAP, + => presynth ) - Állítsa össze a tervet files az ncvlog opciót használja.
ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - Az ncelab segítségével dolgozza ki a tervet. A tervező felállít egy tervezési hierarchiát a tervezésben lévő példányosítási és konfigurációs információk alapján, létrehozza a jelkapcsolatot, és kiszámítja a kezdeti értékeket a tervben szereplő összes objektumhoz. A kidolgozott tervezési hierarchia egy szimulációs pillanatképben tárolódik, amely a szimulátor által a szimuláció futtatásához használt terv reprezentációja.
ncelab –Üzenet –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
access +rwc –status worklib. :modul
Kidolgozás Post-layout szimuláció során
Elrendezés utáni szimulációk esetén először az SDF file Az ncsdfc paranccsal történő kidolgozás előtt le kell fordítani.
ncsdfcfilenév>.sdf –kimenetfilenév>.sdf.X
A kidolgozás során használja a lefordított SDF kimenetet –autosdf opcióval, ahogy az a következő kódblokkban látható.
ncelab -autosdf –Üzenet –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
15 –access +rwc –status worklib. :modul –sdf_cmd_file ./
sdf_cmd_file
Az sdf_cmd_file a következő kódblokkban láthatónak kell lennie.
COMPILED_SDF_FILE = " file>” - Szimuláció ncsim használatával. A kidolgozás után egy szimulációs pillanatkép készül, amelyet az ncsim betölt a szimulációhoz. Futhat kötegelt módban vagy GUI módban.
ncsim –Üzenet –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log –
errormax 15 –status worklib. :modul
Fontos: A fordítás, a kidolgozás és a szimuláció fenti három lépése mind beépíthető egy shell szkriptbe file és parancssorból származik. A három lépés használata helyett a tervezés szimulálható egy lépésben az ncverilog vagy az irun opció használatával, ahogy az a következő kódblokkban látható.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
files a tervezésben használt>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
a tervezésben használt>
3.3.1 Ismert problémák (Tegyen fel egy kérdést)
Testbench megoldás
A következő utasítás használata az órajel frekvenciájának megadására a felhasználó által generált tesztpadban vagy a Libero SoC által generált alapértelmezett tesztpadban nem működik az NCSim-mel.
mindig @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
A szimuláció futtatásához módosítsa a következőket:
mindig #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
Fontos: Összeállított Az NCSim könyvtárai platformspecifikusak (azaz a 64 bites könyvtárak nem kompatibilisek a 32 bites platformmal és fordítva).
Postsynth és Post-layout szimulációk MSS és SERDES használatával Miközben az MSS blokkot tartalmazó tervek postsynth szimulációit vagy SERDES-t használó tervek elrendezés utáni szimulációit futtatjuk, a BFM szimulációk nem működnek, ha a –libmap kapcsoló
kidolgozás során nem határozták meg. Ennek az az oka, hogy a kidolgozás során az MSS a munkakönyvtárból oldódik fel (mivel az alapértelmezett összerendelés és a worklib postsynth/post-layout), ahol ez csak egy rögzített függvény.
Az ncelab parancsot a következő kódblokkban látható módon kell megírni az MSS feloldásához
blokkot a SmartFusion2 előre lefordított könyvtárából.
ncelab -libmap lib.map -libverbose -Message -access +rwc cfg1
és a lib.map file a következőnek kell lennie:
config cfg1;
tervezés ;
alapértelmezett liblista smartfusion2 ;
endconfig
Ez felold minden cellát a SmartFusion2 könyvtárban, mielőtt megnézné a munkakönyvtárat, azaz a postsynth/ post-layout.
A –libmap opció alapértelmezés szerint használható a kidolgozás során minden szimulációhoz (presynth, postsynth és post-layout). Ez elkerüli a szimulációs problémákat, amelyeket a könyvtárakból származó példányok feloldása okoz.
ncelab: *F,INTERR: BELSŐ KIVÉTEL
Ez az ncelab eszköz kivétel egy figyelmeztetés a SmartFusion 2-ben és IGLOO 2-ben FDDR-t tartalmazó tervekre a –libmap opció használatával végzett postsynth és post-layout szimulációk során.
Fontos: Ezt a problémát jelentették a Cadence támogatási csapatának (SAR 52113).
3.4 Sample Tcl és Shell Script Files (Tegyen fel egy kérdést)
A következő files a konfiguráció files szükséges a tervezés és a shell szkript beállításához file az NCSim parancsok futtatásához.
Cds.lib
NE smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
A COREAHBLITE_LIB ./COREAHBLITE_LIB MEGHATÁROZÁSA
DEFINE presynth ./presynth
HDl.var
DEFINE WORK presynth
PROJECT_DIR DEFINE /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP MEGHATÁROZÁSA ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP MEGHATÁROZÁSA ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth )
LIB_MAP MEGHATÁROZÁSA ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth)
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth)
LIB_MAP MEGHATÁROZÁSA ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP MEGHATÁROZÁSA ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth)
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth)
LIB_MAP DEFINE ( $LIB_MAP, + => presynth )
Parancsok.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagkor
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagkor
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-munka presynth -logfile ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:module
3.5 Automatizálás (Tegyen fel egy kérdést)
A következő szkript file átalakítja a ModelSim run.do fájlt files a konfigurációba fileszükséges az NCSim segítségével történő szimulációk futtatásához.
Forgatókönyv File Használat
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Precompiled_libraries
Cadence_parser.pl
#!/usr/bin/perl -w
################################################ ###########################################
##################
#Használat: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#
################################################ ###########################################
##################
használja a POSIX-et;
szigorúan használja;
my ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($utólagos elrendezés, $család, $lib_hely);
sub questa_parser {
én $ModelSim_run_do = $_[0];
én $actel_family = $_[1];
$lib_location = $_[2];
az én $állapotom;
if ( -e "$ModelSim_run_do" )
{
nyitva (INFILE"$ModelSim_run_do");
én @ModelSim_run_do =FILE>;
az én $sorom;
if ( $ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
nyisd kiFILE”,>QUESTA_PRESYNTH/presynth_questa.do”);
$állapot = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
nyisd kiFILE”,>QUESTA_POSTSYNTH/postsynth_questa.do”);
$állapot = $1;
} elsif ( $ModelSim_run_do =~ m/(utólagos elrendezés)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
nyisd kiFILE”,>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$állapot = $1;
} más
{
print „Rossz bemenetek adott a file\n”;
print “#Usage: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Könyvtárak_helye\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#Általános műveletek
$sor =~ s/..\/designer.*szimuláció\///g;
$sor =~ s/$state/$state\_questa/g;
#kinyomtatniFILE „$sor \n”;
if ($sor =~ m/vmap\s+.*($actel_family)/)
{
kinyomtatniFILE „vmap $actel_family \”$lib_location\”\n”;
} elsif ($sor =~ m/vmap\s+(.*._LIB)/)
{
$sor =~ s/..\/komponens/..\/..\/komponens/g;
kinyomtatniFILE „$sor \n”;
} elsif ($sor =~ m/vsim/)
{
$sor =~ s/vsim/vsim -novopt/g;
kinyomtatniFILE „$sor \n”;
} más
{
kinyomtatniFILE „$sor \n”;
}
}
bezár (INFILE);
zárniFILE);
} másik {
print „A $ModelSim_run_do nem létezik. Futtassa újra a szimulációt \n”;
}
}
Cadence Xcelium beállítás (Mikrochip bejelentkezés)
Létre kell hoznia egy szkriptet file hasonlóan a ModelSim ME/ModelSim Pro ME run.do-hoz a Cadence Xcelium szimulátor futtatásához. Kövesse ezeket a lépéseket, és hozzon létre szkriptet file Xceliumhoz, vagy használja a szkriptet file a ModelSim ME/ModelSim Pro ME run.do konvertálásához biztosított files a konfigurációba fileszükséges a szimulációk futtatásához Xcelium használatával.
4.1 Környezeti változók (Tegyen fel egy kérdést)
A Cadence Xcelium futtatásához állítsa be a következő környezeti változókat:
- LM_LICENSE_FILE: tartalmaznia kell egy mutatót a licencre file.
- cds_root: a Cadence Incisive Installation kezdőkönyvtárának helyére kell mutatnia.
- PATH: a cds_root által mutatott eszközkönyvtár alatti tárhelyre kell mutatnia (pl
$cds_root/tools/bin/64bit (64 bites géphez és $cds_root/tools/bin 32 biteshez
gép).
Háromféleképpen állíthatjuk be a szimulációs környezetet 64 bites és 32 bites operációs rendszerek közötti váltás esetén:
1. eset: PATH Változó
set path = (install_dir/tools/bin/64bit $path) 64 bites gépekhez és
set path = (telepítési_könyvtár/eszközök/bin $útvonal) 32 bites gépekhez
2. eset: A -64 bites parancssori opció használata
A 64 bites végrehajtható fájl meghívásához a parancssorban adja meg a -64bit beállítást.
3. eset: Az INCA_64BIT vagy CDS_AUTO_64BIT környezeti változó beállítása
Az INCA_64BIT változót a rendszer logikai értékként kezeli. Ezt a változót bármilyen értékre vagy nullára állíthatja
húr.
setenv INCA_64BIT
Fontos: A Az INCA_64BIT környezeti változó nincs hatással más Cadence-eszközökre, például az IC-eszközökre. Az Incisive eszközök esetében azonban az INCA_64BIT változó felülbírálja a CDS_AUTO_64BIT környezeti változó beállítását. Ha az INCA_64BIT környezeti változó et, akkor minden Incisive eszköz 64 bites módban fut.
setenv CDS_AUTO_64BIT INCLUDE:INCA
Fontos: A Az INCA karakterláncnak nagybetűnek kell lennie. Minden végrehajtható fájlt 2 bites módban vagy 64 bites módban kell futtatni, ne állítsa be a változót úgy, hogy egy végrehajtható fájlt tartalmazzon, mint a következőkben:
setenv CDS_AUTO_64BIT INCLUDE:ncelab
Más ütemezési eszközök, például az IC-eszközök szintén a CDS_AUTO_64BIT környezeti változót használják a 32 vagy 64 bites végrehajtható fájlok kiválasztásának szabályozására. A következő táblázat bemutatja, hogyan állíthatja be a CDS_AUTO_64BIT változót az Incisive eszközök és az IC-eszközök minden módban történő futtatására.
4-1. táblázat. CDS_AUTO_64BIT Változók
CDS_AUTO_64BIT Változó | Merész eszközök | IC eszközök |
setenv CDS_AUTO_64BIT ALL | 64 bites | 64 bites |
setenv CDS_AUTO_64BIT NINCS | 32 bites | 32 bites |
setenv CDS_AUTO_64BIT EXCLUDE:ic_binary |
64 bites | 32 bites |
setenv CDS_AUTO_64BIT EXCLUDE:INCA | 32 bites | 64 bites |
Fontos: Minden Incisive eszközt 32 bites módban vagy 64 bites módban kell futtatni. Ne használja az EXCLUDE parancsot egy adott végrehajtható fájl kizárására, mint a következőkben:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Ha úgy állítja be a CDS_AUTO_64BIT változót, hogy kizárja az Incisive eszközöket (setenv
CDS_AUTO_64BIT EXCLUDE:INCA), minden Incisive eszköz 32 bites módban fut. Azonban a
A -64 bites parancssori opció felülírja a környezeti változót.
A következő konfiguráció files segít az adatok kezelésében és a szimulációs eszközök és segédprogramok működésének vezérlésében:
- Könyvtári térképezés file (cds.lib) logikai nevet ad a terv helyének.
- Könyvtárakat és fizikai könyvtárnevekhez társítja őket.
- Változók file (hdl.var) olyan változókat határoz meg, amelyek befolyásolják a szimulációs eszközök és segédprogramok viselkedését.
4.2 Összeállított könyvtár letöltése (Tegyen fel egy kérdést)
Töltse le a Cadence Xcelium könyvtárait a Microsemi's-től webtelek.
4.3 Az Xcelium szkript létrehozása file (Tegyen fel egy kérdést)
A run.do másolatának létrehozása után files, hajtsa végre a következő lépéseket a szimuláció Xcelium parancsfájl használatával történő futtatásához file.
- Hozzon létre egy cds.lib fájlt file amely meghatározza, hogy mely könyvtárak érhetők el és hol találhatók.
A file olyan utasításokat tartalmaz, amelyek leképezik a könyvtári logikai neveket a fizikai könyvtárakra. Plample, ha presynth szimulációt futtat, akkor a cds.lib file a következő kódblokkban látható módon írható.
DEFINE presynth ./presynth
A COREAHBLITE_LIB ./COREAHBLITE_LIB MEGHATÁROZÁSA
A smartfusion2 meghatározása - Hozzon létre egy hdl.var fájlt file amely opcionális konfiguráció file konfigurációs változókat tartalmaz, amelyek meghatározzák a tervezési környezet konfigurálását. Ezek tartalmazzák:
– Változók, amelyek a munkakönyvtár meghatározására szolgálnak, ahol a fordító a lefordított objektumokat és egyéb származtatott adatokat tárolja.
– Verilog esetén változók (LIB_MAP, VIEW_MAP, WORK), amelyek a könyvtárak és a könyvtárak megadására szolgálnak views keresni, amikor a fejlesztő megoldja az eseteket.
– Változók, amelyek lehetővé teszik a fordító, a fejlesztő és a szimulátor parancssori paramétereinek és argumentumainak meghatározását.
Presynth szimuláció esetén plampA fent látható, mondjuk van 3 RTL-ünk files av, bv és testbench.v, amelyeket presynth, COREAHBLITE_LIB és presynth könyvtárakba kell fordítani. A hdl.var file a következő kódblokkban látható módon írható.
DEFINE WORK presynth
PROJECT_DIR DEFINE files>
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/av => presynth)
LIB_MAP MEGHATÁROZÁSA ($LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB)
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth)
LIB_MAP DEFINE ( $LIB_MAP, + => presynth ) - Állítsa össze a tervet files az ncvlog opciót használja.
xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log –update –linedebug av bv testbench.v - Az ncelab segítségével dolgozza ki a tervet. A tervező felállít egy tervezési hierarchiát a tervezésben lévő példányosítási és konfigurációs információk alapján, létrehozza a jelkapcsolatot, és kiszámítja a kezdeti értékeket a tervben szereplő összes objektumhoz. A kidolgozott tervezési hierarchia egy szimulációs pillanatképben tárolódik, amely a szimulátor által a szimuláció futtatásához használt terv reprezentációja.
Xcelium –Üzenet –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
access +rwc –status worklib. :modul
Kidolgozás Post-layout szimuláció során
Elrendezés utáni szimulációk esetén először az SDF file Az ncsdfc paranccsal történő kidolgozás előtt le kell fordítani.
Xceliumfilenév>.sdf –kimenetfilenév>.sdf.X
A kidolgozás során használja a lefordított SDF kimenetet –autosdf opcióval, ahogy az a következő kódblokkban látható.
xmelab -autosdf –Üzenet –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
15 –access +rwc –status worklib. :modul –sdf_cmd_file ./
sdf_cmd_file
Az sdf_cmd_file a következő kódblokkban láthatónak kell lennie.
COMPILED_SDF_FILE = " file>” - Szimuláció Xcelium használatával. A kidolgozás után egy szimulációs pillanatkép készül, amelyet az Xcelium tölt be szimuláció céljából. Ez kötegelt módban vagy GUI módban futtatható.
xmsim –Üzenet –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log –
errormax 15 –status worklib. :modul
Cadence Xcelium Setup
Fontos: Minden a fenti három lépés a fordítás, a kidolgozás és a szimuláció egy shell scriptbe helyezhető file és parancssorból származik. A három lépés használata helyett a tervezés szimulálható egy lépésben az ncverilog vagy az xrun opció használatával, ahogy az a következő kódblokkban látható.
xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
files a tervezésben használt>
xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
a tervezésben használt>
4.3.1 Ismert problémák (Tegyen fel egy kérdést)
Testbench megoldás
A következő utasítás használata az órajel frekvenciájának megadására a felhasználó által generált tesztpadban vagy a Libero SoC által generált alapértelmezett tesztpadban nem működik az Xceliummal.
mindig @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
A szimuláció futtatásához módosítsa a következőket:
mindig #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
Fontos: Az Xcelium számára lefordított könyvtárak platformspecifikusak (azaz a 64 bites könyvtárak nem kompatibilisek a 32 bites platformmal és fordítva).
Postsynth és Post-layout szimulációk MSS és SERDES használatával
MSS blokkot tartalmazó tervek postsynth szimulációinak vagy SERDES-t használó tervek utólagos elrendezési szimulációinak futtatása közben a BFM szimulációk nem működnek, ha a –libmap opció nincs megadva a kidolgozás során. Ennek az az oka, hogy a kidolgozás során az MSS a munkakönyvtárból oldódik fel (mivel az alapértelmezett összerendelés és a worklib postsynth/post-layout), ahol ez csak egy rögzített függvény.
Az ncelab parancsot a következő kódblokkban látható módon kell megírni az MSS blokk feloldásához a SmartFusion2 előre lefordított könyvtárból.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
és a lib.map file a következőnek kell lennie:
config cfg1;
tervezés ;
alapértelmezett liblista smartfusion2 ;
endconfig
Ennek fel kell oldania a SmartFusion2 könyvtár bármely celláját, mielőtt a munkakönyvtárba nézne, azaz a postsynth/post-layout.
A –libmap opció alapértelmezés szerint használható a kidolgozás során minden szimulációhoz (pre-synth, postsynth és post-layout). Ez elkerüli a szimulációs problémákat, amelyeket a könyvtárakból származó példányok feloldása okoz.
xmelab: *F,INTERR: BELSŐ KIVÉTEL
Ez az ncelab eszköz kivétel egy figyelmeztetés a SmartFusion2 és IGLOO2 FDDR-t tartalmazó tervekre.
postsynth és post-layout szimulációk során a –libmap opció használatával.
Fontos: Ezt a problémát jelentették a Cadence támogatási csapatának (SAR 52113).
4.4 Sample Tcl és shell script files (Tegyen fel egy kérdést)
A következő files a konfiguráció files szükséges a tervezés és a shell szkript beállításához file Xcelium parancsok futtatásához.
Cds.lib
A smartfusion2 meghatározása /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
A COREAHBLITE_LIB ./COREAHBLITE_LIB MEGHATÁROZÁSA
DEFINE presynth ./presynth
HDl.var
DEFINE WORK presynth
PROJECT_DIR DEFINE /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP MEGHATÁROZÁSA ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/komponens/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP MEGHATÁROZÁSA ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth )
LIB_MAP MEGHATÁROZÁSA ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth)
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth)
LIB_MAP MEGHATÁROZÁSA ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP MEGHATÁROZÁSA ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth)
LIB_MAP DEFINE ($LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth)
LIB_MAP DEFINE ( $LIB_MAP, + => presynth )
Parancsok.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagkor
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagkor
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-munka presynth -logfile ncelab.log -errormax 15 -access +rwc -status presynth.testbench:module
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:module
4.5 Automatizálás (Mikrochip bejelentkezés)
A következő szkript file konvertálja a ModelSim run.do-t files a konfigurációba fileszükséges a szimulációk futtatásához Xcelium használatával.
Forgatókönyv File Használat
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Precompiled_libraries
Cadence_parser.pl
#!/usr/bin/perl -w
################################################ ###########################################
##################
#Használat: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#
################################################ ###########################################
##################
használja a POSIX-et;
szigorúan használja;
my ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($utólagos elrendezés, $család, $lib_hely);
sub questa_parser {
én $ModelSim_run_do = $_[0];
én $actel_family = $_[1];
$lib_location = $_[2];
az én $állapotom;
if ( -e "$ModelSim_run_do" )
{
nyitva (INFILE"$ModelSim_run_do");
én @ModelSim_run_do =FILE>;
az én $sorom;
if ( $ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
nyisd kiFILE”,>QUESTA_PRESYNTH/presynth_questa.do”);
$állapot = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
nyisd kiFILE”,>QUESTA_POSTSYNTH/postsynth_questa.do”);
$állapot = $1;
} elsif ( $ModelSim_run_do =~ m/(utólagos elrendezés)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
nyisd kiFILE”,>QUESTA_POSTLAYOUT/postlayout_questa.do”);
$állapot = $1;
} más
{
print „Rossz bemenetek adott a file\n”;
print “#Usage: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Könyvtárak_helye\”\n”;
}
foreach $line (@ModelSim_run_do)
{
#Általános műveletek
$sor =~ s/..\/designer.*szimuláció\///g;
$sor =~ s/$state/$state\_questa/g;
#kinyomtatniFILE „$sor \n”;
if ($sor =~ m/vmap\s+.*($actel_family)/)
{
kinyomtatniFILE „vmap $actel_family \”$lib_location\”\n”;
} elsif ($sor =~ m/vmap\s+(.*._LIB)/)
{
$sor =~ s/..\/komponens/..\/..\/komponens/g;
kinyomtatniFILE „$sor \n”;
} elsif ($sor =~ m/vsim/)
{
$sor =~ s/vsim/vsim -novopt/g;
kinyomtatniFILE „$sor \n”;
} más
{
kinyomtatniFILE „$sor \n”;
}
}
bezár (INFILE);
zárniFILE);
} másik {
print „A $ModelSim_run_do nem létezik. Futtassa újra a szimulációt \n”;
}
}
Siemens QuestaSim beállítás/ModelSim beállítás (Tegyen fel egy kérdést)
A run.do files, amelyet a Libero SoC generált a ModelSim Microsemi Editions szimulációkhoz, egyetlen változtatással használható a QuestaSim/ModelSim SE/DE/PE szimulációkhoz. A ModelSim ME/ModelSim Pro ME run.do programban file, az előre lefordított könyvtárak helyét módosítani kell.
Fontos:
Alapértelmezés szerint a ModelSim Pro ME-től eltérő szimulációs eszköz tervezési optimalizálást hajt végre a szimuláció során, amely befolyásolhatja a szimulációs műtermékek, például a tervezési objektumok és a bemeneti ingerek láthatóságát.
Ez általában segít a szimuláció futási idejének csökkentésében összetett szimulációk esetén, részletes, önellenőrző tesztpadok használatával. Előfordulhat azonban, hogy az alapértelmezett optimalizálás nem minden szimulációhoz megfelelő, különösen azokban az esetekben, amikor a szimulációs eredményeket grafikusan kell megvizsgálni a hullámablak használatával.
Az optimalizálás okozta problémák megoldásához megfelelő parancsokat és kapcsolódó argumentumokat kell hozzáadnia a szimuláció során, hogy helyreállítsa a terv láthatóságát. Az eszközspecifikus parancsokat lásd a használatban lévő szimulátor dokumentációjában.
5.1 Környezeti változók (Tegyen fel egy kérdést)
Az alábbiakban felsoroljuk a szükséges környezeti változókat.
- LM_LICENSE_FILE: tartalmaznia kell a licenc elérési útját file.
- MODEL_TECH: azonosítania kell a QuestaSim telepítésének kezdőkönyvtárának elérési útját.
- PATH: a MODEL_TECH által mutatott végrehajtható helyre kell mutatnia.
5.2 A run.do konvertálása a Mentor QuestaSim számára (Tegyen fel egy kérdést)
A run.do fileA Libero SoC által a ModelSim Microsemi Editions-t használó szimulációkhoz generált s egyetlen változtatással használható a QuestaSim/ModelSim_SE használatával végzett szimulációkhoz.
Fontos: Minden a QuestaSim használatával szimulált terveknek tartalmazniuk kell a -novopt-ot
opciót a vsim paranccsal együtt a run.do szkriptben files.
5.3 Töltse le az összeállított könyvtárat (Tegyen fel egy kérdést)
Töltse le a Mentor Graphics QuestaSim könyvtárait a Microsemi's-től webtelek.
Synopsys VCS beállítás (Tegyen fel egy kérdést)
A Microsemi által javasolt áramlás a VCS-ben az Elaborate és Compile folyamatra támaszkodik. Ez a dokumentum tartalmaz egy scriptet file amely a run.do szkriptet használja files a Libero SoC által generált és létrehozza a beállítást files szükséges a VCS szimulációhoz. A script file a run.do parancsot használja file hogy a következőket tegye.
- Hozzon létre egy könyvtári leképezést file, amely a synopsys_sim.setup segítségével történik file ugyanabban a könyvtárban található, ahol a VCS szimuláció fut.
- Hozzon létre egy shell szkriptet file a terv kidolgozásához és összeállításához VCS segítségével.
6.1 Környezeti változók (Tegyen fel egy kérdést)
Állítsa be a megfelelő környezeti változókat a VCS-hez a beállítások alapján. A VCS-dokumentáció szerint szükséges környezeti változók a következők:
- LM_LICENSE_FILE: tartalmaznia kell egy mutatót a licenckiszolgálóra.
- VCS_HOME: a VCS telepítés kezdőkönyvtárának helyére kell mutatnia.
- PATH: tartalmaznia kell egy mutatót a VCS_HOME könyvtár alatti bin könyvtárra.
6.2 Összeállított könyvtár letöltése (Tegyen fel egy kérdést)
Töltse le a Synopsys VCS könyvtárait a Microsemi's-től webtelek.
6.3 VCS szimulációs szkript File (Tegyen fel egy kérdést)
A VCS beállítása és a tervezés és a különböző run.do generálása után files a Libero SoC-től, akkor:
- Készítse el a könyvtár leképezését file synopsys_sim.setup; ez file mutatókat tartalmaz a terv által használandó összes könyvtár helyére.
Fontos: A file a név nem változhat, és ugyanabban a könyvtárban kell lennie, ahol a szimuláció fut. Itt van egy example egy ilyen file előszintézis szimulációhoz.
WORK > EFAULT
SmartFusion2:
presynth : ./presynth
ALAPÉRTELMEZETT : ./munka - Alakítsa ki a különböző dizájnt files, beleértve a tesztpadot is, a VCS vlogan parancsával. Ezeket a parancsokat egy shell szkript tartalmazhatja file. Az alábbiakban egy exampazon parancsok közül, amelyek az rtl.v-ben definiált terv kidolgozásához szükségesek, annak tesztpadjával együtt
tesztpad.v.
vlogan +v2k -work presynth rtl.v
vlogan +v2k -work presynth testbench.v - Fordítsa le a tervet VCS használatával a következő paranccsal.
vcs –sim_res=1fs presynth.testbench
Megjegyzés: A A szimuláció időzítési felbontását 1fs-ra kell állítani a megfelelő funkcionális szimulációhoz. - A terv összeállítása után indítsa el a szimulációt a következő paranccsal.
./simv - A visszajelzésekkel ellátott szimulációhoz a VCS parancsnak a következő kódblokkban láthatónak kell lennie.
vcs postlayout.testbench –sim_res=1fs –sdf max: .
név>: file elérési út> –gui –l postlayout.log
6.4 Korlátozások/Kivételek (Tegyen fel egy kérdést)
Az alábbiakban felsoroljuk a Synopsys VCS beállításának korlátait/kivételeit.
- A VCS szimulációk csak a Libero SoC Verilog projektjeihez futtathatók. A VCS szimulátor szigorú VHDL nyelvi követelményekkel rendelkezik, amelyeknek a Libero SoC automatikusan generált VHDL nem felel meg files.
- A szimuláció leállításához, amikor csak akarja, rendelkeznie kell egy $finish utasítással a Verilog tesztpadban.
Fontos: Mikor a szimulációk GUI módban futnak, a futási idő a GUI-ban adható meg.
6.5 Sample Tcl és Shell Script Files (Tegyen fel egy kérdést)
A következő Perl automatizálja a synopsys_sim.setup létrehozását file valamint a megfelelő shell szkriptet files szükséges a tervezés kidolgozásához, összeállításához és szimulálásához.
Ha a terv MSS-t használ, másolja a test.vec fájlt file a Libero SoC projekt szimulációs mappájában található a VCS szimulációs mappájába. A következő szakaszok sample run.do files a Libero SoC által generált, beleértve a megfelelő könyvtárleképezést és shell szkriptet files szükséges a VCS szimulációhoz.
6.5.1 Előszintézis (Tegyen fel egy kérdést)
Presynth_run.do
halkan beállítva ACTELLIBNAME SmartFusion2
csendesen állítsa be a PROJECT_DIR „/sqa/users/me/VCS_Tests/Test_DFF”
ha {[file létezik presynth/_info]} {
echo “INFO: A szimulációs könyvtár presynth már létezik”
} másik {
vlib presynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth „${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog „+incdir+${PROJECT_DIR}/stimulus” -work presynth „${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
hullám hozzáadása /SD1_TB1/*
log -r hozzáadása /*
fut 1000n
presynth_main.csh
#!/bin/csh -f
set PROJECT_DIR = "/sqa/users/Me/VCS_Tests/Test_DFF"
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/component/
work/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k "+incdir+${PROJECT_DIR}/stimulus" -work
presynth „${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
MUNKA > ALAPÉRTELMEZETT
SmartFusion2 : /VCS/SmartFusion2
presynth : ./presynth
ALAPÉRTELMEZETT : ./munka
6.5.2 Szintézis utáni (Tegyen fel egy kérdést)
postsynth_run.do
halkan beállítva ACTELLIBNAME SmartFusion2
csendesen állítsa be a PROJECT_DIR „/sqa/users/Me/VCS_Tests/Test_DFF”
ha {[file létezik postsynth/_info]} {
echo “INFO: A szimulációs könyvtár postsynth már létezik”
} másik {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -work postsynth "${PROJECT_DIR}/synthesis/SD1.v"
vlog "+incdir+${PROJECT_DIR}/stimulus" -work postsynth "${PROJECT_DIR}/stimulus/SD1_TB1.v"
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
hullám hozzáadása /SD1_TB1/*
log -r hozzáadása /*
fut 1000n
log SD1_TB1/*
kijárat
Postsynth_main.csh
#!/bin/csh -f
set PROJECT_DIR = "/sqa/users/Me/VCS_Tests/Test_DFF"
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k "+incdir+${PROJECT_DIR}/stimulus" -work
postsynth „${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
MUNKA > ALAPÉRTELMEZETT
SmartFusion2 : /VCS/SmartFusion2
postsynth: ./postsynth
ALAPÉRTELMEZETT : ./munka
6.5.3 Utólagos elrendezés (Tegyen fel egy kérdést)
postlayout_run.do
halkan beállítva ACTELLIBNAME SmartFusion2
csendesen állítsa be a PROJECT_DIR „E:/ModelSim_Work/Test_DFF”
ha {[file létezik ../designer/SD1/simulation/postlayout/_info]} {
echo “INFO: A ../designer/SD1/simulation/postlayout szimulációs könyvtár már létezik”
} másik {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog – munka utáni elrendezés „${PROJECT_DIR}/designer/SD1/SD1_ba.v”
vlog „+incdir+${PROJECT_DIR}/stimulus” - munka utáni elrendezés „${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L utólagos elrendezés -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
hullám hozzáadása /SD1_TB1/*
log -r hozzáadása /*
fut 1000n
Postlayout_main.csh
#!/bin/csh -f
set PROJECT_DIR = "/VCS_Tests/Test_DFF"
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postlayout „${PROJECT_DIR}/
designer/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k "+incdir+${PROJECT_DIR}/stimulus" -work
utólagos elrendezés: „${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf
max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
MUNKA > ALAPÉRTELMEZETT
SmartFusion2 : /VCS/SmartFusion2
postlayout : ./postlayout
ALAPÉRTELMEZETT : ./workVCS
6.6 Automatizálás (Tegyen fel egy kérdést)
A folyamat automatizálható a következő Perl-szkript segítségével file a ModelSim run.do konvertálásához files VCS-kompatibilis shell scriptbe files, hozzon létre megfelelő könyvtárakat a Libero SoC szimulációs könyvtárban, majd futtassa a szimulációkat.
Futtassa a szkriptet file a következő szintaxis használatával.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
################################################ ############################
#
#Használat: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
################################################ #############################
my ($presynth, $postsynth, $postlayout) = @ARGV;
if(system(“mkdir VCS_Presynth”)) {print “mkdir failed:\n”;}
if(system("mkdir VCS_Postsynth") {print "mkdir failed:\n";}
if(system(“mkdir VCS_Postlayout”)) {print “mkdir failed:\n”;}
chdir(VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,"presynth");
chdir (../”);
chdir(VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,"postsynth");
chdir (../”);
chdir(VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,"postlayout");
chdir (../”);
sub parse_do {
my $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k” ;
%LIB = ();
én $file = $_[0] ;
$állapotom = $_[1];
open(INFILE,”$file”) || die „Nem lehet kinyitni File Az ok lehet:$!”;
if ( $state eq "presynth" )
{
open(OUT1,">presynth_main.csh") || die „Nem lehet parancsot létrehozni File Az ok lehet:$!”;
}
elsif ( $state eq "postsynth")
{
open(OUT1,">postsynth_main.csh") || die „Nem lehet parancsot létrehozni File Az ok lehet:$!”;
}
elsif ( $state eq "postlayout" )
{
open(OUT1,">postlayout_main.csh") || die „Nem lehet parancsot létrehozni File Az ok lehet:$!”;
}
más
{
print "Szimulációs állapot hiányzik \n" ;
}
open(OUT2,">synopsys_sim.setup") || die „Nem lehet parancsot létrehozni File Az ok lehet:$!”;
# .csh file
nyomtat OUT1 “#!/bin/csh -f\n\n\n” ;
#BEÁLLÍT FILE
print OUT2 “WORK > DEFAULT\n” ;
print OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
while ($sor =FILE>)
{
Szinopszis VCS beállítás
if ($sor =~ m/halkan beállítva PROJECT_DIR\s+\”(.*?)\”/)
{
print OUT1 “set PROJECT_DIR = \”$1\”\n\n\n” ;
}
elsif ( $sor =~ m/vlog.*\.v\”/ )
{
if ($sor =~ m/\s+(\w*?)\_LIB/)
{
#print “\$1 =$1 \n” ;
$temp = “$1″.”_LIB”;
#print “Temp = $temp \n” ;
$LIB{$temp}++;
}
chomp($sor);
$line =~ s/^vlog/$vlog/ ;
$sor =~ s/ //g;
nyomtat OUT1 “$line\n”;
}
elsif ( ($sor =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($sor
=~ m/vsim.*utólagos elrendezés\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb);
#print "TB név : $tb \n";
if ( $sor =~ m/sdf(.*)\.sdf/)
{
chomp($sor);
$sor = $1 ;
#print “LINE : $line \n” ;
if ($sor =~ m/max/)
{
$sor =~ s/max \/// ;
$sor =~ s/=/:/;
print OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($sor =~ m/perc/)
{
$sor =~ s/perc \/// ;
$sor =~ s/=/:/;
print OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
min:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($sor =~ m/typ/)
{
$sor =~ s/typ \/// ;
$sor =~ s/=/:/;
print OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
typ:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — ModelSim SDF formátum
#$sdf = "-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf"; -VCS
SDF formátum
}
}
}
nyomtatás
OUT1 „\n\n”
;
if
( $state eq "presynth"
)
{
nyomtatás
OUT2 „presynth
: ./presynth\n”
;
nyomtatás
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
elsif
( $state eq "postsynth"
)
{
nyomtatás
OUT2 „postsynth
: ./postsynth\n”
;
nyomtatás
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
elsif
( $state eq “postlayout”
)
{
print OUT2 “postlayout : ./postlayout\n” ;
}
más
{
print "Szimulációs állapot hiányzik \n" ;
}
foreach $i ( kulcsok %LIB)
{
#print “Kulcs : $i Érték : $LIB{$i} \n” ;
nyomtat OUT2 “$i : ./$i\n” ;
}
nyomtatás OUT1 “\n\n” ;
print OUT1 “./simv -l run.log\n” ;
print OUT2 “DEFAULT : ./work\n” ;
bezárniFILE;
zárja be az OUT1-et;
zárja be az OUT2-et;
}
Módosítási előzmények (Mikrochip bejelentkezés
A felülvizsgálati előzmények leírják a dokumentumban végrehajtott változtatásokat. A változások
revízió szerint vannak felsorolva, a legfrissebb kiadványtól kezdve.
Felülvizsgálat | Dátum | Leírás |
A | 12/2023 | Ebben a felülvizsgálatban a következő változások történtek: • A dokumentum Microchip sablonná konvertálva. Kezdeti felülvizsgálat. • Frissítve az 5. Siemens QuestaSim Setup/ModelSim Setup szakasz egy új megjegyzéssel, amely elmagyarázza a láthatóságra gyakorolt hatást a szimuláció és az optimalizálás során. |
Microchip FPGA támogatás
A Microchip FPGA termékcsoport termékeit különféle támogatási szolgáltatásokkal támogatja, beleértve az Ügyfélszolgálatot, az Ügyfél műszaki támogatási központját, stb webtelephelye és világszerte működő értékesítési irodái.
Az ügyfeleknek azt javasoljuk, hogy látogassák meg a Microchip online forrásait, mielőtt kapcsolatba lépnének az ügyfélszolgálattal, mivel nagyon valószínű, hogy kérdéseiket már megválaszolták.
Lépjen kapcsolatba a Műszaki Támogatási Központtal a következőn keresztül webwebhely a címen www.microchip.com/support. Említse meg az FPGA-eszköz cikkszámát, válassza ki a megfelelő házkategóriát, és töltse fel a tervet files miközben létrehoz egy műszaki támogatási ügyet.
Lépjen kapcsolatba az Ügyfélszolgálattal a nem műszaki terméktámogatásért, mint például a termékárak, a termékfrissítések, a frissítési információk, a rendelés állapota és az engedélyezés.
- Észak-Amerikából hívja a 800.262.1060 számot
- A világ többi részéről hívja a 650.318.4460 számot
- Fax, a világ bármely pontjáról, 650.318.8044 XNUMX XNUMX
Mikrochip információk
A Mikrochip Webtelek
A Microchip online támogatást nyújt a mi oldalunkon keresztül webwebhely a címen www.microchip.com/. Ez webkészítésére használják az oldalt files és információk könnyen elérhetők az ügyfelek számára. A rendelkezésre álló tartalom egy része a következőket tartalmazza:
- Terméktámogatás – Adatlapok és hibák, alkalmazási megjegyzések és sample programokat, tervezési forrásokat, felhasználói kézikönyveket és hardvertámogató dokumentumokat, legújabb szoftverkiadásokat és archivált szoftvereket
- Általános műszaki támogatás – Gyakran Ismételt Kérdések (GYIK), Technikai támogatási kérések, online vitacsoportok, Microchip tervezési partnerprogram tagjainak listája
- A Microchip üzletága – Termékválasztó és rendelési útmutatók, legújabb Microchip sajtóközlemények, szemináriumok és események listája, Microchip értékesítési irodáinak, forgalmazóinak és gyári képviselőinek listája
Termékváltoztatásértesítő szolgáltatás
A Microchip termékváltoztatási értesítési szolgáltatása segít az ügyfeleknek naprakészen tartani a Microchip termékeit. Az előfizetők e-mailben értesítést kapnak, ha egy adott termékcsaláddal vagy fejlesztőeszközzel kapcsolatban változás, frissítés, átdolgozás vagy hiba történik.
A regisztrációhoz menjen a címre www.microchip.com/pcn, és kövesse a regisztrációs utasításokat.
Ügyfélszolgálat
A Microchip termékek felhasználói több csatornán keresztül kaphatnak segítséget:
- Forgalmazó vagy képviselő
- Helyi Értékesítési Iroda
- Embedded Solutions Engineer (ESE)
- Műszaki támogatás
Az ügyfeleknek támogatásért fordulniuk kell a forgalmazójukhoz, képviselőjükhöz vagy az ESE-hez. A helyi értékesítési irodák is rendelkezésre állnak, hogy segítsenek az ügyfeleknek. Az értékesítési irodák és helyszínek listája ebben a dokumentumban található.
A technikai támogatás a következőn keresztül érhető el webwebhely a következő címen: www.microchip.com/support
Mikrochip eszközök kódvédelmi funkciója
Vegye figyelembe a Microchip termékek kódvédelmi funkciójának alábbi részleteit:
- A Microchip termékek megfelelnek az adott Microchip Adatlapon található előírásoknak.
- A Microchip úgy véli, hogy termékcsaládja biztonságos, ha rendeltetésszerűen, a működési előírásokon belül és normál körülmények között használják.
- A Microchip értékeli és agresszíven védi szellemi tulajdonjogait. A Microchip termék kódvédelmi funkcióinak megsértésére irányuló kísérletek szigorúan tilosak, és sérthetik a Digital Millennium Copyright Act-et.
- Sem a Microchip, sem más félvezetőgyártó nem tudja garantálni kódja biztonságát. A kódvédelem nem jelenti azt, hogy garantáljuk a termék „törhetetlenségét”.
A kódvédelem folyamatosan fejlődik. A Microchip elkötelezett amellett, hogy folyamatosan fejlessze termékei kódvédelmi funkcióit.
Jogi közlemény
Ez a kiadvány és az itt található információk csak Microchip termékekkel használhatók, ideértve a Microchip termékek tervezését, tesztelését és integrálását az alkalmazással. Ezen információk bármilyen más módon történő felhasználása sérti ezeket a feltételeket. Az eszközalkalmazásokkal kapcsolatos információk csak az Ön kényelmét szolgálják, és frissítések válthatják fel azokat. Az Ön felelőssége annak biztosítása, hogy alkalmazása megfeleljen az előírásoknak. További támogatásért forduljon a helyi Microchip értékesítési irodához, vagy kérjen további támogatást a következő címen www.microchip.com/en-us/support/design-help/client-support-services.
EZT AZ INFORMÁCIÓT A MICROCHIP „AHOGY VAN”. A MICROCHIP NEM NYILATKOZAT SEMMILYEN KIFEJEZETT VAGY VÉLEMEZTETETT, ÍRÁSBAN VAGY SZÓBELI, TÖRVÉNYI VAGY EGYÉBEN AZ INFORMÁCIÓKAL KAPCSOLATOS GARANCIÁT, BELEÉRTVE, DE NEM KIZÁRÓLAG BÁRMILYEN VÉLEMEZTETT GARANCIÁT. MEGHATÁROZOTT CÉLRA VALÓ ALKALMAZÁS, VAGY ÁLLAPOTÁHOZ, MINŐSÉGÉVEL VAGY TELJESÍTMÉNYÉVEL KAPCSOLATOS GARANCIA.
A MICROCHIP SEMMILYEN ESETBEN NEM VÁLLAL FELELŐSSÉGET SEMMILYEN KÖZVETETT, KÜLÖNLEGES, BÜNTETŐ, VÉLETLENES VAGY KÖVETKEZMÉNYES VESZTESÉGÉRT, KÁRÉRT, KÖLTSÉGÉRT VAGY KÖLTSÉGEKÉRT, AKÁRMIKOR KAPCSOLÓDIK AZ INFORMÁCIÓKHOZ VAGY AZ EGYES ALKALMAZÁSÁVAL, TÁJÉKOZTATÁST A LEHETŐSÉGRŐL, VAGY A KÁROK ELŐRELÁTHATÓAK. A TÖRVÉNY ÁLTAL ENGEDÉLYEZETT TELJES MÉRTÉKÉBEN A MICROCHIP TELJES FELELŐSSÉGE AZ INFORMÁCIÓKAL VAGY FELHASZNÁLÁSÁVAL KAPCSOLATOS ÖSSZES KÖVETELÉSRE VONATKOZÓAN NEM MEGHAJLJA A DÍJAK ÖSSZEGÉT, AMENNYIBEN VAN SZÜKSÉGES, AMELYEKET ÖN AZ MICROFORMÁTUMÉRT FIZETTE.
A Microchip eszközök életfenntartó és/vagy biztonsági alkalmazásokban történő használata teljes mértékben a vevő kockázatára történik, és a vevő vállalja, hogy megvédi, kártalanítja és ártalmatlanná teszi a Microchipet az ilyen használatból eredő károk, követelések, perek vagy költségek ellen. A Microchip szellemi tulajdonjogai alapján semmilyen licencet nem adnak át, sem hallgatólagosan, sem más módon, hacsak másként nem rendelkeznek.
Védjegyek
A Microchip neve és logója, a Microchip logó, Adaptec, AVR, AVR logó, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinklusMD, maXTouchty, MediaLB, megaAVR, Microsemi, Microsemi logó, MOST, MOST logó, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logó, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST embléma, SupercomFlash, Symmetri , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron és XMEGA a Microchip Technology Incorporated bejegyzett védjegyei az Egyesült Államokban és más országokban.
AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logó, Quiet- Wire, SmartFusion, A SyncWorld, a Temux, a TimeCesium, a TimeHub, a TimePictra, a TimeProvider, a TrueTime és a ZL a Microchip Technology Incorporated bejegyzett védjegyei az Egyesült Államokban.
Szomszédos kulcsok elnyomása, AKS, analóg a digitális korhoz, bármilyen kondenzátor, AnyIn, AnyOut, kiterjesztett kapcsolás, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, A Dynamics, ADynets, CryptoController, ddnets. , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit soros programozás, ICSP, INICnet, Intelligens párhuzamosítás, IntelliMOS, Chip közötti kapcsolat, JitterBlocker, Knob-on-Display, KoD, maxCrypto, max.View, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified logó, MPLIB, MPLINK, MultiTRAK, NetDetach, Mindentudó kódgenerálás, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher,
SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance, Trusted Time, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewA Span, a WiperLock, az XpressConnect és a ZENA a Microchip Technology Incorporated védjegyei
az USA-ban és más országokban.
Az SQTP a Microchip Technology Incorporated szolgáltatási védjegye az Egyesült Államokban
Az Adaptec logó, a Frequency on Demand, a Silicon Storage Technology és a Symmcom a Microchip Technology Inc. bejegyzett védjegyei más országokban.
A GestIC a Microchip Technology Germany II GmbH & Co. KG, a Microchip Technology Inc. leányvállalatának más országokban bejegyzett védjegye.
Minden más itt említett védjegy a megfelelő vállalatok tulajdona.
© 2023, Microchip Technology Incorporated és leányvállalatai. Minden jog fenntartva.
ISBN: 978-1-6683-3694-6
Minőségirányítási rendszer
A Microchip minőségirányítási rendszereivel kapcsolatos információkért látogasson el a weboldalra www.microchip.com/quality.
AMERIKA | ÁZSIA/CSENDES-óceáni térség | ÁZSIA/CSENDES-óceáni térség | EURÓPA |
Vállalati Iroda 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Tel: 480-792-7200 Fax: 480-792-7277 Technikai támogatás: www.microchip.com/support Web Cím: www.microchip.com Atlanta Duluth, GA Tel: 678-957-9614 Fax: 678-957-1455 Austin, TX Tel: 512-257-3370 Boston Westborough, MA Tel: 774-760-0087 Fax: 774-760-0088 Chicago Itasca, IL Tel: 630-285-0071 Fax: 630-285-0075 Dallas Addison, TX Tel: 972-818-7423 Fax: 972-818-2924 Detroit Novi, MI Tel: 248-848-4000 Houston, TX Tel: 281-894-5983 Indianapolis Noblesville, IN Tel: 317-773-8323 Fax: 317-773-5453 Tel: 317-536-2380 Los Angeles Mission Viejo, CA Tel: 949-462-9523 Fax: 949-462-9608 Tel: 951-273-7800 Raleigh, NC Tel: 919-844-7510 New York, NY Tel: 631-435-6000 San Jose, CA Tel: 408-735-9110 Tel: 408-436-4270 Kanada – Toronto Tel: 905-695-1980 Fax: 905-695-2078 |
Ausztrália – Sydney Tel: 61-2-9868-6733 Kína – Peking Tel: 86-10-8569-7000 Kína – Csengdu Tel: 86-28-8665-5511 Kína – Chongqing Tel: 86-23-8980-9588 Kína – Dongguan Tel: 86-769-8702-9880 Kína – Kanton Tel: 86-20-8755-8029 Kína – Hangzhou Tel: 86-571-8792-8115 Kína – Hongkong KKT Tel: 852-2943-5100 Kína – Nanjing Tel: 86-25-8473-2460 Kína – Qingdao Tel: 86-532-8502-7355 Kína – Sanghaj Tel: 86-21-3326-8000 Kína – Shenyang Tel: 86-24-2334-2829 Kína – Sencsen Tel: 86-755-8864-2200 Kína – Suzhou Tel: 86-186-6233-1526 Kína – Vuhan Tel: 86-27-5980-5300 Kína – Xian Tel: 86-29-8833-7252 Kína – Xiamen Tel: 86-592-2388138 Kína – Zhuhai Tel: 86-756-3210040 |
India – Bangalore Tel: 91-80-3090-4444 India – Újdelhi Tel: 91-11-4160-8631 India - Pune Tel: 91-20-4121-0141 Japán – Oszaka Tel: 81-6-6152-7160 Japán – Tokió Tel: 81-3-6880-3770 Korea – Daegu Tel: 82-53-744-4301 Korea – Szöul Tel: 82-2-554-7200 Malajzia – Kuala Lumpur Tel: 60-3-7651-7906 Malajzia – Penang Tel: 60-4-227-8870 Fülöp-szigetek – Manila Tel: 63-2-634-9065 Szingapúr Tel: 65-6334-8870 Tajvan – Hsin Chu Tel: 886-3-577-8366 Tajvan – Kaohsiung Tel: 886-7-213-7830 Tajvan – Tajpej Tel: 886-2-2508-8600 Thaiföld – Bangkok Tel: 66-2-694-1351 Vietnam – Ho Si Minh Tel: 84-28-5448-2100 |
Ausztria – Wels Tel: 43-7242-2244-39 Fax: 43-7242-2244-393 Dánia – Koppenhága Tel: 45-4485-5910 Fax: 45-4485-2829 Finnország – Espoo Tel: 358-9-4520-820 Franciaország – Párizs Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Németország – Garching Tel: 49-8931-9700 Németország – Haan Tel: 49-2129-3766400 Németország – Heilbronn Tel: 49-7131-72400 Németország – Karlsruhe Tel: 49-721-625370 Németország – München Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Németország – Rosenheim Tel: 49-8031-354-560 Izrael – Ra'anana Tel: 972-9-744-7705 Olaszország – Milánó Tel: 39-0331-742611 Fax: 39-0331-466781 Olaszország – Padova Tel: 39-049-7625286 Hollandia – Drunen Tel: 31-416-690399 Fax: 31-416-690340 Norvégia – Trondheim Tel: 47-72884388 Lengyelország – Varsó Tel: 48-22-3325737 Románia – Bukarest Tel: 40-21-407-87-50 Spanyolország – Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 Svédország – Gothenberg Tel: 46-31-704-60-40 Svédország – Stockholm Tel: 46-8-5090-4654 Egyesült Királyság – Wokingham Tel: 44-118-921-5800 Fax: 44-118-921-5820 |
© 2023 Microchip Technology Inc. és leányvállalatai
DS50003627A –
Dokumentumok / Források
![]() |
MICROCHIP Libero SoC szimulációs könyvtár szoftver [pdf] Felhasználói útmutató DS50003627A, Libero SoC szimulációs könyvtári szoftver, SoC szimulációs könyvtári szoftver, szimulációs könyvtári szoftver, könyvtári szoftver, szoftver |