MICROCHIP lógó Libero SoC uppgerð
Leiðbeiningar um uppsetningu bókasafns

Inngangur

(Spyrðu spurningu)

Tilgangur þessa skjals er að lýsa ferlinu við að setja upp hermiumhverfið með því að nota Libero SoC verkefni sem inntak. Þessi skjöl samsvara forsamsettu bókasöfnunum sem eru til notkunar með Libero SoC v11.9 og nýrri hugbúnaðarútgáfum. Bókasöfnin sem fylgja með eru sett saman fyrir Verilog. VHDL notendur þurfa leyfi sem leyfir uppgerð með blandaðri stillingu.
Söfnuð uppgerð bókasöfn eru fáanleg fyrir eftirfarandi verkfæri:

  • Aldec Active-HDL
  • Aldec Riviera-PRO
  • Cadence Incisive Enterprise og Xcelium
  • Siemens QuestaSim
  • Synopsys VCS

Til að biðja um bókasafn fyrir annan hermi, hafðu samband Tækniaðstoð örflögu.

Libero SoC samþætting

(Spyrðu spurningu)

Libero SoC styður uppgerð með ModelSim ME með því að búa til run.do file. Þetta file er notað af ModelSim ME/ModelSim Pro ME til að setja upp og keyra uppgerðina. Til að nota önnur uppgerð verkfæri geturðu búið til ModelSim ME/ModelSim Pro ME run.do og breytt Tcl forskriftinni file til að nota skipanirnar sem eru samhæfar við herminn þinn.
1.1 Libero SoC Tcl File Kynslóð (Spyrðu spurningu)
Eftir að hafa búið til og búið til hönnun í Libero SoC, byrjaðu ModelSim ME/ModelSim Pro ME uppgerð undir öllum hönnunarstigum (presynth, postsynth og post-layout). Þetta skref býr til run.do file fyrir ModelSim ME/ModelSim Pro ME fyrir hvern hönnunarfasa.
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Eftir að hverja uppgerð er hafin skaltu endurnefna sjálfvirka myndaða run.do file undir uppgerðaskránni til að koma í veg fyrir að Libero SoC skrifi yfir það file. Til dæmisample, hinn files er hægt að endurnefna í presynth_run.do, postsynth_run.do og postlayout_run.do.

Aldec uppsetning fyrir Active-HDL og Riviera-Pro (Spyrðu spurningu)

The run.do file Notað af ModelSim ME/ModelSim Pro ME er hægt að breyta og nota til eftirlíkingar með því að nota Aldec herma.
2.1 Umhverfisbreyta (Spyrðu spurningu)
Stilltu umhverfisbreytuna þína á leyfið þitt file staðsetning:
LM_LICENSE_FILE: verður að innihalda bendil á leyfisþjóninn.
2.2 Sækja samsett bókasafn (Spyrðu spurningu)
Sæktu bókasöfnin fyrir Aldec Active-HDL og Aldec Riviera-PRO frá örflögunni websíða.
2.3 Umbreyta run.do fyrir Aldec uppgerð (Spyrðu spurningu)
The run.do files mynda af Libero SoC fyrir uppgerð með Active-HDL og Riviera-Pro tólinu er hægt að nota fyrir uppgerð með Active-HDL og Riviera-Pro með einni breytingu. Eftirfarandi tafla sýnir Aldec-jafngildar skipanir til að breyta í ModelSim run.do file.
Tafla 2-1. Aldec jafngildar skipanir

ModelSim Virkt HDL
vlog alog
vcom acom
vlib alib
vsim asim
vmap amap

Eftirfarandi er semample run.do sem tengist Aldec hermum.

  1. Stilltu staðsetningu núverandi vinnumöppu.
    setja dsn
  2. Stilltu heiti vinnusafns, kortleggðu staðsetningu þess og kortleggðu síðan staðsetningu Microchip FPGA fjölskyldunnar
    forsamsett bókasöfn (tdample, SmartFusion2) sem þú keyrir hönnunina þína á.
    alib forsynth
    amap Presynth Presynth
    amap SmartFusion2
  3. Safnaðu saman öllum nauðsynlegum HDL files notað í hönnuninni með nauðsynlegu bókasafni.
    alog –work presynth temp.v (fyrir Verilog)
    alog –work presynth testbench.v
    acom –work presynth temp.vhd (fyrir Vhdl)
    acom –work presynth testbench.vhd
  4. Líktu eftir hönnuninni.
    asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
    hlaupa 10us

2.4 Þekkt vandamál (Spyrðu spurningu)
Þessi hluti listar upp þekkt vandamál og takmarkanir.

  • Bókasöfn sem tekin eru saman með Riviera-PRO eru vettvangssértæk (þ.e. 64-bita bókasöfn er ekki hægt að keyra á 32-bita vettvangi og öfugt).
  • Fyrir hönnun sem inniheldur SERDES/MDDR/FDDR, notaðu eftirfarandi valkost í run.do þinni files meðan þeir keyra eftirlíkingar eftir að hafa tekið saman hönnun þeirra:
    – Virkt-HDL: asim –o2
    – Riviera-PRO: asim –O2 (fyrir presynth og post-layout hermir) og asim –O5 (fyrir post-layout hermir)
    Aldec uppsetningin fyrir Active-HDL og Riviera-Pro hefur eftirfarandi SARs í bið. Fyrir frekari upplýsingar, hafðu samband Tækniaðstoð örflögu.
  • SAR 49908 – Active-HDL: VHDL Villa fyrir stærðfræðiblokk eftirlíkingar
  • SAR 50627 – Riviera-PRO 2013.02: Hermivillur fyrir SERDES hönnun
  • SAR 50461 – Riviera-PRO: asim -O2/-O5 valkostur í uppgerð

Cadence Incisive uppsetning (Spyrðu spurningu)

Þú þarft að búa til handrit file svipað og ModelSim ME/ModelSim Pro ME run.do til að keyra
Cadence Incisive hermir. Fylgdu þessum skrefum og búðu til handrit file fyrir NCSim eða notaðu handritið file
veitt til að umbreyta ModelSim ME/ModelSim Pro ME run.do files inn í uppsetninguna files
þarf til að keyra uppgerðina með NCSim.
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Cadence hefur hætt að gefa út nýjar útgáfur af Incisive Enterprise
hermir og byrjaði að styðja Xcelium hermir.

3.1 Umhverfisbreytur (Spyrðu spurningu)
Til að keyra Cadence Incisive hermir skaltu stilla eftirfarandi umhverfisbreytur:

  1. LM_LICENSE_FILE: verður að innihalda vísbendingu um leyfið file.
  2. cds_root: verður að benda á heimamöppustaðsetningu Cadence Incisive Installation.
  3. PATH: verður að benda á hólfastaðsetninguna undir verkfæraskránni sem bent er á cds_root, það er,
    $cds_root/tools/bin/64bit (fyrir 64-bita vél og $cds_root/tools/bin fyrir 32-bita vél).
    Það eru þrjár leiðir til að setja upp hermiumhverfið ef skipt er á milli 64-bita og 32-bita stýrikerfa:

Tilvik 1: PATH breytu
Keyra eftirfarandi skipun:
stilltu slóð = (install_dir/tools/bin/64bit $path) fyrir 64bit vélar og
set path = (install_dir/tools/bin $path) fyrir 32bit vélar
Tilvik 2: Notkun -64bita skipanalínuvalkostinn
Í skipanalínunni tilgreindu -64bit valmöguleika til að kalla fram 64bit keyrslu.
Tilfelli 3: Stilling á INCA_64BIT eða CDS_AUTO_64BIT umhverfisbreytu
INCA_64BIT breytan er meðhöndluð sem boolesk. Þú getur stillt þessa breytu á hvaða gildi sem er eða á núllstreng.
setenv INCA_64BIT

MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: The INCA_64BIT umhverfisbreytan hefur ekki áhrif á önnur Cadence verkfæri, eins og IC verkfæri. Hins vegar, fyrir Incisive verkfæri, hnekkir INCA_64BIT breytan stillingu fyrir CDS_AUTO_64BIT umhverfisbreytuna. Ef INCA_64BIT umhverfisbreytan er stillt keyra öll Incisive verkfærin í 64 bita ham. setenv CDS_AUTO_64BIT INCLUDE:INCA
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: The strengurinn INCA verður að vera hástöfum. Allar keyrslur verða að keyra annað hvort í 32-bita ham eða í 64-bita ham, ekki stilla breytuna þannig að hún innihaldi eina keyrslu, eins og í eftirfarandi:
setenv CDS_AUTO_64BIT INCLUDE:ncelab

Önnur Cadence verkfæri, eins og IC verkfæri, nota einnig CDS_AUTO_64BIT umhverfisbreytuna til að stjórna vali á 32 bita eða 64 bita keyrslu. Eftirfarandi tafla sýnir hvernig þú getur stillt CDS_AUTO_64BIT breytuna til að keyra Incisive verkfærin og IC verkfærin í öllum stillingum.
Tafla 3-1. CDS_AUTO_64BIT breytur

CDS_AUTO_64BIT Breyta Skýr verkfæri IC verkfæri
setenv CDS_AUTO_64BIT ALLT 64 bita 64 bita
setenv CDS_AUTO_64BIT ENGINN 32 bita 32 bita
setenv CDS_AUTO_64BIT ÚTILOKA:ic_binary 64 bita 32 bita
setenv CDS_AUTO_64BIT EXCLUDE:INCA 32 bita 64 bita

MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Öll Incisive verkfæri verða að keyra annað hvort í 32-bita ham eða í 64-bita ham, ekki nota EXCLUDE til að útiloka tiltekna keyrslu, eins og í eftirfarandi: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Ef þú stillir CDS_AUTO_64BIT breytuna til að útiloka Incisive verkfærin (setenv CDS_AUTO_64BIT EXCLUDE:INCA), eru öll Incisive verkfæri keyrð í 32 bita ham. Hins vegar, -64bit skipanalínuvalkosturinn hnekkir umhverfisbreytunni.
Eftirfarandi stillingar files hjálpa þér að hafa umsjón með gögnunum þínum og stjórna rekstri hermunarverkfæra og tóla:

  • Kortlagning bókasafns file (cds.lib)—skilgreinir rökrétt heiti fyrir staðsetningu hönnunar þinnar.
  • Bókasöfn og tengir þau við líkamleg skráarheiti.
  • Breytur file (hdl.var)—Skilgreinir breytur sem hafa áhrif á hegðun hermunatóla og tóla.

3.2 Sækja samsett bókasafn (Spyrðu spurningu)
Sæktu söfnin fyrir Cadence Incisive frá Microsemi's websíða.
3.3 Að búa til NCSim forskriftina File (Spyrðu spurningu)
Eftir að hafa búið til afrit af run.do files, framkvæma þessi skref til að keyra uppgerð þína með NCSim:

  1. Búðu til cds.lib file sem skilgreinir þau bókasöfn sem eru aðgengileg og staðsetningu þeirra. The file inniheldur staðhæfingar sem kortleggja rökræn nöfn bókasafna við efnisskrárslóðir þeirra. Til dæmisample, ef þú ert að keyra presynth uppgerð, cds.lib file er skrifað eins og sýnt er í eftirfarandi kóðablokk.
    SKILGREIÐA forsynth ./presynth
    SKILGREIÐU COREAHBLITE_LIB ./COREAHBLITE_LIB
    SKILGREIÐU smartfusion2
  2. Búðu til hdl.var file, valfrjáls stilling file sem inniheldur stillingarbreytur, sem ákvarðar hvernig hönnunarumhverfið þitt er stillt. Eftirfarandi breyta files eru innifalin:
    – Breytur sem eru notaðar til að tilgreina vinnusafnið þar sem þýðandinn geymir samansetta hluti og önnur afleidd gögn.
    – Fyrir Verilog, breytur (LIB_MAP, VIEW_MAP, WORK) sem eru notuð til að tilgreina söfnin og views til að leita þegar útfærslan leysir tilvik.
    - Breytur sem gera þér kleift að skilgreina skipanalínuvalkosti og röksemdir fyrir þýðanda, útfærslu og hermir.
    Ef um er að ræða forsynth uppgerð tdample sýnt hér að ofan, segjum að við höfum þrjú RTL files: av, bv og testbench.v, sem þarf að safna saman í presynth, COREAHBLITE_LIB og presynth bókasöfn í sömu röð. Hdl.var file hægt að skrifa eins og sýnt er í eftirfarandi kóðablokk.
    SKILGREIÐA WORK presynth
    SKILGREIÐA PROJECT_DIR files>
    SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/av => forsynth )
    SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
    SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => forsynth )
    SKILGREIÐA LIB_MAP ( $LIB_MAP, + => forsynth )
  3. Settu saman hönnunina files með því að nota ncvlog valkostinn.
    ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log –update –linedebug av bv testbench.v
  4. Útfærðu hönnunina með því að nota ncelab. Útgáfan smíðar hönnunarstigveldi byggt á staðsetningar- og stillingarupplýsingum í hönnuninni, kemur á merkjatengingu og reiknar upphafsgildi fyrir alla hluti í hönnuninni. Vandað hönnunarstigveldið er geymt í skyndimynd eftirlíkingar, sem er framsetning hönnunar þinnar sem hermirinn notar til að keyra uppgerðina.
    ncelab –Skilaboð –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
    aðgangur +rwc –staða vinnulib. : mát
    Útfærsla við uppgerð eftir uppgerð
    Ef um er að ræða eftirlíkingar eftir útlit, fyrst SDF file þarf að safna saman fyrir útfærslu með því að nota ncsdfc skipunina.
    ncsdfcfilenafn>.sdf –úttakfilenafn>.sdf.X
    Meðan á útfærslu stendur skaltu nota samansafnaða SDF úttakið með –autosdf valkostinum eins og sýnt er í eftirfarandi kóðablokk.
    ncelab -autosdf –Skilaboð –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
    15 –aðgangur +rwc –staða vinnulib. :module –sdf_cmd_file ./
    sdf_cmd_file
    sdf_cmd_file verður að vera eins og sýnt er í eftirfarandi kóðablokk.
    COMPILED_SDF_FILE = “ file>”
  5. Herma með því að nota ncsim. Eftir útfærslu er uppgerð skyndimynd búin til, sem er hlaðin af ncsim fyrir uppgerð. Þú getur keyrt í lotuham eða GUI ham.
    ncsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log –
    errormax 15 – status worklib. : mát

MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Öll ofangreind þrjú skref við að setja saman, útfæra og líkja saman er hægt að setja í skeljaforskrift file og fengið frá skipanalínu. Í stað þess að nota þessi þrjú skref er hægt að líkja eftir hönnun í einu skrefi með því að nota ncverilog eða irun valkostinn eins og sýnt er í eftirfarandi kóðablokk.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
files notað í hönnuninni>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
notað í hönnuninni>

3.3.1 Þekkt vandamál (Spyrðu spurningu)
Prófbekkur lausn
Að nota eftirfarandi yfirlýsingu til að tilgreina klukkutíðni í prófunarbekknum sem notandi myndar, eða sjálfgefna prófbekkinn sem er búinn til af Libero SoC, virkar ekki með NCSim.
alltaf @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Breyttu sem hér segir til að keyra uppgerð:
alltaf #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Samið bókasöfn fyrir NCSim eru vettvangssértæk (þ.e. 64 bita bókasöfn eru ekki samhæf við 32 bita vettvang og öfugt).
Postsynth og Post-layout uppgerð með því að nota MSS og SERDES Þegar keyrt er postsynth uppgerð af hönnun sem inniheldur MSS blokkina eða post-layout uppgerð af hönnun sem notar SERDES, virka BFM uppgerðin ekki ef –libmap valkosturinn er
ekki tilgreint við útfærslu. Þetta er vegna þess að á meðan á útfærslu stendur er MSS leyst úr vinnusafninu (vegna sjálfgefna bindingarinnar og vinnulíbið er postsynth/post-layout) þar sem það er bara Fixed Function.
Skrifa verður ncelab skipunina eins og sýnt er í eftirfarandi kóðablokk til að leysa MSS
blokk frá SmartFusion2 forsamsettu bókasafninu.

ncelab -libmap lib.map -libverbose -Skilaboð -aðgangur +rwc cfg1
og lib.map file verður að vera sem hér segir:
stilling cfg1;
hönnun ;
sjálfgefna liblist smartfusion2 ;
endconfig
Þetta leysir hvaða reit sem er í SmartFusion2 bókasafninu áður en leitað er í vinnusafnið, þ.e. postsynth/post-layout.
Hægt er að nota –libmap valmöguleikann sjálfgefið við útfærslu fyrir hverja uppgerð (presynth, postsynth og post-layout). Þetta kemur í veg fyrir uppgerð vandamál sem stafa af úrlausn tilvika frá bókasöfnum.
ncelab: *F,INTERR: INNRI UNDANTEKNING
Þessi undantekning á ncelab tóli er fyrirvari fyrir hönnun sem inniheldur FDDR í SmartFusion 2 og IGLOO 2 við eftirsynth og eftirgerð eftirlíkingar með því að nota –libmap valkostinn.
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Þetta vandamál hefur verið tilkynnt til stuðningsteymi Cadence (SAR 52113).

3.4 Sample Tcl og Shell Script Files (Spyrðu spurningu)
Eftirfarandi files eru stillingar fileþarf til að setja upp hönnunina og skeljahandritið file til að keyra NCSim skipanir.
Cds.lib
NE smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
SKILGREIÐU COREAHBLITE_LIB ./COREAHBLITE_LIB
SKILGREIÐA forsynth ./presynth

Hdl.var
SKILGREIÐA WORK presynth
SKILGREIÐA PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB)
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
Presynth)
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
Presynth)
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => forsynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => forsynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => forsynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, + => forsynth )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Skilaboð -cdslib ./cds.lib -hdlvar ./hdl.var
-vinna presynth -logfile ncelab.log -errormax 15 -aðgangur +rwc -staða presynth.testbench:module
ncsim -Skilaboð -lota -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -staða presynth.testbench:module

3.5 Sjálfvirkni (Spyrðu spurningu)
Eftirfarandi handrit file breytir ModelSim run.do files í uppsetningu fileer nauðsynlegt til að keyra uppgerð með NCSim.
Handrit File Notkun
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Precompiled_libraries

Cadence_parser.pl
#!/usr/bin/perl -w

############################################### ##########################################
##################
#Notkun: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#

############################################### ##########################################
##################
notaðu POSIX;
nota strangt;
mitt ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
undir questa_parser {
$ModelSim_run_do minn = $_[0];
$actel_family = $_[1];
$lib_location mín = $_[2];
$ríkið mitt;
if ( -e “$ModelSim_run_do”)
{
opið (INFILE"$ModelSim_run_do");
@ModelSim_run_do minn =FILE>;
$línan mín;
if ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
opið (ÚTFILE,”>QUESTA_PRESYNTH/presynth_questa.do“);
$ríki = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
opið (ÚTFILE,”>QUESTA_POSTSYNTH/postsynth_questa.do“);
$ríki = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
opið (ÚTFILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do“);
$ríki = $1;
} Annar
{
prenta „Rangt inntak gefið til file\n“;
prenta "#Notkun: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Staðsetning bókasafna\”\n”;
}
foreach $lína (@ModelSim_run_do)
{
#Almenn rekstur
$line =~ s/..\/hönnuður.*simulation\///g;
$lína =~ s/$ríki/$ríki\_questa/g;
#Prenta útFILE “$lína \n”;
if ($line =~ m/vmap\s+.*($actel_family)/)
{
Prenta útFILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
Prenta útFILE “$lína \n”;
} elsif ($lína =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
Prenta útFILE “$lína \n”;
} Annar
{
Prenta útFILE “$lína \n”;
}
}
loka(INFILE);
loka(ÚTFILE);
} annað {
prenta „$ModelSim_run_do er ekki til. Endurræstu uppgerð aftur \n”;
}
}

Cadence Xcelium uppsetning (Örflögu innskráning)

Þú þarft að búa til handrit file svipað og ModelSim ME/ModelSim Pro ME run.do til að keyra Cadence Xcelium herminn. Fylgdu þessum skrefum og búðu til handrit file fyrir Xcelium eða notaðu handritið file veitt til að umbreyta ModelSim ME/ModelSim Pro ME run.do files inn í uppsetninguna fileer nauðsynlegt til að keyra uppgerð með Xcelium.
4.1 Umhverfisbreytur (Spyrðu spurningu)
Til að keyra Cadence Xcelium skaltu stilla eftirfarandi umhverfisbreytur:

  1. LM_LICENSE_FILE: verður að innihalda vísbendingu um leyfið file.
  2. cds_root: verður að benda á heimamöppustaðsetningu Cadence Incisive Installation.
  3. PATH: verður að benda á hólfastaðsetninguna undir verkfæraskránni sem bent er á cds_root (þ.e
    $cds_root/tools/bin/64bit (fyrir 64 bita vél og $cds_root/tools/bin fyrir 32 bita
    vél).

Það eru þrjár leiðir til að setja upp hermiumhverfið ef skipt er á milli 64-bita og 32-bita stýrikerfa:
Tilvik 1: PATH breytu
stilltu slóð = (install_dir/tools/bin/64bit $path) fyrir 64bit vélar og
set path = (install_dir/tools/bin $path) fyrir 32bit vélar
Tilvik 2: Notkun -64bita skipanalínuvalkostinn
Tilgreindu -64bita valmöguleikann í skipanalínunni til að kalla fram 64-bita executable.
Tilfelli 3: Stilling á INCA_64BIT eða CDS_AUTO_64BIT umhverfisbreytu
INCA_64BIT breytan er meðhöndluð sem boolesk. Þú getur stillt þessa breytu á hvaða gildi sem er eða á núll
strengur.
setenv INCA_64BIT

MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: The INCA_64BIT umhverfisbreytan hefur ekki áhrif á önnur Cadence verkfæri, eins og IC verkfæri. Hins vegar, fyrir Incisive verkfæri, hnekkir INCA_64BIT breytan stillingu fyrir CDS_AUTO_64BIT umhverfisbreytuna. Ef INCA_64BIT umhverfisbreytan er et, keyra öll Incisive verkfæri í 64 bita ham.
setenv CDS_AUTO_64BIT INCLUDE:INCA
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: The strengurinn INCA verður að vera hástöfum. Allar keyrslur verða að keyra annað hvort í 2-bita ham eða í 64-bita ham, ekki stilla breytuna þannig að hún innihaldi eina keyrslu, eins og í eftirfarandi:
setenv CDS_AUTO_64BIT INCLUDE:ncelab
Önnur Cadence verkfæri, eins og IC verkfæri, nota einnig CDS_AUTO_64BIT umhverfisbreytuna til að stjórna vali á 32 bita eða 64 bita keyrslu. Eftirfarandi tafla sýnir hvernig þú getur stillt CDS_AUTO_64BIT breytuna til að keyra Incisive verkfærin og IC verkfærin í öllum stillingum.

Tafla 4-1. CDS_AUTO_64BIT breytur

CDS_AUTO_64BIT Breyta Skýr verkfæri IC verkfæri
setenv CDS_AUTO_64BIT ALLT 64 bita 64 bita
setenv CDS_AUTO_64BIT ENGINN 32 bita 32 bita
setenv CDS_AUTO_64BIT
ÚTAKTA:ic_binary
64 bita 32 bita
setenv CDS_AUTO_64BIT EXCLUDE:INCA 32 bita 64 bita

MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Öll Incisive verkfæri verða að keyra annað hvort í 32-bita ham eða í 64-bita ham, ekki nota EXCLUDE til að útiloka tiltekna keyrslu, eins og í eftirfarandi:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Ef þú stillir CDS_AUTO_64BIT breytuna til að útiloka Incisive verkfærin (setenv
CDS_AUTO_64BIT EXCLUDE:INCA), öll Incisive verkfæri eru keyrð í 32-bita ham. Hins vegar er
-64bit skipanalínuvalkostur hnekkir umhverfisbreytunni.
Eftirfarandi stillingar files hjálpa þér að hafa umsjón með gögnunum þínum og stjórna rekstri hermunarverkfæra og tóla:

  • Kortlagning bókasafns file (cds.lib) skilgreinir rökrétt heiti fyrir staðsetningu hönnunar þinnar.
  • Bókasöfn og tengir þau við líkamleg skráarheiti.
  • Breytur file (hdl.var) skilgreinir breytur sem hafa áhrif á hegðun hermunatóla og tóla.

4.2 Sækja samsett bókasafn (Spyrðu spurningu)
Sæktu bókasöfnin fyrir Cadence Xcelium frá Microsemi's websíða.
4.3 Að búa til Xcelium handritið file (Spyrðu spurningu)
Eftir að hafa búið til afrit af run.do files, framkvæma eftirfarandi skref til að keyra uppgerð þína með Xcelium skriftu file.

  1. Búðu til cds.lib file sem skilgreinir hvaða bókasöfn eru aðgengileg og hvar þau eru staðsett.
    The file inniheldur staðhæfingar sem kortleggja rökræn nöfn bókasafna við efnisskrárslóðir þeirra. Til dæmisample, ef þú ert að keyra presynth uppgerð, cds.lib file hægt að skrifa eins og sýnt er í eftirfarandi kóðablokk.
    SKILGREIÐA forsynth ./presynth
    SKILGREIÐU COREAHBLITE_LIB ./COREAHBLITE_LIB
    SKILGREIÐU smartfusion2
  2. Búðu til hdl.var file sem er valfrjáls stilling file sem inniheldur stillingarbreytur, sem ákvarðar hvernig hönnunarumhverfið þitt er stillt. Þar á meðal eru:
    – Breytur sem eru notaðar til að tilgreina vinnusafnið þar sem þýðandinn geymir samansetta hluti og önnur afleidd gögn.
    – Fyrir Verilog, breytur (LIB_MAP, VIEW_MAP, WORK) sem eru notuð til að tilgreina söfnin og views til að leita þegar útfærslan leysir tilvik.
    - Breytur sem gera þér kleift að skilgreina skipanalínuvalkosti og röksemdir fyrir þýðanda, útfærslu og hermir.
    Ef um er að ræða forsynth uppgerð tdample sýnt hér að ofan, segðu að við höfum 3 RTL files av, bv og testbench.v, sem þarf að safna saman í presynth, COREAHBLITE_LIB og presynth bókasöfn í sömu röð. Hdl.var file hægt að skrifa eins og sýnt er í eftirfarandi kóðablokk.
    SKILGREIÐA WORK presynth
    SKILGREIÐA PROJECT_DIR files>
    SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/av => forsynth )
    SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
    SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => forsynth )
    SKILGREIÐA LIB_MAP ( $LIB_MAP, + => forsynth )
  3. Settu saman hönnunina files með því að nota ncvlog valkostinn.
    xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log –update –linedebug av bv testbench.v
  4. Útfærðu hönnunina með því að nota ncelab. Útgáfan smíðar hönnunarstigveldi byggt á staðsetningar- og stillingarupplýsingum í hönnuninni, kemur á merkjatengingu og reiknar upphafsgildi fyrir alla hluti í hönnuninni. Vandað hönnunarstigveldið er geymt í skyndimynd eftirlíkingar, sem er framsetning hönnunar þinnar sem hermirinn notar til að keyra uppgerðina.
    Xcelium –Message –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
    aðgangur +rwc –staða vinnulib. : mát
    Útfærsla við uppgerð eftir uppgerð
    Ef um er að ræða eftirlíkingar eftir útlit, fyrst SDF file þarf að safna saman fyrir útfærslu með því að nota ncsdfc skipunina.
    Xceliumfilenafn>.sdf –úttakfilenafn>.sdf.X
    Meðan á útfærslu stendur skaltu nota samansafnaða SDF úttakið með –autosdf valkostinum eins og sýnt er í eftirfarandi kóðablokk.
    xmelab -autosdf –Skilaboð –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
    15 –aðgangur +rwc –staða vinnulib. :module –sdf_cmd_file ./
    sdf_cmd_file
    sdf_cmd_file verður að vera eins og sýnt er í eftirfarandi kóðablokk.
    COMPILED_SDF_FILE = “ file>”
  5. Herma eftir með því að nota Xcelium. Eftir útfærslu er uppgerð skyndimynd búin til sem er hlaðin af Xcelium fyrir uppgerð. Þetta er hægt að keyra í lotuham eða GUI ham.
    xmsim –Message –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log –
    errormax 15 – status worklib. : mát
    Cadence Xcelium uppsetning
    MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Allir ofangreind þrjú skref að setja saman, útfæra og líkja er hægt að setja inn í skeljahandrit file og fengið frá skipanalínu. Í stað þess að nota þessi þrjú skref er hægt að líkja eftir hönnun í einu skrefi með því að nota ncverilog eða xrun valkostinn eins og sýnt er í eftirfarandi kóðablokk.
    xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
    files notað í hönnuninni>
    xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
    notað í hönnuninni>

4.3.1 Þekkt vandamál (Spyrðu spurningu)
Prófbekkur lausn
Að nota eftirfarandi yfirlýsingu til að tilgreina klukkutíðni í prófunarbekknum sem notandi myndar eða sjálfgefna prófbekkinn sem er búinn til af Libero SoC virkar ekki með Xcelium.
alltaf @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Breyttu sem hér segir til að keyra uppgerð:
alltaf #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;

MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Samsett bókasöfn fyrir Xcelium eru vettvangssértæk (þ.e. 64 bita bókasöfn eru ekki samhæf við 32 bita vettvang og öfugt).
Postsynth og Post-layout Simulations með MSS og SERDES
Þegar þú keyrir postsynth uppgerð af hönnun sem inniheldur MSS blokk, eða post-layout uppgerð af hönnun sem notar SERDES, virka BFM uppgerðin ekki ef –libmap valkostur er ekki tilgreindur við útfærslu. Þetta er vegna þess að á meðan á útfærslu stendur er MSS leyst úr vinnusafninu (vegna sjálfgefna bindingarinnar og vinnulíbið er postsynth/post-layout) þar sem það er bara Fixed Function.
Skrifa verður ncelab skipunina eins og sýnt er í eftirfarandi kóðablokk til að leysa MSS blokkina úr SmartFusion2 forsamsettu bókasafninu.
xmelab -libmap lib.map -libverbose -Skilaboð -aðgangur +rwc cfg1
og lib.map file verður að vera sem hér segir:
stilling cfg1;
hönnun ;
sjálfgefna liblist smartfusion2 ;
endconfig
Þetta verður að leysa hvaða reit sem er í SmartFusion2 bókasafninu áður en þú skoðar vinnusafnið þ.e. postsynth/post-layout.
Hægt er að nota –libmap valmöguleikann sjálfgefið við útfærslu fyrir hverja uppgerð (presynth, postsynth og post-layout). Þetta kemur í veg fyrir uppgerð vandamál sem stafa af úrlausn tilvika frá bókasöfnum.
xmelab: *F,INTERR: INNRI UNDANTEKNING
Þessi undantekning á ncelab tóli er fyrirvari fyrir hönnun sem inniheldur FDDR í SmartFusion2 og IGLOO2
við postsynth og post-layout uppgerð með því að nota –libmap valkostinn.
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Þetta vandamál hefur verið tilkynnt til stuðningsteymi Cadence (SAR 52113).

4.4 Sample Tcl og skeljaskrift files (Spyrðu spurningu)
Eftirfarandi files eru stillingar fileþarf til að setja upp hönnunina og skeljahandritið file til að keyra Xcelium skipanir.
Cds.lib
SKILGREIÐA smartfusion2 /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
SKILGREIÐU COREAHBLITE_LIB ./COREAHBLITE_LIB
SKILGREIÐA forsynth ./presynth
Hdl.var
SKILGREIÐA WORK presynth
SKILGREIÐA PROJECT_DIR /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB)
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
Presynth)
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
Presynth)
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => forsynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => forsynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => forsynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
SKILGREIÐA LIB_MAP ( $LIB_MAP, + => forsynth )
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Skilaboð -cdslib ./cds.lib -hdlvar ./hdl.var
-vinna presynth -logfile ncelab.log -errormax 15 -aðgangur +rwc -staða presynth.testbench:module
ncsim -Skilaboð -lota -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -staða presynth.testbench:module

4.5 Sjálfvirkni (Örflögu innskráning)
Eftirfarandi handrit file breytir ModelSim run.do files í uppsetningu fileer nauðsynlegt til að keyra uppgerð með Xcelium.
Handrit File Notkun
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Precompiled_libraries
Cadence_parser.pl
#!/usr/bin/perl -w

############################################### ##########################################
##################
#Notkun: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#

############################################### ##########################################
##################
notaðu POSIX;
nota strangt;
mitt ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);

&questa_parser($postlayout, $family, $lib_location);
undir questa_parser {
$ModelSim_run_do minn = $_[0];
$actel_family = $_[1];
$lib_location mín = $_[2];
$ríkið mitt;
if ( -e “$ModelSim_run_do”)
{
opið (INFILE"$ModelSim_run_do");
@ModelSim_run_do minn =FILE>;
$línan mín;
if ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
opið (ÚTFILE,”>QUESTA_PRESYNTH/presynth_questa.do“);
$ríki = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
opið (ÚTFILE,”>QUESTA_POSTSYNTH/postsynth_questa.do“);
$ríki = $1;
} elsif ( $ModelSim_run_do =~ m/(postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
opið (ÚTFILE,”>QUESTA_POSTLAYOUT/postlayout_questa.do“);
$ríki = $1;
} Annar
{
prenta „Rangt inntak gefið til file\n“;
prenta "#Notkun: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”Staðsetning bókasafna\”\n”;
}
foreach $lína (@ModelSim_run_do)
{
#Almenn rekstur
$line =~ s/..\/hönnuður.*simulation\///g;
$lína =~ s/$ríki/$ríki\_questa/g;
#Prenta útFILE “$lína \n”;
if ($line =~ m/vmap\s+.*($actel_family)/)
{
Prenta útFILE “vmap $actel_family \”$lib_location\”\n”;
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
Prenta útFILE “$lína \n”;
} elsif ($lína =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
Prenta útFILE “$lína \n”;
} Annar
{
Prenta útFILE “$lína \n”;
}
}
loka(INFILE);
loka(ÚTFILE);
} annað {
prenta „$ModelSim_run_do er ekki til. Endurræstu uppgerð aftur \n”;
}
}

Siemens QuestaSim uppsetning/ModelSim uppsetning (Spyrðu spurningu)

The run.do files, búið til af Libero SoC fyrir uppgerð með ModelSim Microsemi útgáfum, er hægt að nota fyrir uppgerð með QuestaSim/ModelSim SE/DE/PE með einni breytingu. Í ModelSim ME/ModelSim Pro ME run.do file, þarf að breyta forsamsettum bókasöfnum.
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: 
Sjálfgefið er að uppgerðartólið annað en ModelSim Pro ME framkvæmir hönnunarfínstillingu meðan á uppgerð stendur sem getur haft áhrif á sýnileika uppgerðagripa eins og hönnunarhluta og inntaksörvunar.
Þetta er venjulega gagnlegt til að draga úr uppgerðartíma fyrir flóknu uppgerðina, með því að nota margorða, sjálfskoðunarprófunarbekk. Hins vegar gæti sjálfgefna hagræðingin ekki verið viðeigandi fyrir allar uppgerðir, sérstaklega í þeim tilvikum þar sem þú býst við að skoða uppgerð niðurstöður myndrænt með því að nota bylgjugluggann.
Til að takast á við vandamál af völdum þessarar hagræðingar verður þú að bæta við viðeigandi skipunum og tengdum rökum meðan á uppgerð stendur til að endurheimta sýnileika í hönnuninni. Fyrir verkfærasértækar skipanir, sjá skjöl um hermir í notkun.

5.1 Umhverfisbreytur (Spyrðu spurningu)
Eftirfarandi eru nauðsynlegar umhverfisbreytur.

  • LM_LICENSE_FILE: verður að innihalda slóðina að leyfinu file.
  • MODEL_TECH: verður að bera kennsl á slóðina að heimaskrárstaðsetningu QuestaSim uppsetningar.
  • PATH: verður að benda á keyrslustaðsetningu sem MODEL_TECH bendir á.

5.2 Umbreyta run.do fyrir Mentor QuestaSim (Spyrðu spurningu)
The run.do files mynda af Libero SoC fyrir uppgerð með ModelSim Microsemi Editions er hægt að nota fyrir uppgerð með QuestaSim/ModelSim_SE með einni breytingu.
MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Allir hönnunin sem líkt er eftir með QuestaSim verður að innihalda -novopt
valmöguleika ásamt vsim skipun í run.do forskriftinni files.
5.3 Sæktu safnaða bókasafnið (Spyrðu spurningu)
Sæktu bókasöfnin fyrir Mentor Graphics QuestaSim frá Microsemi's websíða.

Synopsys VCS uppsetning (Spyrðu spurningu)

Flæðið sem Microsemi mælir með byggir á vandaðri og samsettri flæði í VCS. Þetta skjal inniheldur handrit file sem notar run.do forskriftina fileer búið til af Libero SoC og býr til uppsetninguna fileer þörf fyrir VCS uppgerð. Handritið file notar run.do file að gera eftirfarandi.

  • Búðu til kortlagningu bókasafns file, sem er gert með því að nota synopsys_sim.setup file staðsett í sömu möppu þar sem VCS uppgerð er í gangi.
  • Búðu til skeljahandrit file til að útfæra og setja saman hönnun þína með VCS.

6.1 Umhverfisbreytur (Spyrðu spurningu)
Stilltu viðeigandi umhverfisbreytur fyrir VCS byggt á uppsetningu þinni. Umhverfisbreyturnar sem þarf samkvæmt VCS skjölunum eru:

  • LM_LICENSE_FILE: verður að innihalda bendil á leyfisþjóninn.
  • VCS_HOME: verður að benda á heimaskrárstaðsetningu VCS uppsetningar.
  • PATH: verður að innihalda bendi í bin möppuna fyrir neðan VCS_HOME möppuna.

6.2 Sækja samsett bókasafn (Spyrðu spurningu)
Sæktu bókasöfnin fyrir Synopsys VCS frá Microsemi's websíða.
6.3 VCS Simulation Script File (Spyrðu spurningu)
Eftir að hafa sett upp VCS og búið til hönnunina og mismunandi run.do files frá Libero SoC, þú verður að:

  1. Búðu til kortlagningu bókasafnsins file synopsys_sim.setup; þetta file inniheldur vísbendingar um staðsetningu allra bókasöfnanna sem hönnunin á að nota.
    MICROCHIP Libero SoC Simulation Library Software - táknmynd  Mikilvægt: The file nafn má ekki breytast og það verður að vera staðsett í sömu möppu þar sem uppgerð er í gangi. Hér er fyrrverandiample fyrir slíkt file fyrir formyndun eftirlíkingar.
    VINNA > EFAULT
    SmartFusion2:
    forsynth : ./presynth
    SJÁLFGEFIÐ : ./vinna
  2. Útfærðu mismunandi hönnun files, þar með talið prófunarbekkinn, með vlogan skipuninni í VCS. Þessar skipanir geta verið innifalin í skeljaforskrift file. Eftirfarandi er fyrrverandiample af skipunum sem þarf til að útfæra hönnun sem er skilgreind í rtl.v með prófunarbekk hans skilgreindan í
    prófbekkur.v.
    vlogan +v2k -work presynth rtl.v
    vlogan +v2k -work presynth testbench.v
  3. Settu hönnunina saman með VCS með eftirfarandi skipun.
    vcs –sim_res=1fs presynth.testbench
    Athugið: The Tímaupplausn uppgerðarinnar verður að vera stillt á 1fs fyrir rétta hagnýta uppgerð.
  4. Þegar hönnunin hefur verið tekin saman skaltu byrja uppgerð með eftirfarandi skipun.
    ./simv
  5. Fyrir bakskýrt uppgerð verður VCS skipunin að vera eins og sýnt er í eftirfarandi kóðablokk.
    vcs postlayout.testbench –sim_res=1fs –sdf max: .
    nafn>: file slóð> –gui –l postlayout.log

6.4 Takmarkanir/undantekningar (Spyrðu spurningu)
Eftirfarandi eru takmarkanir/undantekningar á Synopsys VCS uppsetningu.

  • VCS uppgerð er aðeins hægt að keyra fyrir Verilog verkefni Libero SoC. VCS hermirinn hefur strangar VHDL tungumálakröfur sem Libero SoC sjálfvirka VHDL uppfyllir ekki files.
  • Þú verður að hafa $finish yfirlýsingu í Verilog prófunarbekknum til að stöðva uppgerðina hvenær sem þú vilt.
    MICROCHIP Libero SoC Simulation Library Software - táknmynd Mikilvægt: Hvenær eftirlíkingar eru keyrðar í GUI ham, keyrslutíma er hægt að tilgreina í GUI.

6.5 Sample Tcl og Shell Script Files (Spyrðu spurningu)
Eftirfarandi Perl gerir myndun synopsys_sim.setup sjálfvirkan file sem og samsvarandi skeljahandriti fileþarf til að útfæra, setja saman og líkja eftir hönnuninni.
Ef hönnunin notar MSS, afritaðu test.vec file staðsett í uppgerðarmöppu Libero SoC verkefnisins í VCS uppgerðarmöppu. Eftirfarandi kaflar innihalda sample run.do files mynda af Libero SoC, þar á meðal samsvarandi kortlagningu bókasafns og skeljahandriti fileer þörf fyrir VCS uppgerð.
6.5.1 Formyndun (Spyrðu spurningu)
Presynth_run.do
stilltu hljóðlega ACTELLIBNAME SmartFusion2
stilltu hljóðlega PROJECT_DIR „/sqa/users/me/VCS_Tests/Test_DFF“
ef {[file er til presynth/_info]} {
echo "INFO: Forsynth eftirlíkingarsafnsins er þegar til"
} annað {
vlib forsynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth „${PROJECT_DIR}/component/work/SD1/SD1.v“
vlog „+incdir+${PROJECT_DIR}/stimulus“ -vinna presynth „${PROJECT_DIR}/stimulus/SD1_TB1.v“
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
bæta við bylgju /SD1_TB1/*
bæta við log -r /*
keyra 1000ns
presynth_main.csh
#!/bin/csh -f
stilltu PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/component/
vinna/SD1/SD1.v“
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -vinna
forsynta „${PROJECT_DIR}/stimulus/SD1_TB1.v“
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
VINNA > Sjálfgefið
SmartFusion2: /VCS/SmartFusion2
forsynth : ./presynth
SJÁLFGEFIÐ : ./vinna

6.5.2 Eftirmyndun (Spyrðu spurningu)
postsynth_run.do
stilltu hljóðlega ACTELLIBNAME SmartFusion2
stilltu PROJECT_DIR „/sqa/users/Me/VCS_Tests/Test_DFF“ hljóðlega
ef {[file er til postsynth/_info]} {
echo „INFO: Postsynth eftirlíkingarsafnsins er þegar til“
} annað {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -work postsynth „${PROJECT_DIR}/synthesis/SD1.v“
vlog „+incdir+${PROJECT_DIR}/stimulus“ -vinna eftirsynth „${PROJECT_DIR}/stimulus/SD1_TB1.v“
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
bæta við bylgju /SD1_TB1/*
bæta við log -r /*
keyra 1000ns
log SD1_TB1/*
hætta
Postsynth_main.csh
#!/bin/csh -f
stilltu PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v“
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -vinna
postsynth „${PROJECT_DIR}/stimulus/SD1_TB1.v“
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
VINNA > Sjálfgefið
SmartFusion2: /VCS/SmartFusion2
postsynth : ./postsynth
SJÁLFGEFIÐ : ./vinna
6.5.3 Eftirskipulag (Spyrðu spurningu)
postlayout_run.do
stilltu hljóðlega ACTELLIBNAME SmartFusion2
stilltu hljóðlega PROJECT_DIR „E:/ModelSim_Work/Test_DFF“
ef {[file er til ../designer/SD1/simulation/postlayout/_info]} {
echo "INFO: Simulation library ../designer/SD1/simulation/postlayout er þegar til"
} annað {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2”
vlog -work postlayout „${PROJECT_DIR}/designer/SD1/SD1_ba.v“
vlog „+incdir+${PROJECT_DIR}/stimulus“ -vinnu eftirútlit „${PROJECT_DIR}/stimulus/SD1_TB1.v“
vsim -L SmartFusion2 -L postlayout -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/hönnuður/SD1/
SD1_ba.sdf postlayout.SD1_TB1
bæta við bylgju /SD1_TB1/*
bæta við log -r /*
keyra 1000ns
Postlayout_main.csh
#!/bin/csh -f
stilltu PROJECT_DIR = “/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postlayout “${PROJECT_DIR}/
hönnuður/SD1/SD1_ba.v“
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+incdir+${PROJECT_DIR}/stimulus” -vinna
postlayout „${PROJECT_DIR}/stimulus/SD1_TB1.v“
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf

max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
VINNA > Sjálfgefið
SmartFusion2: /VCS/SmartFusion2
postlayout : ./postlayout
Sjálfgefið: ./workVCS
6.6 Sjálfvirkni (Spyrðu spurningu)
Hægt er að gera flæði sjálfvirkt með því að nota eftirfarandi Perl forskrift file til að breyta ModelSim run.do files inn í VCS samhæft skeljaforskrift files, búðu til viðeigandi möppur inni í Libero SoC uppgerðaskránni og keyrðu síðan uppgerð.
Keyra handritið file með því að nota eftirfarandi setningafræði.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
############################################### ###########################
#
#Notkun: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
############################################### ############################
my ($presynth, $postsynth, $postlayout) = @ARGV;
if(system(“mkdir VCS_Presynth”)) {prenta „mkdir mistókst:\n“;}
if(system(“mkdir VCS_Postsynth”)) {prenta „mkdir mistókst:\n“;}
if(system(“mkdir VCS_Postlayout”)) {prenta „mkdir mistókst:\n“;}
chdir(VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,"presynth");
chdir ("../");
chdir(VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,"postsynth");
chdir ("../");
chdir(VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,"postlayout");
chdir ("../");
sub parse_do {
my $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k” ;
%LIB mín = ();
$ minnfile = $__[0];
$ríkið mitt = $_[1];
opinn(INFILE"$file”) || deyja „Getur ekki opnað File Ástæðan gæti verið:$!”;
if ($state eq “presynth”)
{
open(OUT1,">presynth_main.csh") || deyja „Getur ekki búið til stjórn File Ástæðan gæti verið:$!”;
}
elsif ($state eq „postsynth“)
{
open(OUT1,”>postsynth_main.csh”) || deyja „Getur ekki búið til stjórn File Ástæðan gæti verið:$!”;
}
elsif ($state eq „postlayout“)
{
open(OUT1,”>postlayout_main.csh”) || deyja „Getur ekki búið til stjórn File Ástæðan gæti verið:$!”;
}
annað
{
print “Hermiástand vantar \n” ;
}
open(OUT2,”>synopsys_sim.setup”) || deyja „Getur ekki búið til stjórn File Ástæðan gæti verið:$!”;
# .csh file
prenta OUT1 “#!/bin/csh -f\n\n\n” ;
#SETNINGU FILE
print OUT2 “WORK > DEFAULT\n” ;
print OUT2 “SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n” ;
meðan ($lína =FILE>)
{

Synopsys VCS uppsetning

if ($line =~ m/stilla hljóðlega PROJECT_DIR\s+\”(.*?)\”/)
{
print OUT1 “set PROJECT_DIR = \”$1\”\n\n\n” ;
}
elsif ( $line =~ m/vlog.*\.v\”/ )
{
ef ($lína =~ m/\s+(\w*?)\_LIB/)
{
#print “\$1 =$1 \n” ;
$temp = “$1″.”_LIB”;
#print “Temp = $temp \n” ;
$LIB{$temp}++;
}
chomp($lína);
$line =~ s/^vlog/$vlog/ ;
$lína =~ s/ //g;
prenta OUT1 “$line\n”;
}
elsif (($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb);
#print "TB Nafn: $tb \n";
if ( $lína =~ m/sdf(.*)\.sdf/)
{
chomp($lína);
$lína = $1 ;
#print “LINE : $line \n” ;
ef ($lína =~ m/hámark/)
{
$line =~ s/max \/// ;
$lína =~ s/=/:/;
print OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($lína =~ m/mín/)
{
$lína =~ s/mín \/// ;
$lína =~ s/=/:/;
print OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
min:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($line =~ m/typ/)
{
$line =~ s/typ \/// ;
$lína =~ s/=/:/;
print OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
typ:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/hönnuður/M3_FIC32/M3_FIC32_ba.sdf — ModelSim SDF snið
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/hönnuður/M3_FIC32/M3_FIC32_ba.sdf“; -VCS
SDF snið
}
}
}
prenta
OUT1 „\n\n“
;
if
($state eq „presynth“
)
{
prenta
OUT2 “presynth
: ./presynth\n”
;
prenta
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs forsynth.$tb -l
compile.log\n"
;
}
elsif
($state eq „postsynth“
)
{
prenta
OUT2 “postsynth
: ./postsynth\n”
;
prenta
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n"
;
}
elsif
($state eq „postlayout“
)
{
print OUT2 “postlayout : ./postlayout\n” ;
}
annað
{
print “Hermiástand vantar \n” ;
}
foreach $i (lyklar %LIB)
{
#print “Lykill : $i Gildi : $LIB{$i} \n” ;
prenta OUT2 “$i : ./$i\n” ;
}
prenta OUT1 “\n\n” ;
print OUT1 “./simv -l run.log\n” ;
print OUT2 “DEFAULT : ./work\n” ;
loka INFILE;
loka OUT1;
loka OUT2;
}

Endurskoðunarsaga (Örflögu innskráning

Endurskoðunarferillinn lýsir þeim breytingum sem voru innleiddar í skjalinu. Breytingarnar
eru skráð eftir endurskoðun, byrjað á nýjustu útgáfunni.

Endurskoðun Dagsetning Lýsing
A 12/2023 Eftirfarandi breytingar eru gerðar í þessari endurskoðun:
• Skjali breytt í Microchip sniðmát. Upphafsendurskoðun.
• Uppfærður kafli 5. Siemens QuestaSim uppsetning/ModelSim uppsetning til að innihalda nýja athugasemd sem útskýrir áhrifin á sýnileika við uppgerð og fínstillingu.

Microchip FPGA stuðningur
Microchip FPGA vöruhópur styður vörur sínar með ýmsum stuðningsþjónustu, þar á meðal þjónustu við viðskiptavini, tæknilega þjónustumiðstöð, a websíðuna og söluskrifstofur um allan heim.
Viðskiptavinum er bent á að heimsækja Microchip á netinu áður en þeir hafa samband við þjónustudeild þar sem mjög líklegt er að fyrirspurnum þeirra hafi þegar verið svarað.
Hafðu samband við tækniaðstoð í gegnum websíða kl www.microchip.com/support. Nefndu hlutanúmer FPGA tækisins, veldu viðeigandi tilfellaflokk og hlaðið upp hönnun files meðan verið er að búa til tæknilega aðstoð.
Hafðu samband við þjónustuver fyrir ótæknilega vöruaðstoð, svo sem vöruverð, vöruuppfærslur, uppfærsluupplýsingar, pöntunarstöðu og heimild.

  • Frá Norður-Ameríku, hringdu í 800.262.1060
  • Frá öðrum heimshornum, hringdu í 650.318.4460
  • Fax, hvar sem er í heiminum, 650.318.8044

Örflöguupplýsingar
Örflögan Websíða
Microchip veitir stuðning á netinu í gegnum okkar websíða kl www.microchip.com/. Þetta websíða er notuð til að gera files og upplýsingar auðveldlega aðgengilegar viðskiptavinum. Sumt af því efni sem til er inniheldur:

  • Vörustuðningur – Gagnablöð og errata, umsóknarskýringar og sample forrit, hönnunarauðlindir, notendahandbækur og stuðningsskjöl fyrir vélbúnað, nýjustu hugbúnaðarútgáfur og geymdur hugbúnaður
  • Almenn tækniaðstoð - Algengar spurningar (algengar spurningar), beiðnir um tækniaðstoð, umræðuhópar á netinu, skráning meðlima í smáflöguhönnunaraðila
  • Business of Microchip – Vöruvals- og pöntunarleiðbeiningar, nýjustu fréttatilkynningar Microchip, skráningu námskeiða og viðburða, skráningar á Microchip söluskrifstofum, dreifingaraðilum og verksmiðjufulltrúum

Tilkynningaþjónusta um vörubreytingar
Tilkynningarþjónusta Microchip hjálpar til við að halda viðskiptavinum upplýstum um Microchip vörur. Áskrifendur munu fá tilkynningu í tölvupósti hvenær sem breytingar, uppfærslur, endurskoðanir eða skekkjur eru tengdar tiltekinni vöruflokki eða þróunarverkfæri sem vekur áhuga.
Til að skrá sig, farðu á www.microchip.com/pcn og fylgdu skráningarleiðbeiningunum.
Þjónustudeild
Notendur Microchip vara geta fengið aðstoð í gegnum nokkrar rásir:

  • Dreifingaraðili eða fulltrúi
  • Söluskrifstofa á staðnum
  • Embedded Solutions Engineer (ESE)
  • Tæknileg aðstoð

Viðskiptavinir ættu að hafa samband við dreifingaraðila sinn, fulltrúa eða ESE til að fá aðstoð. Staðbundnar söluskrifstofur eru einnig tiltækar til að aðstoða viðskiptavini. Listi yfir söluskrifstofur og staðsetningar er innifalinn í þessu skjali.
Tæknileg aðstoð er í boði í gegnum websíða á: www.microchip.com/support
Örflögutæki Kóðaverndareiginleiki
Athugaðu eftirfarandi upplýsingar um kóðaverndareiginleikann á Microchip vörum:

  • Örflöguvörur uppfylla forskriftirnar í tilteknu örflögugagnablaði þeirra.
  • Microchip telur að vöruflokkur þess sé öruggur þegar þær eru notaðar á tilsettan hátt, innan rekstrarforskrifta og við venjulegar aðstæður.
  • Örflögu metur og verndar hugverkaréttindi sín ákaft. Tilraunir til að brjóta kóða verndareiginleika Microchip vöru eru stranglega bannaðar og geta brotið gegn Digital Millennium Copyright Act.
  • Hvorki Microchip né nokkur annar hálfleiðaraframleiðandi getur ábyrgst öryggi kóðans. Kóðavernd þýðir ekki að við tryggjum að varan sé „óbrjótanleg“.
    Kóðavernd er í stöðugri þróun. Microchip hefur skuldbundið sig til að bæta stöðugt kóðaverndareiginleika vara okkar.

Lagatilkynning
Þetta rit og upplýsingarnar hér má aðeins nota með Microchip vörur, þar á meðal til að hanna, prófa og samþætta Microchip vörur með forritinu þínu. Notkun þessara upplýsinga á annan hátt brýtur í bága við þessa skilmála. Upplýsingar um tækjaforrit eru aðeins veittar þér til þæginda og uppfærslur kunna að koma í stað þeirra. Það er á þína ábyrgð að tryggja að umsókn þín uppfylli forskriftir þínar. Hafðu samband við staðbundna söluskrifstofu Microchip til að fá frekari aðstoð eða fáðu frekari aðstoð á www.microchip.com/en-us/support/design-help/client-support-services.
ÞESSAR UPPLÝSINGAR ER LAÐAR AF MICROCHIP „Eins og þær eru“. MICROCHIP GERIR ENGIN STAÐSETNING EÐA ÁBYRGÐ HVORKI ER SKÝRT EÐA ÓBEINING, SKRIFTLIG EÐA munnlega, LÖGBEÐUR EÐA ANNARS, TENGJAÐ UPPLÝSINGUM ÞAÐ MEÐ EN EKKI TAKMARKAÐ VIÐ EINHVERJAR ÓBEINNAR Ábyrgðar- og ábyrgðir HÆFNI Í SÉRSTÖKNUM TILGANGI EÐA ÁBYRGÐ TENGST ÁSTANDI ÞESS, GÆÐUM EÐA AFKOMU.
MICROCHIP VERÐUR Í ENGUM TILKYNNINGUM ÁBYRGÐ Á NEIGU ÓBEINU, SÉRSTÖKUM, REFSINGU, TILVALUSTU EÐA AFLEITATAPI, Tjóni, KOSTNAÐI EÐA KOSTNAÐI af einhverju tagi sem tengist UPPLÝSINGUM EÐA NOTKUN ÞEIRRA, HVER SEM AFRIÐI AF ÞVÍ. MÖGULEIKUR EÐA Tjónið er fyrirsjáanlegt. AÐ FULLSTA MÁL LÖGUM LEYFIÐ VERÐUR HEILDARÁBYRGÐ MICROCHIP Á ALLAR KRÖFUR Á EINHVER HÁTT TENGST UPPLÝSINGARNIR EÐA NOTKUN ÞESSAR EKKI ÚR SEM ÞAÐ SEM ÞÚ HEFUR GREIÐIÐ BEINLEGT FYRIR UPPLÝSINGARNUM.
Notkun örflögutækja í lífsbjörgunar- og/eða öryggisforritum er algjörlega á ábyrgð kaupanda og kaupandinn samþykkir að verja, skaða og halda örflögu skaðlausum fyrir hvers kyns tjóni, kröfum, málsókn eða kostnaði sem hlýst af slíkri notkun. Engin leyfi eru send, óbeint eða á annan hátt, undir neinum Microchip hugverkaréttindum nema annað sé tekið fram.
Vörumerki
Nafnið og lógó örflögunnar, örmerkið, Adaptec, AVR, AVR merki, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, Microsemi merki, MOST, MOST merki, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 merki, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST merki, SuperFlash, Symmetricom , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron og XMEGA eru skráð vörumerki Microchip Technology Incorporated í Bandaríkjunum og öðrum löndum.
AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus merki, Quiet- Wire, SmartFusion, SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime og ZL eru skráð vörumerki Microchip Technology Incorporated í Bandaríkjunum
Aðliggjandi lyklabæling, AKS, Analog-for-the-Digital Age, hvaða þétti sem er, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net, Dynamic Average Matching, Dynamic , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, JitterBlocker, Knob-on-Display, KoD, maxCrypto, maxView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified merki, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher,
SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance, Trusted Time, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect og ZENA eru vörumerki Microchip Technology Incorporated
í Bandaríkjunum og öðrum löndum.
SQTP er þjónustumerki Microchip Technology Incorporated í Bandaríkjunum
Adaptec lógóið, Frequency on Demand, Silicon Storage Technology og Symmcom eru skráð vörumerki Microchip Technology Inc. í öðrum löndum.
GestIC er skráð vörumerki Microchip Technology Germany II GmbH & Co. KG, dótturfyrirtækis Microchip Technology Inc., í öðrum löndum.
Öll önnur vörumerki sem nefnd eru hér eru eign viðkomandi fyrirtækja.
© 2023, Microchip Technology Incorporated og dótturfélög þess. Allur réttur áskilinn.
ISBN: 978-1-6683-3694-6
Gæðastjórnunarkerfi
Fyrir upplýsingar um gæðastjórnunarkerfi Microchip, vinsamlegast farðu á www.microchip.com/quality.

BANDARÍKIN ASÍA/KYRAHAFA ASÍA/KYRAHAFA EVRÓPA
Skrifstofa fyrirtækja
2355 West Chandler Blvd.
Chandler, AZ 85224-6199
Sími: 480-792-7200
Fax: 480-792-7277
Tæknileg aðstoð:
www.microchip.com/support
Web Heimilisfang:
www.microchip.com
Atlanta
Duluth, GA
Sími: 678-957-9614
Fax: 678-957-1455
Austin, TX
Sími: 512-257-3370
Boston
Westborough, MA
Sími: 774-760-0087
Fax: 774-760-0088
Chicago
Itasca, IL
Sími: 630-285-0071
Fax: 630-285-0075
Dallas
Addison, TX
Sími: 972-818-7423
Fax: 972-818-2924
Detroit
Novi, MI
Sími: 248-848-4000
Houston, TX
Sími: 281-894-5983
Indianapolis
Noblesville, IN
Sími: 317-773-8323
Fax: 317-773-5453
Sími: 317-536-2380
Los Angeles
Mission Viejo, CA
Sími: 949-462-9523
Fax: 949-462-9608
Sími: 951-273-7800
Raleigh, NC
Sími: 919-844-7510
New York, NY
Sími: 631-435-6000
San Jose, Kaliforníu
Sími: 408-735-9110
Sími: 408-436-4270
Kanada - Toronto
Sími: 905-695-1980
Fax: 905-695-2078
Ástralía - Sydney
Sími: 61-2-9868-6733
Kína - Peking
Sími: 86-10-8569-7000
Kína - Chengdu
Sími: 86-28-8665-5511
Kína - Chongqing
Sími: 86-23-8980-9588
Kína - Dongguan
Sími: 86-769-8702-9880
Kína - Guangzhou
Sími: 86-20-8755-8029
Kína - Hangzhou
Sími: 86-571-8792-8115
Kína – Hong Kong SAR
Sími: 852-2943-5100
Kína - Nanjing
Sími: 86-25-8473-2460
Kína - Qingdao
Sími: 86-532-8502-7355
Kína - Shanghai
Sími: 86-21-3326-8000
Kína - Shenyang
Sími: 86-24-2334-2829
Kína - Shenzhen
Sími: 86-755-8864-2200
Kína - Suzhou
Sími: 86-186-6233-1526
Kína - Wuhan
Sími: 86-27-5980-5300
Kína - Xian
Sími: 86-29-8833-7252
Kína - Xiamen
Sími: 86-592-2388138
Kína - Zhuhai
Sími: 86-756-3210040
Indland - Bangalore
Sími: 91-80-3090-4444
Indland - Nýja Delí
Sími: 91-11-4160-8631
Indland - Pune
Sími: 91-20-4121-0141
Japan - Osaka
Sími: 81-6-6152-7160
Japan - Tókýó
Sími: 81-3-6880- 3770
Kórea - Daegu
Sími: 82-53-744-4301
Kórea - Seúl
Sími: 82-2-554-7200
Malasía - Kuala Lumpur
Sími: 60-3-7651-7906
Malasía - Penang
Sími: 60-4-227-8870
Filippseyjar - Manila
Sími: 63-2-634-9065
Singapore
Sími: 65-6334-8870
Taívan – Hsin Chu
Sími: 886-3-577-8366
Taívan - Kaohsiung
Sími: 886-7-213-7830
Taívan - Taipei
Sími: 886-2-2508-8600
Taíland - Bangkok
Sími: 66-2-694-1351
Víetnam - Ho Chi Minh
Sími: 84-28-5448-2100
Austurríki – Wels
Sími: 43-7242-2244-39
Fax: 43-7242-2244-393
Danmörk - Kaupmannahöfn
Sími: 45-4485-5910
Fax: 45-4485-2829
Finnland – Espoo
Sími: 358-9-4520-820
Frakkland - París
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
Þýskaland - Garching
Sími: 49-8931-9700
Þýskaland - Haan
Sími: 49-2129-3766400
Þýskaland – Heilbronn
Sími: 49-7131-72400
Þýskaland – Karlsruhe
Sími: 49-721-625370
Þýskaland - Munchen
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
Þýskaland – Rosenheim
Sími: 49-8031-354-560
Ísrael - Ra'anana
Sími: 972-9-744-7705
Ítalía - Mílanó
Sími: 39-0331-742611
Fax: 39-0331-466781
Ítalía - Padova
Sími: 39-049-7625286
Holland – Drunen
Sími: 31-416-690399
Fax: 31-416-690340
Noregur - Þrándheimur
Sími: 47-72884388
Pólland - Varsjá
Sími: 48-22-3325737
Rúmenía - Búkarest
Tel: 40-21-407-87-50
Spánn - Madríd
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
Svíþjóð – Gautaborg
Tel: 46-31-704-60-40
Svíþjóð - Stokkhólmur
Sími: 46-8-5090-4654
Bretland - Wokingham
Sími: 44-118-921-5800
Fax: 44-118-921-5820

MICROCHIP lógó© 2023 Microchip Technology Inc. og dótturfélög þess
DS50003627A –

Skjöl / auðlindir

MICROCHIP Libero SoC Simulation Library Hugbúnaður [pdfNotendahandbók
DS50003627A, Libero SoC uppgerð bókasafnshugbúnaður, SoC uppgerð bókasafnshugbúnaður, uppgerð bókasafnshugbúnaður, bókasafnshugbúnaður, hugbúnaður

Heimildir

Skildu eftir athugasemd

Netfangið þitt verður ekki birt. Nauðsynlegir reitir eru merktir *