د مایکروچپ لوگو د Libero SoC سمولیشن
د کتابتون د تنظیم کولو لارښوونې

پیژندنه

(یوه پوښتنه وکړئ)

د دې سند هدف د ان پټ په توګه د Libero SoC پروژې په کارولو سره د سمولیشن چاپیریال تنظیم کولو طرزالعمل تشریح کول دي. دا اسناد د Libero SoC v11.9 او نوي سافټویر ریلیزونو سره د کارولو لپاره چمتو شوي دمخه تالیف شوي کتابتونونو سره مطابقت لري. چمتو شوي کتابتونونه د ویرولوګ لپاره تالیف شوي. د VHDL کاروونکي جواز ته اړتیا لري چې د مخلوط حالت سمولو اجازه ورکوي.
تالیف شوي سمولیشن کتابتونونه د لاندې وسیلو لپاره شتون لري:

  • Aldec Active-HDL
  • Aldec Riviera-PRO
  • Cadence Incisive Enterprise او Xcelium
  • سیمنز QuestaSim
  • د Synopsys VCS

د مختلف سمیلیټر لپاره د کتابتون غوښتنه کولو لپاره، اړیکه ونیسئ د مایکروچپ تخنیکي ملاتړ.

د Libero SoC ادغام

(یوه پوښتنه وکړئ)

Libero SoC د run.do په جوړولو سره د ماډل سیم ME په کارولو سره سمولیشن ملاتړ کوي file. دا file د ماډلسیم ME/ModelSim Pro ME لخوا د سمولیشن تنظیم کولو او چلولو لپاره کارول کیږي. د نورو سمولو وسیلو کارولو لپاره، تاسو کولی شئ د ماډل سیم ME/ModelSim Pro ME run.do تولید کړئ او د Tcl سکریپټ بدل کړئ file د هغه کمانډونو کارولو لپاره چې ستاسو سمیلیټر سره مطابقت لري.
۱.۱ لایبرو SoC Tcl File نسل (یوه پوښتنه وکړئ)
په لیبرو SoC کې د ډیزاین رامینځته کولو او رامینځته کولو وروسته ، د ډیزاین ټولو مرحلو (پریسینټ ، پوسټ سینت ، او پوسټ ترتیب) لاندې د ماډل سیم ME/ModelSim پرو ME سمولیشن پیل کړئ. دا ګام د run.do تولیدوي file د ماډل سیم ME/ModelSim Pro ME لپاره د هر ډیزاین مرحلې لپاره.
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: د هر سمولیشن چلولو پیل کولو وروسته، د اتوماتیک تولید شوي run.do نوم بدل کړئ file د سمولیشن لارښود لاندې د Libero SoC د دې له لیکلو څخه مخنیوي لپاره file. د مثال لپارهampلی ، د files د presynth_run.do، postsynth_run.do او postlayout_run.do نوم بدلولی شي.

د فعال-HDL او رویرا-پرو لپاره د Aldec ترتیب (یوه پوښتنه وکړئ)

منډې file د ModelSim ME/ModelSim Pro ME لخوا کارول کیدی شي د Aldec سمیلیټرونو په کارولو سره د سمولو لپاره تعدیل او کارول کیدی شي.
2.1 د چاپیریال متغیر (یوه پوښتنه وکړئ)
خپل چاپیریال متغیر ستاسو جواز ته تنظیم کړئ file ځای:
د LM_لایسنس_FILE: باید د جواز سرور ته یو پوائنټر شامل کړي.
2.2 تالیف شوی کتابتون ډاونلوډ کړئ (یوه پوښتنه وکړئ)
د مایکروچپ څخه د Aldec Active-HDL او Aldec Riviera-PRO لپاره کتابتونونه ډاونلوډ کړئ webسایټ
2.3 د Aldec سمولیشن لپاره run.do بدلول (یوه پوښتنه وکړئ)
منډې fileد Libero SoC لخوا د Active-HDL او Riviera-Pro وسیلې په کارولو سره سمولیشنونو لپاره رامینځته شوی د یو واحد بدلون سره د Active-HDL او Riviera-Pro په کارولو سره سمولونو لپاره کارول کیدی شي. لاندې جدول د ModelSim run.do کې د ترمیم کولو لپاره د Aldec - مساوي کمانډ لیست کوي file.
جدول 2-1. د Aldec مساوي کمانډونه

ماډل سیم فعال-HDL
ویلاګ الګ
وي کام اکوم
vlib عليب
د متقابل عاصم
وی میپ اماپ

په لاندې ډول دیample run.do د Aldec simulators پورې اړه لري.

  1. د اوسني کاري لارښود ځای وټاکئ.
    dsn ترتیب کړئ
  2. د کاري کتابتون نوم تنظیم کړئ، د هغه موقعیت نقشه کړئ، او بیا د مایکروچپ FPGA کورنۍ موقعیت نقشه کړئ
    مخکې جوړ شوي کتابتونونه (د مثال لپارهample، SmartFusion2) په کوم کې چې تاسو خپل ډیزاین پرمخ وړئ.
    alib presynth
    amap presynth presynth
    amap SmartFusion2
  3. ټول اړین HDL راټول کړئ fileد اړتیا وړ کتابتون سره ډیزاین کې کارول کیږي.
    alog -work presynth temp.v (د ویریلوګ لپاره)
    alog-work presynth testbench.v
    acom-work presynth temp.vhd (د Vhdl لپاره)
    acom -work presynth testbench.vhd
  4. ډیزاین سمول کړئ.
    asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
    10us چلول

2.4 پیژندل شوي مسلې (یوه پوښتنه وکړئ)
دا برخه پیژندل شوي مسلې او محدودیتونه لیست کوي.

  • د Riviera-PRO په کارولو سره ترتیب شوي کتابتونونه د پلیټ فارم ځانګړي دي (د بیلګې په توګه 64-bit کتابتونونه په 32-bit پلیټ فارم کې نشي چلیدلی او برعکس).
  • د ډیزاینونو لپاره چې SERDES/MDDR/FDDR لري، په خپل run.do کې لاندې اختیار وکاروئ fileد ډیزاینونو له راټولولو وروسته سمولیشن چلولو پرمهال:
    - فعال-HDL: عاصم -o2
    - رویرا-PRO: عاصم –O2 (د پریزینت او وروسته ترتیب سمولیشنونو لپاره) او عاصم –O5 (د ترتیب وروسته سمولونو لپاره)
    د Active-HDL او Riviera-Pro لپاره د Aldec ترتیب لاندې پاتې SARs لري. د نورو معلوماتو لپاره، اړیکه ونیسئ د مایکروچپ تخنیکي ملاتړ.
  • SAR 49908 - فعال-HDL: د ریاضی بلاک سمولونو لپاره د VHDL تېروتنه
  • SAR 50627 - Riviera-PRO 2013.02: د SERDES ډیزاینونو لپاره د سمولو غلطی
  • SAR 50461 - Riviera-PRO: په سمولیشنونو کې asim -O2/-O5 اختیار

د کیډینس انسیسي ترتیب (یوه پوښتنه وکړئ)

تاسو اړتیا لرئ یو سکریپټ جوړ کړئ file د ModelSim ME/ModelSim Pro ME run.do ته ورته د چلولو لپاره
Cadence Incisive simulator. دا مرحلې تعقیب کړئ او سکریپټ جوړ کړئ file د NCSim لپاره یا سکریپټ وکاروئ file
د ModelSim ME/ModelSim Pro ME run.do بدلولو لپاره چمتو شوی fileپه ترتیب کې files
د NCSim په کارولو سره سمولونو چلولو ته اړتیا ده.
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: Cadence د Incisive Enterprise د نویو نسخو خپرول بند کړي دي
سمیلیټر او د Xcelium سمیلیټر ملاتړ پیل کړ.

3.1 د چاپیریال تغیرات (یوه پوښتنه وکړئ)
د Cadence Incisive simulator چلولو لپاره، لاندې چاپیریال تغیرات تنظیم کړئ:

  1. د LM_لایسنس_FILE: باید جواز ته اشاره کوونکی شامل وي file.
  2. cds_root: باید د Cadence Incisive انسټالیشن د کور لارښود ځای ته اشاره وکړي.
  3. PATH: باید د وسیلو لارښود لاندې د بن موقعیت ته اشاره وکړي چې د cds_root لخوا په ګوته شوي ،
    $cds_root/tools/bin/64bit (د 64-bit ماشین لپاره او $cds_root/tools/bin د 32-bit ماشین لپاره).
    د 64-bit او 32-bit عملیاتي سیسټمونو ترمینځ د سویچ په صورت کې د سمولیشن چاپیریال تنظیم کولو درې لارې شتون لري:

قضیه 1: د PATH متغیر
لاندې کمانډ چل کړئ:
د 64bit ماشینونو لپاره لاره = (install_dir/tools/bin/64bit $path) ترتیب کړئ او
د 32bit ماشینونو لپاره لاره = (install_dir/tools/bin $path) ترتیب کړئ
قضیه 2: د -64bit کمانډ لاین اختیار کارول
د کمانډ لاین کې -64bit اختیار مشخص کړئ ترڅو د 64bit اجرا وړ غوښتنه وکړي.
قضیه 3: د INCA_64BIT یا CDS_AUTO_64BIT چاپیریال متغیر تنظیم کول
د INCA_64BIT متغیر د بولین په توګه درملنه کیږي. تاسو کولی شئ دا متغیر په هر ارزښت یا یو نل تار ته وټاکئ.
setenv INCA_64BIT

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: د د INCA_64BIT چاپیریال متغیر په نورو Cadence وسایلو اغیزه نه کوي، لکه د IC اوزار. په هرصورت، د انډول وسیلو لپاره، د INCA_64BIT متغیر د CDS_AUTO_64BIT چاپیریال متغیر لپاره ترتیب بدلوي. که چیرې د INCA_64BIT چاپیریال متغیر تنظیم شوی وي ، نو ټول انسیسي وسیلې په 64-bit حالت کې پرمخ ځي. setenv CDS_AUTO_64BIT شامل دي: INCA
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: د تار INCA باید په لوی لاس کې وي. ټول اجرا کیدونکي باید په 32-bit حالت کې یا په 64-bit حالت کې چلول شي، متغیر مه تنظیم کړئ ترڅو یو اجرا وړ شامل شي، لکه په لاندې ډول:
setenv CDS_AUTO_64BIT شامل دي:ncelab

د کیډنس نور اوزار، لکه د IC اوزار، د 64-bit یا 32-bit اجرایی وړ انتخابونو کنټرول لپاره د CDS_AUTO_64BIT چاپیریال متغیر هم کاروي. لاندې جدول ښیې چې تاسو څنګه کولی شئ د CDS_AUTO_64BIT متغیر تنظیم کړئ ترڅو په ټولو حالتونو کې د انسجام وسیلې او IC اوزار چل کړئ.
جدول 3-1. CDS_AUTO_64BIT متغیرونه

CDS_AUTO_64BIT متغیر په زړه پورې وسیلې IC اوزار
setenv CDS_AUTO_64BIT ټول 64 بټ 64 بټ
setenv CDS_AUTO_64BIT هیڅ نه 32 بټ 32 بټ
setenv CDS_AUTO_64BIT خارج:ic_binary 64 بټ 32 بټ
setenv CDS_AUTO_64BIT خارج: INCA 32 بټ 64 بټ

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: ټول انسیسي وسیلې باید په 32-bit حالت کې یا په 64-bit حالت کې چلول شي، د ځانګړي اجرا وړ د ایستلو لپاره EXCLUDE مه کاروئ، لکه په لاندې ډول: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
که تاسو د CDS_AUTO_64BIT متغیر د انسجام وسیلو د ایستلو لپاره تنظیم کړئ (setenv CDS_AUTO_64BIT EXCLUDE:INCA)، ټول د انسیسي وسیلې په 32-bit حالت کې چلیږي. په هرصورت، د -64bit کمانډ لاین اختیار د چاپیریال متغیر بدلوي.
لاندې تشکیلات files تاسو سره ستاسو د معلوماتو اداره کولو کې مرسته کوي او د سمولو وسیلو او اسانتیاو عملیات کنټرولوي:

  • د کتابتون نقشه file (cds.lib) - ستاسو د ډیزاین ځای لپاره منطقي نوم تعریفوي.
  • کتابتونونه او د فزیکي لارښود نومونو سره یې شریکوي.
  • متغیرات file (hdl.var) — متغیرات تعریفوي چې د سمولو وسیلو او اسانتیاو چلند اغیزه کوي.

3.2 تالیف شوی کتابتون ډاونلوډ کړئ (یوه پوښتنه وکړئ)
د Microsemi's څخه د Cadence Incisive لپاره کتابتونونه ډاونلوډ کړئ webسایټ
3.3 د NCSim سکریپټ جوړول File (یوه پوښتنه وکړئ)
د run.do یوه کاپي جوړولو وروسته fileد NCSim په کارولو سره خپل سمولیشن چلولو لپاره دا مرحلې ترسره کړئ:

  1. یو cds.lib جوړ کړئ file دا هغه کتابتونونه چې د لاسرسي وړ دي او د دوی موقعیت تعریفوي. د file بیانونه لري چې د کتابتون منطقي نومونه د دوی فزیکي لارښود لارو ته نقشه کوي. د مثال لپارهample، که تاسو د presynth سمولیشن پرمخ وړئ، cds.lib file لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي لیکل شوي.
    presynth تعریف کړئ./presynth
    COREAHBLITE_LIB ./COREAHBLITE_LIB تعریف کړئ
    سمارټ فیوژن تعریف کړئ 2
  2. یو hdl.var جوړ کړئ file، یو اختیاري ترتیب file چې د تشکیلاتو تغیرات لري، دا ټاکي چې ستاسو د ډیزاین چاپیریال څنګه تنظیم شوی. لاندې متغیر files شامل دي:
    - متغیرات چې د کاري کتابتون مشخص کولو لپاره کارول کیږي چیرې چې تالیف کونکي راټول شوي توکي او نور ترلاسه شوي ډیټا ذخیره کوي.
    - د ویریلوګ لپاره، تغیرات (LIB_MAP، VIEW_MAP، WORK) چې د کتابتونونو مشخص کولو لپاره کارول کیږي او viewد لټون کولو لپاره کله چې توضیح کوونکی مثالونه حل کړي.
    - متغیرات چې تاسو ته اجازه درکوي تالیف کونکي ، توضیح کونکي ، او سمیلیټر کمانډ لاین اختیارونه او دلیلونه تعریف کړئ.
    د presynth simulation په صورت کې example پورته ښودل شوي، ووایه چې موږ درې RTL لرو files: av، bv، او testbench.v، کوم چې باید په ترتیب سره presynth، COREAHBLITE_LIB، او presynth کتابتونونو کې تالیف شي. د hdl.var file لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي لیکل کیدی شي.
    د کار نسخه تعریف کړئ
    پروژه_DIR تعریف کړئ files>
    LIB_MAP تعریف کړئ ($LIB_MAP, ${PROJECT_DIR}/av => presynth)
    LIB_MAP تعریف کړئ ($LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
    LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth )
    LIB_MAP تعریف کړئ ($LIB_MAP, + => presynth)
  3. ډیزاین تالیف کړئ fileد ncvlog اختیار کارول.
    ncvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log –تازه کول –linedebug av bv testbench.v
  4. ډیزاین د ncelab په کارولو سره روښانه کړئ. توضیح کوونکی په ډیزاین کې د انسټاګرام او ترتیب کولو معلوماتو پراساس د ډیزاین درجه بندي رامینځته کوي ، د سیګنال ارتباط رامینځته کوي ، او په ډیزاین کې د ټولو شیانو لپاره لومړني ارزښتونه محاسبه کوي. د ډیزاین پراخه لړۍ په سمولیشن سنیپ شاټ کې زیرمه شوې ، کوم چې ستاسو د ډیزاین نمایندګي ده چې سمیلیټر د سمولیشن چلولو لپاره کاروي.
    ncelab –پیغام –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
    لاسرسی + rwc - د حالت کاري لیب. : ماډل
    د وروسته ترتیب سمولو په جریان کې توضیحات
    د پوسټ ترتیب سمولو په صورت کې، لومړی SDF file د ncsdfc کمانډ په کارولو سره د توضیح کولو دمخه باید تالیف شي.
    ncsdfcfileنوم>. sdf - محصولfileنوم>.sdf.X
    د توضیحاتو په جریان کې د -autosdf اختیار سره تالیف شوي SDF محصول وکاروئ لکه څنګه چې لاندې کوډ بلاک کې ښودل شوي.
    ncelab -autosdf –پیغام –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
    15-لاسرسی + rwc - د حالت کاري لیب. : ماډل – sdf_cmd_file ./
    sdf_cmd_file
    د sdf_cmd_file باید لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي.
    د SDF_کمپایلFILE = file>"
  5. د ncsim په کارولو سره سمول. د توضیحاتو وروسته د سمولیشن سنیپ شاټ رامینځته کیږي ، کوم چې د سمولیشن لپاره د ncsim لخوا بار شوی. تاسو کولی شئ په بیچ حالت یا GUI حالت کې وګرځئ.
    ncsim –پیغام –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log –
    errormax 15 - د حالت کاري لیب. : ماډل

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: ټول پورته درې مرحلې د تالیف کولو ، توضیح کولو او سمولو کولو لپاره په شیل سکریپټ کې کیښودل کیدی شي file او د کمانډ لاین څخه سرچینه اخیستل کیږي. د دې دریو مرحلو کارولو پرځای، ډیزاین په یو ګام کې د ncverilog یا irun اختیار په کارولو سره سمول کیدی شي لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي.
ncverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
fileپه ډیزاین کې کارول کیږي>
irun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
په ډیزاین کې کارول کیږي>

3.3.1 پیژندل شوي مسلې (یوه پوښتنه وکړئ)
د ټیسټ بینچ کاري حل
د کارونکي لخوا رامینځته شوي ټیسټ بینچ کې د ساعت فریکونسۍ مشخص کولو لپاره د لاندې بیان کارول ، یا د لیبرو SoC لخوا رامینځته شوي ډیفالټ ټیسټ بینچ د NCSim سره کار نه کوي.
تل @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
د سمولو چلولو لپاره په لاندې ډول تعدیل کړئ:
تل #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: تالیف شوی د NCSim لپاره کتابتونونه د پلیټ فارم ځانګړي دي (د بیلګې په توګه 64 بټ کتابتونونه د 32 بټ پلیټ فارم سره مطابقت نلري او برعکس).
د MSS او SERDES په کارولو سره د پوسټ سینت او پوسټ ترتیب سمولونه پداسې حال کې چې د MSS بلاک یا د SERDES په کارولو سره د ډیزاینونو پوسټ ترتیب سمولونو لرونکي ډیزاینونو پوسټ سینت سمولیشنونه چلوي ، د BFM سمولیشنونه کار نه کوي که چیرې –libmap اختیار وي.
د توضیحاتو په جریان کې مشخص شوي ندي. دا ځکه چې د توضیحاتو په جریان کې ، MSS د کاري کتابتون څخه حل کیږي (د ډیفالټ پابندۍ له امله او ورک لیب د پوسټ سینټ/پوسټ ترتیب دی) چیرې چې دا یوازې یو ثابت فعالیت دی.
د ncelab کمانډ باید ولیکل شي لکه څنګه چې د MSS حل کولو لپاره لاندې کوډ بلاک کې ښودل شوي
د SmartFusion2 مخکې جوړ شوي کتابتون څخه بلاک کړئ.

ncelab -libmap lib.map -libverbose -پیغام -لاسرسی + rwc cfg1
او lib.map file باید په لاندې ډول وي:
config cfg1;
ډیزاین ;
ډیفالټ liblist smartfusion2 ;
endconfig
دا په SmartFusion2 کتابتون کې هر ډول حجره حل کوي مخکې لدې چې د کار کتابتون کې وګوري لکه پوسټ سینت/ پوسټ ترتیب.
د –libmap اختیار د هر سمولیشن (presynth، postsynth، او post-layout) لپاره د توضیحاتو په جریان کې د ډیفالټ لخوا کارول کیدی شي. دا د سمولو مسلو څخه مخنیوی کوي چې د کتابتونونو څخه د مثالونو د حل له امله رامینځته کیږي.
ncelab: *F، INTERR: داخلي استثنا
دا د ncelab وسیلې استثنا د ډیزاینونو لپاره یو احتیاط دی چې FDDR لري په SmartFusion 2 او IGLOO 2 کې د پوسټ سینت او پوسټ ترتیب سمولونو په جریان کې د –libmap اختیار په کارولو سره.
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: دا مسله د کیډنس ملاتړ ټیم (SAR 52113) ته راپور شوې.

3.4 سample Tcl او شیل سکریپټ Files(یوه پوښتنه وکړئ)
لاندې files تشکیلات دي fileد ډیزاین او شیل سکریپټ تنظیم کولو لپاره اړین دی file د NCSim کمانډونو چلولو لپاره.
cds.lib
NE smartfusion2/scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB تعریف کړئ
presynth تعریف کړئ./presynth

Hdl.var
د کار نسخه تعریف کړئ
پروژه_DIR تعریف کړئ /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
LIB_MAP تعریف کړئ ($LIB_MAP، ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP، ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
LIB_MAP تعریف کړئ ($LIB_MAP, + => presynth)
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -پیغام -cdslib ./cds.lib -hdlvar ./hdl.var
-work presynth -logfile ncelab.log -errormax 15 -access +rwc -status presynth.testbench: ماډل
ncsim -پیغام -بیچ -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench: ماډل

3.5 اتومات (یوه پوښتنه وکړئ)
لاندې سکریپټ file ModelSim run.do بدلوي fileپه ترتیب سره fileد NCSim په کارولو سره سمولو چلولو ته اړتیا ده.
سکریپټ File کارول
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
موقعیت_د_کیډنس_پریکمپل شوی_کتابتون

Cadence_parser.pl
#!/usr/bin/perl -w

########################################################## ##################################################
################
#استعمال: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
مایکروسیمي_کورنۍ مخکی جوړ شوی_کتابتون_ځای#

########################################################## ##################################################
################
POSIX وکاروئ؛
سخت کارول؛
زما ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV؛
&questa_parser($presynth,$family,$lib_location);
&questa_parser($postsynth,$family,$lib_location);
&questa_parser($postlayout,$family,$lib_location);
فرعي کوسټا_پارسر {
زما $ModelSim_run_do = $_[0]؛
زما $actel_family = $_[1]؛
زما $lib_location = $_[2]؛
زما $ حالت؛
که (-e "$ModelSim_run_do")
{
خلاص (INFILE,"$ModelSim_run_do");
زما @ModelSim_run_do =FILE>;
زما $ کرښه
که ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
خلاص (اوټFILE,">QUESTA_PRESYNTH/presynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
خلاص (اوټFILE,">QUESTA_POSTSYNTH/postsynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(پوستې ترتیب)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
خلاص (اوټFILE,">QUESTA_POSTLAYOUT/postlayout_questa.do");
$state = $1;
} بل
{
چاپ "غلط معلومات ورکړل شوي file\n";
چاپ "#استعمال: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\"کتابتون_ځای\"\n";
}
foreach $line (@ModelSim_run_do)
{
#عمومي عملیات
$line =~ s/..\/designer.*simulation\///g;
$line =~s/$state/$state\_questa/g;
#چاپ یی کړهFILE "$ لائن \n";
که ($line =~ m/vmap\s+.*($actel_family)/)
{
چاپ یی کړهFILE "vmap $actel_family \"$lib_location\"\n";
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~s/..\/component/..\/..\/component/g;
چاپ یی کړهFILE "$ لائن \n";
} elsif ($ line =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
چاپ یی کړهFILE "$ لائن \n";
} بل
{
چاپ یی کړهFILE "$ لائن \n";
}
}
نږدې (INFILE);
وتړلFILE);
} نور {
چاپ "$ModelSim_run_do شتون نلري. سمولیشن بیا چلول \n”;
}
}

د کیډینس ایکسیلیم تنظیم (د مایکروچپ ننوتل)

تاسو اړتیا لرئ یو سکریپټ جوړ کړئ file د Cadence Xcelium سمیلیټر چلولو لپاره د ModelSim ME/ModelSim Pro ME run.do ته ورته. دا مرحلې تعقیب کړئ او سکریپټ جوړ کړئ file د Xcelium لپاره یا سکریپټ وکاروئ file د ModelSim ME/ModelSim Pro ME run.do بدلولو لپاره چمتو شوی fileپه ترتیب کې fileد Xcelium په کارولو سره سمولونو چلولو ته اړتیا ده.
4.1 د چاپیریال تغیرات (یوه پوښتنه وکړئ)
د Cadence Xcelium چلولو لپاره، لاندې چاپیریال تغیرات تنظیم کړئ:

  1. د LM_لایسنس_FILE: باید جواز ته اشاره کوونکی شامل وي file.
  2. cds_root: باید د Cadence Incisive انسټالیشن د کور لارښود ځای ته اشاره وکړي.
  3. PATH: باید د وسیلو لارښود لاندې د بن موقعیت ته اشاره وکړي چې د cds_root لخوا په ګوته شوي (د مثال په توګه
    $cds_root/tools/bin/64bit (د 64 بټ ماشین لپاره او $cds_root/tools/bin د 32 بټ لپاره
    ماشین).

د 64-bit او 32-bit عملیاتي سیسټمونو ترمینځ د سویچ په صورت کې د سمولیشن چاپیریال تنظیم کولو درې لارې شتون لري:
قضیه 1: د PATH متغیر
د 64bit ماشینونو لپاره لاره = (install_dir/tools/bin/64bit $path) ترتیب کړئ او
د 32bit ماشینونو لپاره لاره = (install_dir/tools/bin $path) ترتیب کړئ
قضیه 2: د -64bit کمانډ لاین اختیار کارول
د کمانډ لاین کې د 64-bit اجرا کولو وړ غوښتنه کولو لپاره -64bit اختیار مشخص کړئ.
قضیه 3: د INCA_64BIT یا CDS_AUTO_64BIT چاپیریال متغیر تنظیم کول
د INCA_64BIT متغیر د بولین په توګه درملنه کیږي. تاسو کولی شئ دا متغیر په هر ارزښت یا null کې تنظیم کړئ
تار
setenv INCA_64BIT

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: د د INCA_64BIT چاپیریال متغیر په نورو Cadence وسایلو اغیزه نه کوي، لکه د IC اوزار. په هرصورت، د انډول وسیلو لپاره، د INCA_64BIT متغیر د CDS_AUTO_64BIT چاپیریال متغیر لپاره ترتیب بدلوي. که چیرې د INCA_64BIT چاپیریال متغیر et وي، ټول د انسیسي وسیلې په 64-bit حالت کې پرمخ ځي.
setenv CDS_AUTO_64BIT شامل دي: INCA
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: د تار INCA باید په لوی لاس کې وي. ټول اجرا کیدونکي باید په 2-bit حالت کې یا په 64-bit حالت کې چلول شي، متغیر مه تنظیم کړئ ترڅو یو اجرا وړ شامل شي، لکه په لاندې ډول:
setenv CDS_AUTO_64BIT شامل دي:ncelab
د کیډنس نور اوزار، لکه د IC اوزار، د 64-bit یا 32-bit اجرایی وړ انتخابونو کنټرول لپاره د CDS_AUTO_64BIT چاپیریال متغیر هم کاروي. لاندې جدول ښیې چې تاسو څنګه کولی شئ د CDS_AUTO_64BIT متغیر تنظیم کړئ ترڅو په ټولو حالتونو کې د انسجام وسیلې او IC اوزار چل کړئ.

جدول 4-1. CDS_AUTO_64BIT متغیرونه

CDS_AUTO_64BIT متغیر په زړه پورې وسیلې IC اوزار
setenv CDS_AUTO_64BIT ټول 64-بټ 64-بټ
setenv CDS_AUTO_64BIT هیڅ نه 32-بټ 32-بټ
setenv CDS_AUTO_64BIT
خارج کړئ: ic_binary
64-بټ 32-بټ
setenv CDS_AUTO_64BIT خارج: INCA 32-بټ 64-بټ

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: ټول انډولیز وسیلې باید په 32-bit حالت کې یا په 64-bit حالت کې چلول شي، د ځانګړي اجرا وړ د ایستلو لپاره EXCLUDE مه کاروئ، لکه په لاندې ډول:
setenv CDS_AUTO_64BIT خارج: ncelab
که تاسو د CDS_AUTO_64BIT متغیر تنظیم کړئ ترڅو د انډول وسیلې خارج کړئ (setenv
CDS_AUTO_64BIT اخراج: INCA)، ټول انډولیز اوزار په 32-bit حالت کې چلیږي. په هرصورت، د
-64bit کمانډ لاین اختیار د چاپیریال متغیر له پامه غورځوي.
لاندې تشکیلات files تاسو سره ستاسو د معلوماتو اداره کولو کې مرسته کوي او د سمولو وسیلو او اسانتیاو عملیات کنټرولوي:

  • د کتابتون نقشه file (cds.lib) ستاسو د ډیزاین موقعیت لپاره منطقي نوم تعریفوي.
  • کتابتونونه او د فزیکي لارښود نومونو سره یې شریکوي.
  • متغیرات file (hdl.var) متغیرات تعریفوي چې د سمولو وسیلو او اسانتیاو چلند اغیزه کوي.

4.2 تالیف شوی کتابتون ډاونلوډ کړئ (یوه پوښتنه وکړئ)
د Microsemi's څخه د Cadence Xcelium لپاره کتابتونونه ډاونلوډ کړئ webسایټ
4.3 د Xcelium سکریپټ جوړول file (یوه پوښتنه وکړئ)
د run.do یوه کاپي جوړولو وروسته fileد Xcelium سکریپټ په کارولو سره خپل سمولیشن چلولو لپاره لاندې مرحلې ترسره کړئ file.

  1. یو cds.lib جوړ کړئ file دا تعریفوي چې کوم کتابتونونه د لاسرسي وړ دي او چیرته موقعیت لري.
    د file بیانونه لري چې د کتابتون منطقي نومونه د دوی فزیکي لارښود لارو ته نقشه کوي. د مثال لپارهample، که تاسو د presynth سمولیشن پرمخ وړئ، cds.lib file لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي لیکل کیدی شي.
    presynth تعریف کړئ./presynth
    COREAHBLITE_LIB ./COREAHBLITE_LIB تعریف کړئ
    سمارټ فیوژن تعریف کړئ 2
  2. یو hdl.var جوړ کړئ file کوم چې یو اختیاري ترتیب دی file چې د تشکیلاتو تغیرات لري، دا ټاکي چې ستاسو د ډیزاین چاپیریال څنګه تنظیم شوی. پدې کې شامل دي:
    - متغیرات چې د کاري کتابتون مشخص کولو لپاره کارول کیږي چیرې چې تالیف کونکي راټول شوي توکي او نور ترلاسه شوي ډیټا ذخیره کوي.
    - د ویریلوګ لپاره، تغیرات (LIB_MAP، VIEW_MAP، WORK) چې د کتابتونونو مشخص کولو لپاره کارول کیږي او viewد لټون کولو لپاره کله چې توضیح کوونکی مثالونه حل کړي.
    - متغیرات چې تاسو ته اجازه درکوي تالیف کونکي ، توضیح کونکي ، او سمیلیټر کمانډ لاین اختیارونه او دلیلونه تعریف کړئ.
    د presynth simulation په صورت کې example پورته ښودل شوي، ووایه چې موږ 3 RTL لرو files av، bv، او testbench.v، کوم چې باید په ترتیب سره presynth، COREAHBLITE_LIB، او presynth کتابتونونو کې تالیف شي. د hdl.var file لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي لیکل کیدی شي.
    د کار نسخه تعریف کړئ
    پروژه_DIR تعریف کړئ files>
    LIB_MAP تعریف کړئ ($LIB_MAP, ${PROJECT_DIR}/av => presynth)
    LIB_MAP تعریف کړئ ($LIB_MAP, ${PROJECT_DIR}/bv => COREAHBLITE_LIB )
    LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth )
    LIB_MAP تعریف کړئ ($LIB_MAP, + => presynth)
  3. ډیزاین تالیف کړئ fileد ncvlog اختیار کارول.
    xmvlog +incdir+ –cdslib ./cds.lib –hdlvar ./hdl.var –logfile
    ncvlog.log –تازه کول –linedebug av bv testbench.v
  4. ډیزاین د ncelab په کارولو سره روښانه کړئ. توضیح کوونکی په ډیزاین کې د انسټاګرام او ترتیب کولو معلوماتو پراساس د ډیزاین درجه بندي رامینځته کوي ، د سیګنال ارتباط رامینځته کوي ، او په ډیزاین کې د ټولو شیانو لپاره لومړني ارزښتونه محاسبه کوي. د ډیزاین پراخه لړۍ په سمولیشن سنیپ شاټ کې زیرمه شوې ، کوم چې ستاسو د ډیزاین نمایندګي ده چې سمیلیټر د سمولیشن چلولو لپاره کاروي.
    Xcelium – پیغام –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
    لاسرسی + rwc - د حالت کاري لیب. : ماډل
    د وروسته ترتیب سمولو په جریان کې توضیحات
    د پوسټ ترتیب سمولو په صورت کې، لومړی SDF file د ncsdfc کمانډ په کارولو سره د توضیح کولو دمخه باید تالیف شي.
    ایکسیلیمfileنوم>. sdf - محصولfileنوم>.sdf.X
    د توضیحاتو په جریان کې د -autosdf اختیار سره تالیف شوي SDF محصول وکاروئ لکه څنګه چې لاندې کوډ بلاک کې ښودل شوي.
    xmelab -autosdf -پیغام -cdslib ./cds.lib -hdlvar ./hdl.var -logfile ncelab.log –errormax
    15-لاسرسی + rwc - د حالت کاري لیب. : ماډل – sdf_cmd_file ./
    sdf_cmd_file
    د sdf_cmd_file باید لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي.
    د SDF_کمپایلFILE = file>"
  5. د Xcelium په کارولو سره سمول. د توضیحاتو وروسته د سمولیشن سنیپ شاټ رامینځته کیږي کوم چې د سمولیشن لپاره د Xcelium لخوا بار شوی. دا په بیچ حالت یا GUI حالت کې پرمخ وړل کیدی شي.
    xmsim –پیغام –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log –
    errormax 15 - د حالت کاري لیب. : ماډل
    د کیډینس ایکسیلیم تنظیم
    د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: ټول پورته درې مرحلې د تالیف کولو ، توضیح کولو او سمولو کولو لپاره په شیل سکریپټ کې کیښودل کیدی شي file او د کمانډ لاین څخه سرچینه اخیستل کیږي. د دې دریو مرحلو کارولو پرځای، ډیزاین په یو ګام کې د ncverilog یا xrun اختیار په کارولو سره سمول کیدی شي لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي.
    xmverilog +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var
    fileپه ډیزاین کې کارول کیږي>
    xrun +incdir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
    په ډیزاین کې کارول کیږي>

4.3.1 پیژندل شوي مسلې (یوه پوښتنه وکړئ)
د ټیسټ بینچ کاري حل
د کارونکي لخوا رامینځته شوي ټیسټ بینچ کې د ساعت فریکونسۍ مشخص کولو لپاره د لاندې بیان کارول یا د لیبرو SoC لخوا رامینځته شوي ډیفالټ ټیسټ بینچ د Xcelium سره کار نه کوي.
تل @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
د سمولو چلولو لپاره په لاندې ډول تعدیل کړئ:
تل #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: د Xcelium لپاره تالیف شوي کتابتونونه د پلیټ فارم ځانګړي دي (د بیلګې په توګه 64 بټ کتابتونونه د 32 بټ پلیټ فارم سره مطابقت نلري او برعکس).
د MSS او SERDES په کارولو سره د پوسټ سینټ او پوسټ ترتیب سمولونه
پداسې حال کې چې د ډیزاینونو پوسټ سینت سمولیشنونه چې MSS بلاک لري ، یا د SERDES په کارولو سره د ډیزاینونو پوسټ ترتیب سمولیشنونه چلوي ، د BFM سمولیشنونه کار نه کوي که –libmap اختیار د توضیحاتو پرمهال مشخص شوی نه وي. دا ځکه چې د توضیحاتو په جریان کې ، MSS د کاري کتابتون څخه حل کیږي (د ډیفالټ پابندۍ له امله او ورک لیب د پوسټ سینټ/پوسټ ترتیب دی) چیرې چې دا یوازې یو ثابت فعالیت دی.
د ncelab کمانډ باید ولیکل شي لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي ترڅو د SmartFusion2 مخکې جوړ شوي کتابتون څخه د MSS بلاک حل کړي.
xmelab -libmap lib.map -libverbose -Message -access +rwc cfg1
او lib.map file باید په لاندې ډول وي:
config cfg1;
ډیزاین ;
ډیفالټ liblist smartfusion2 ;
endconfig
دا باید د کار کتابتون ته د کتلو دمخه په SmartFusion2 کتابتون کې هر ډول حجره حل کړي لکه د پوسټ سینټ/پوسټ ترتیب.
د –libmap اختیار د هر سمولیشن (presynth، postsynth او post-layout) لپاره د توضیحاتو په جریان کې د ډیفالټ لخوا کارول کیدی شي. دا د سمولو مسلو څخه مخنیوی کوي چې د کتابتونونو څخه د مثالونو د حل له امله رامینځته کیږي.
xmelab: *F، INTERR: داخلي استثنا
د دې ncelab وسیلې استثنا د ډیزاینونو لپاره یو احتیاط دی چې په SmartFusion2 او IGLOO2 کې FDDR لري
د -libmap اختیار په کارولو سره د پوسټ سینت او وروسته ترتیب سمولیشنونو پرمهال.
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: دا مسله د کیډنس ملاتړ ټیم (SAR 52113) ته راپور شوې.

4.4 سample Tcl او شیل سکریپټ files(یوه پوښتنه وکړئ)
لاندې files تشکیلات دي fileد ډیزاین او شیل سکریپټ تنظیم کولو لپاره اړین دی file د Xcelium کمانډونو چلولو لپاره.
cds.lib
د سمارټ فیوژن 2 تعریف کړئ /scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
COREAHBLITE_LIB ./COREAHBLITE_LIB تعریف کړئ
presynth تعریف کړئ./presynth
Hdl.var
د کار نسخه تعریف کړئ
پروژه_DIR تعریف کړئ /scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREAHBLITE_LIB )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
LIB_MAP تعریف کړئ ($LIB_MAP، ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP، ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
LIB_MAP تعریف کړئ ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
LIB_MAP تعریف کړئ ($LIB_MAP, + => presynth)
Commands.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -پیغام -cdslib ./cds.lib -hdlvar ./hdl.var
-work presynth -logfile ncelab.log -errormax 15 -access +rwc -status presynth.testbench: ماډل
ncsim -پیغام -بیچ -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench: ماډل

4.5 اتومات (د مایکروچپ ننوتل)
لاندې سکریپټ file ModelSim run.do بدلوي fileپه ترتیب سره fileد Xcelium په کارولو سره سمولونو چلولو ته اړتیا ده.
سکریپټ File کارول
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
موقعیت_د_کیډنس_پریکمپل شوی_کتابتون
Cadence_parser.pl
#!/usr/bin/perl -w

########################################################## ##################################################
################
#استعمال: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
مایکروسیمي_کورنۍ مخکی جوړ شوی_کتابتون_ځای#

########################################################## ##################################################
################
POSIX وکاروئ؛
سخت کارول؛
زما ($presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV؛
&questa_parser($presynth,$family,$lib_location);
&questa_parser($postsynth,$family,$lib_location);

&questa_parser($postlayout,$family,$lib_location);
فرعي کوسټا_پارسر {
زما $ModelSim_run_do = $_[0]؛
زما $actel_family = $_[1]؛
زما $lib_location = $_[2]؛
زما $ حالت؛
که (-e "$ModelSim_run_do")
{
خلاص (INFILE,"$ModelSim_run_do");
زما @ModelSim_run_do =FILE>;
زما $ کرښه
که ($ModelSim_run_do =~ m/(presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
خلاص (اوټFILE,">QUESTA_PRESYNTH/presynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
خلاص (اوټFILE,">QUESTA_POSTSYNTH/postsynth_questa.do");
$state = $1;
} elsif ( $ModelSim_run_do =~ m/(پوستې ترتیب)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
خلاص (اوټFILE,">QUESTA_POSTLAYOUT/postlayout_questa.do");
$state = $1;
} بل
{
چاپ "غلط معلومات ورکړل شوي file\n";
چاپ "#استعمال: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\"کتابتون_ځای\"\n";
}
foreach $line (@ModelSim_run_do)
{
#عمومي عملیات
$line =~ s/..\/designer.*simulation\///g;
$line =~s/$state/$state\_questa/g;
#چاپ یی کړهFILE "$ لائن \n";
که ($line =~ m/vmap\s+.*($actel_family)/)
{
چاپ یی کړهFILE "vmap $actel_family \"$lib_location\"\n";
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~s/..\/component/..\/..\/component/g;
چاپ یی کړهFILE "$ لائن \n";
} elsif ($ line =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
چاپ یی کړهFILE "$ لائن \n";
} بل
{
چاپ یی کړهFILE "$ لائن \n";
}
}
نږدې (INFILE);
وتړلFILE);
} نور {
چاپ "$ModelSim_run_do شتون نلري. سمولیشن بیا چلول \n”;
}
}

د سیمنز QuestaSim Setup/ModelSim Setup (یوه پوښتنه وکړئ)

منډې files، د موډل سیم مایکروسیمي نسخو په کارولو سره سمولونو لپاره د لیبرو SoC لخوا رامینځته شوی ، د یو واحد بدلون سره د QuestaSim/ModelSim SE/DE/PE په کارولو سره سمولیشنونو لپاره کارول کیدی شي. په ModelSim ME/ModelSim Pro ME run.do کې fileد مخکې جوړ شوي کتابتون ځای باید بدل شي.
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: 
په ډیفالټ ، د ماډل سیم پرو ME پرته د سمولیشن وسیله د سمولیشن پرمهال د ډیزاین اصلاح ترسره کوي کوم چې کولی شي د سمولیشن هنري اثارو لکه ډیزاین توکي او ان پټ محرک کې لید اغیزه وکړي.
دا په عموم ډول د پیچلي سمولیشنونو لپاره د سمولیشن چلولو وخت کمولو کې ګټور دی ، د فعل ، ځان چیک کولو ټیسټ بینچونو په کارولو سره. په هرصورت، د ډیفالټ اصلاح ممکن د ټولو سمولونو لپاره مناسب نه وي، په ځانګړې توګه په هغه حالتونو کې چې تاسو تمه لرئ چې د موج کړکۍ په کارولو سره د سمولو پایلې په ګرافیک ډول معاینه کړئ.
د دې اصلاح کولو له امله رامینځته شوي مسلو ته د رسیدو لپاره ، تاسو باید د سمولو په جریان کې مناسب کمانډونه او اړوند دلیلونه اضافه کړئ ترڅو ډیزاین کې لید بحال کړئ. د وسیلې ځانګړي کمانډونو لپاره ، د کارول شوي سمیلیټر اسناد وګورئ.

5.1 د چاپیریال تغیرات (یوه پوښتنه وکړئ)
لاندې اړین چاپیریال متغیرونه دي.

  • د LM_لایسنس_FILE: باید جواز ته لاره شامله کړي file.
  • MODEL_TECH: باید د QuestaSim نصبولو د کور ډایرکټر ځای ته لاره وپیژني.
  • PATH: باید د اجرا وړ ځای ته اشاره وکړي چې د MODEL_TECH لخوا په ګوته شوي.

5.2 د مینټر کوسټاسیم لپاره د run.do بدلول (یوه پوښتنه وکړئ)
منډې fileد لیبرو SoC لخوا رامینځته شوی د ماډل سیم مایکروسیمي نسخې کارولو سمولیشنونو لپاره د QuestaSim/ModelSim_SE په کارولو سره سمولونو لپاره د یو واحد بدلون سره کارول کیدی شي.
د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: ټول هغه ډیزاینونه چې د QuestaSim په کارولو سره سمول شوي باید پکې شامل وي -novopt
په run.do سکریپټ کې د vsim کمانډ سره اختیار files.
5.3 تالیف شوی کتابتون ډاونلوډ کړئ (یوه پوښتنه وکړئ)
د Microsemi's څخه د مینټور ګرافیک QuestaSim لپاره کتابتونونه ډاونلوډ کړئ webسایټ

Synopsys VCS ترتیب (یوه پوښتنه وکړئ)

د مایکروسیمي لخوا وړاندیز شوی جریان په VCS کې په پراخه او تالیف جریان تکیه کوي. په دې سند کې یو سکریپټ شامل دی file چې د run.do سکریپټ کاروي files د Libero SoC لخوا رامینځته شوی او سیټ اپ تولیدوي fileد VCS سمولو لپاره اړین دی. سکریپټ file run.do کاروي file د لاندې کولو لپاره.

  • د کتابتون نقشه جوړه کړئ file، کوم چې د synopsys_sim.setup په کارولو سره ترسره کیږي file په ورته لارښود کې موقعیت لري چیرې چې د VCS سمولیشن روان دی.
  • د شیل سکریپټ جوړ کړئ file د VCS په کارولو سره ستاسو ډیزاین توضیح او تالیف کول.

6.1 د چاپیریال تغیرات (یوه پوښتنه وکړئ)
ستاسو د ترتیب پراساس د VCS لپاره مناسب چاپیریال متغیرات تنظیم کړئ. د VCS اسنادو سره سم د چاپیریال تغیرات اړین دي:

  • د LM_لایسنس_FILE: باید د جواز سرور ته یو پوائنټر شامل کړي.
  • VCS_HOME: باید د VCS نصبولو د کور لارښود ځای ته اشاره وکړي.
  • PATH: باید د VCS_HOME ډایرکټر لاندې د بن ډایرکټر ته اشاره وکړي.

6.2 تالیف شوی کتابتون ډاونلوډ کړئ (یوه پوښتنه وکړئ)
د مایکروسمي څخه د Synopsys VCS لپاره کتابتونونه ډاونلوډ کړئ webسایټ
6.3 د VCS سمولو سکریپټ File (یوه پوښتنه وکړئ)
د VCS تنظیم کولو او ډیزاین او مختلف run.do تولید کولو وروسته fileد Libero SoC څخه، تاسو باید:

  1. د کتابتون نقشه جوړه کړئ file synopsys_sim.setup; دا file د ټولو کتابتونونو موقعیت ته اشاره کوي چې د ډیزاین لخوا کارول کیږي.
    د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن  مهم: د file نوم باید بدل نشي او دا باید په ورته لارښود کې موقعیت ولري چیرې چې سمول چلیږي. دلته یو پخوانی دیampد داسې یو لپاره file د presynthesis سمولو لپاره.
    کار > EFAULT
    سمارټ فیوژن 2
    presynth : ./presynth
    DEFAULT: ./work
  2. مختلف ډیزاین روښانه کړئ files، د ټیسټ بینچ په شمول، په VCS کې د vlogan کمانډ په کارولو سره. دا حکمونه ممکن په شیل سکریپټ کې شامل شي file. لاندې یو پخوانی دیampد هغه حکمونو څخه چې په rtl.v کې تعریف شوي ډیزاین توضیح کولو لپاره اړین دي د دې ټیسټ بینچ سره تعریف شوي
    testbench.v
    vlogan +v2k -work presynth rtl.v
    vlogan +v2k -work presynth testbench.v
  3. د لاندې کمانډ په کارولو سره د VCS په کارولو سره ډیزاین تالیف کړئ.
    vcs –sim_res=1fs presynth.testbench
    یادونه: د د سمولیشن ریزولوشن وخت باید د سم فعال سمولیشن لپاره 1fs ته وټاکل شي.
  4. یوځل چې ډیزاین تالیف شو ، د لاندې کمانډ په کارولو سره سمول پیل کړئ.
    ./simv
  5. د بیرته تشریح شوي سمولیشن لپاره، د VCS کمانډ باید لکه څنګه چې په لاندې کوډ بلاک کې ښودل شوي.
    vcs postlayout.testbench –sim_res=1fs –sdf اعظمي: .
    نوم>: file path> –gui –l postlayout.log

6.4 محدودیتونه / استثناوې (یوه پوښتنه وکړئ)
لاندې د Synopsys VCS ترتیب محدودیتونه / استثناوې دي.

  • د VCS سمولیشنونه یوازې د لیبرو SoC ویریلوګ پروژو لپاره پرمخ وړل کیدی شي. د VCS سمیلیټر د VHDL ژبې سختې اړتیاوې لري چې د Libero SoC اتومات تولید شوي VHDL لخوا نه پوره کیږي files.
  • تاسو باید د ویریلوګ ټیسټ بینچ کې د $ پای بیان ولرئ ترڅو سمول بند کړئ کله چې تاسو غواړئ.
    د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر - آئیکن مهم: کله سمولونه په GUI حالت کې چلول کیږي، د چلولو وخت په GUI کې مشخص کیدی شي.

6.5 سample Tcl او شیل سکریپټ Files(یوه پوښتنه وکړئ)
لاندې پرل د synopsys_sim.setup نسل اتومات کوي file همدارنګه د اړونده شیل سکریپټ fileد ډیزاین توضیح کولو، تالیف کولو او انډول کولو لپاره اړین دی.
که ډیزاین MSS کاروي، test.vec کاپي کړئ file د VCS سمولیشن فولډر کې د Libero SoC پروژې سمولیشن فولډر کې موقعیت لري. لاندې برخې لريample run.do fileد Libero SoC لخوا رامینځته شوی ، پشمول د اړونده کتابتون نقشه او شیل سکریپټ fileد VCS سمولو لپاره اړین دی.
6.5.1 دمخه ترکیب (یوه پوښتنه وکړئ)
Presynth_run.do
په خاموشۍ سره د ACTELLIBNAME SmartFusion2 تنظیم کړئ
په خاموشۍ سره PROJECT_DIR "/sqa/users/me/VCS_Tests/Test_DFF" تنظیم کړئ
که {[file شتون لري presynth/_info]} {
اکو "معلومات: د سمولیشن کتابتون نسخه لا دمخه شتون لري"
} نور {
vlib presynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth "${PROJECT_DIR}/component/work/SD1/SD1.v"
vlog "+incdir+${PROJECT_DIR}/stimulus" -د کار کولو نسخه "${PROJECT_DIR}/stimulus/SD1_TB1.v"
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
څپې اضافه کړئ /SD1_TB1/*
log -r /* اضافه کړئ
1000ns چلول
presynth_main.csh
#!/bin/csh -f
PROJECT_DIR = "/sqa/users/Me/VCS_Tests/Test_DFF" تنظیم کړئ
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/component/
work/SD1/SD1.v"
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k "+incdir+${PROJECT_DIR}/stimulus" - کار
presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
کار > ډیفالټ
SmartFusion2: /VCS/SmartFusion2
presynth : ./presynth
DEFAULT: ./work

6.5.2 وروسته ترکیب (یوه پوښتنه وکړئ)
postsynth_run.do
په خاموشۍ سره د ACTELLIBNAME SmartFusion2 تنظیم کړئ
په خاموشۍ سره PROJECT_DIR "/sqa/users/Me/VCS_Tests/Test_DFF" تنظیم کړئ
که {[file شته postsynth/_info]} {
اکو "معلومات: د سمولیشن کتابتون پوسټ سینت دمخه شتون لري"
} نور {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2»
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
vlog "+incdir+${PROJECT_DIR}/stimulus" - د کار پوسټ سینت "${PROJECT_DIR}/stimulus/SD1_TB1.v"
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
څپې اضافه کړئ /SD1_TB1/*
log -r /* اضافه کړئ
1000ns چلول
لاګ SD1_TB1/*
وتل
Postsynth_main.csh
#!/bin/csh -f
PROJECT_DIR = "/sqa/users/Me/VCS_Tests/Test_DFF" تنظیم کړئ
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v"
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k "+incdir+${PROJECT_DIR}/stimulus" - کار
postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
کار > ډیفالټ
SmartFusion2: /VCS/SmartFusion2
postsynth: ./postsynth
DEFAULT: ./work
6.5.3 وروسته ترتیب (یوه پوښتنه وکړئ)
postlayout_run.do
په خاموشۍ سره د ACTELLIBNAME SmartFusion2 تنظیم کړئ
په خاموشۍ سره PROJECT_DIR "E:/ModelSim_Work/Test_DFF" تنظیم کړئ
که {[file شتون لري ../designer/SD1/simulation/postlayout/_info]} {
اکو "معلومات: د سمولو کتابتون ../designer/SD1/simulation/postlayout لا دمخه شتون لري"
} نور {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2»
vlog - د کار وروسته ترتیب "${PROJECT_DIR}/designer/SD1/SD1_ba.v"
vlog "+incdir+${PROJECT_DIR}/stimulus" - د کار وروسته ترتیب "${PROJECT_DIR}/stimulus/SD1_TB1.v"
vsim -L SmartFusion2 -L پوسټ ترتیب -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf پوسټ لیوټ.SD1_TB1
څپې اضافه کړئ /SD1_TB1/*
log -r /* اضافه کړئ
1000ns چلول
Postlayout_main.csh
#!/bin/csh -f
PROJECT_DIR = "/VCS_Tests/Test_DFF" تنظیم کړئ
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -د کار وروسته ترتیب “${PROJECT_DIR}/
ډیزاینر/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k "+incdir+${PROJECT_DIR}/stimulus" - کار
وروسته ترتیب "${PROJECT_DIR}/stimulus/SD1_TB1.v"
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf

max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
کار > ډیفالټ
SmartFusion2: /VCS/SmartFusion2
وروسته ترتیب: ./ postlayout
ډیفالټ: ./workVCS
6.6 اتومات (یوه پوښتنه وکړئ)
جریان د لاندې پرل سکریپټ په کارولو سره اتومات کیدی شي file د ModelSim run.do بدلولو لپاره fileد VCS مطابقت لرونکي شیل سکریپټ کې files، د Libero SoC سمولیشن ډایرکټر دننه مناسب لارښودونه جوړ کړئ، او بیا سمولونه پرمخ وړئ.
سکرېپټ چلول file د لاندې نحو په کارولو سره.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
########################################################## #########################
#
#استعمال: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
########################################################## ###########################
زما ($presynth, $postsynth, $postlayout) = @ARGV؛
که (سیسټم("mkdir VCS_Presynth")) {چاپ "mkdir ناکام شوی:\n"؛}
که (سیسټم("mkdir VCS_Postsynth")) {چاپ "mkdir ناکام شو:\n"؛}
که (سیسټم("mkdir VCS_Postlayout")) {چاپ "mkdir ناکام شوی:\n"؛}
chdir(VCS_Presynth);
`cp ../$ARGV[0] .` ;
&parse_do($presynth,"presynth");
chdir ("../")؛
chdir(VCS_Postsynth);
`cp ../$ARGV[1] .` ;
&parse_do($postsynth,"postsynth");
chdir ("../")؛
chdir(VCS_Postlayout);
`cp ../$ARGV[2] .` ;
&parse_do($postlayout,"postlayout");
chdir ("../")؛
sub parse_do {
زما $vlog = "/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k" ;
زما %LIB = ();
زما ډالرfile = $__[0] ;
زما $state = $_[1]؛
خلاص (INFILE$file») || مړه "نه خلاصیږي File دلیل کیدای شي:$!";
که ($state eq "presynth")
{
خلاص (OUT1,">presynth_main.csh") || die" قومانده نشي جوړولی File دلیل کیدای شي:$!";
}
elsif ($state eq "postsynth")
{
خلاص (OUT1,">postsynth_main.csh") || die" قومانده نشي جوړولی File دلیل کیدای شي:$!";
}
elsif ($state eq "پوسټ layout")
{
خلاص (OUT1,">postlayout_main.csh") || die" قومانده نشي جوړولی File دلیل کیدای شي:$!";
}
بل
{
چاپ "د سمولیشن حالت ورک دی \n" ;
}
خلاص(OUT2,">synopsys_sim.setup") || die" قومانده نشي جوړولی File دلیل کیدای شي:$!";
# .csh file
چاپ آوټ1 "#!/bin/csh -f\n\n\n" ;
#چمتو کول FILE
چاپ آوټ 2 "کار > ډیفالټ\n" ;
چاپ کړئ OUT2 "SmartFusion2 : /sqa/users/Aditya/VCS/SmartFusion2\n" ;
پداسې حال کې چې ($ لائن =FILE>)
{

Synopsys VCS ترتیب

که ($line =~ m/په خاموشۍ سره PROJECT_DIR\s+\"(.*؟)\"/)
{
د چاپ OUT1 "سیټ PROJECT_DIR = \"$1\"\n\n\n" ;
}
elsif ( $line =~ m/vlog.*\.v\”/ )
{
که ($line =~ m/\s+(\w*?)\_LIB/)
{
# چاپ "\$1 =$1 \n" ;
$temp = "$1″."_LIB"؛
# چاپ "Temp = $temp \n" ;
$LIB{$temp}++;
}
چامپ ($ کرښه)؛
$line =~ s/^vlog/$vlog/ ;
$line =~ s/ //g;
چاپ آوټ1 "$ لائن\n"؛
}
elsif (( ($line =~ m/vsim.*presynth\.(.*)
=~ m/vsim.*postlayout\.(.*)/) )
{
$tb = $1 ;
$tb =~ s/ //g;
chomp($tb)؛
# چاپ د "TB نوم: $tb \n"؛
که ($line =~ m/sdf(.*)\.sdf/)
{
چامپ ($ کرښه)؛
$لین = $1 ;
# چاپ " کرښه : $ کرښه \n" ;
که ($ لائن = ~ m/max/)
{
$line =~s/max\/// ;
$line =~s/=/:/;
چاپ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
اعظمي:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($ line =~ m/min/)
{
$line = s/min /// ;
$line =~s/=/:/;
چاپ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
دقیقه:$tb.$line.sdf -l compile.log\n” ;
}
elsif ($ line =~ m/typ/)
{
$line =~ s/typ \/// ;
$line =~s/=/:/;
چاپ OUT1 “\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
ټایپ:$tb.$line.sdf -l compile.log\n” ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf — د ماډل سیم SDF بڼه
#$sdf = "-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf"; -VCS
د SDF بڼه
}
}
}
چاپ
بهر 1 "\n\n"
;
if
($state eq "presynth"
)
{
چاپ
OUT2 “presynth
: ./presynth\n”
;
چاپ
OUT1 "/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
compile.log\n”
;
}
ایلسف
($state eq "postsynth"
)
{
چاپ
OUT2 “پوسټسنت
: ./postsynth\n”
;
چاپ
OUT1 "/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
compile.log\n”
;
}
ایلسف
($state eq "پوستې آوټ"
)
{
چاپ کړئ OUT2 "پوسټ لی آؤٹ: ./postlayout\n" ;
}
بل
{
چاپ "د سمولیشن حالت ورک دی \n" ;
}
foreach $i (کیلي %LIB)
{
# چاپ "کیلي: $i ارزښت: $LIB{$i} \n" ;
چاپ آوټ2 "$i : ./$i\n" ;
}
چاپ آوټ1 "\n\n" ;
چاپ OUT1 "./simv -l run.log\n" ;
چاپ آوټ 2 "ډیفالټ: ./work\n" ;
IN تړلFILE;
OUT1 بند کړئ؛
OUT2 بند کړئ؛
}

د بیاکتنې تاریخ (د مایکروچپ ننوتل

د بیاکتنې تاریخ هغه بدلونونه بیانوي چې په سند کې پلي شوي. بدلونونه
د بیاکتنې لخوا لیست شوي، د خورا اوسني خپرونې سره پیل کیږي.

بیاکتنه نیټه تفصیل
A ۹/۹۷ پدې بیاکتنه کې لاندې بدلونونه رامینځته شوي:
• سند د مایکروچپ ټیمپلیټ ته بدل شوی. ابتدايي بیاکتنه.
• تازه شوی برخه 5. د سیمنز کوسټاسیم سیټ اپ/موډل سیم سیټ اپ د نوي یادداشت شاملولو لپاره چې د سمولو او اصلاح کولو پرمهال د لید اغیزې تشریح کوي.

د مایکروچپ FPGA ملاتړ
د مایکروچپ FPGA محصولاتو ګروپ خپل محصولات د مختلف ملاتړ خدماتو سره ملاتړ کوي ، پشمول د پیرودونکي خدمت ، د پیرودونکي تخنیکي ملاتړ مرکز ، a webسایټ، او په ټوله نړۍ کې د پلور دفترونه.
پیرودونکو ته وړاندیز کیږي چې د ملاتړ سره تماس نیولو دمخه د مایکروچپ آنلاین سرچینو څخه لیدنه وکړي ځکه چې دا خورا احتمال لري چې د دوی پوښتنې لا دمخه ځواب شوي وي.
له لارې د تخنیکي ملاتړ مرکز سره اړیکه ونیسئ webپه سایټ کې www.microchip.com/support. د FPGA وسیلې برخې شمیره په ګوته کړئ ، د مناسب قضیې کټګورۍ غوره کړئ ، او ډیزاین اپلوډ کړئ fileد تخنیکي مالتړ قضیه رامینځته کولو پرمهال.
د غیر تخنیکي محصول مالتړ لپاره د پیرودونکي خدماتو سره اړیکه ونیسئ، لکه د محصول قیمت، د محصول لوړول، تازه معلومات، د امر حالت، او واک ورکول.

  • د شمالي امریکا څخه، 800.262.1060 ته زنګ ووهئ
  • د نورې نړۍ څخه، 650.318.4460 ته زنګ ووهئ
  • فکس، د نړۍ له هر ځای څخه، 650.318.8044

د مایکروچپ معلومات
مایکروچپ Webسایټ
مایکروچپ زموږ له لارې آنلاین ملاتړ چمتو کوي webپه سایټ کې www.microchip.com/. دا webسایټ د جوړولو لپاره کارول کیږي files او معلومات په اسانۍ سره پیرودونکو ته شتون لري. ځینې ​​​​موټر شتون لري پدې کې شامل دي:

  • د محصول ملاتړ - د معلوماتو پاڼې او خطا، د غوښتنلیک یادښتونه او sampد پروګرامونو، ډیزاین سرچینې، د کاروونکي لارښود او د هارډویر مالتړ اسناد، وروستي سافټویر ریلیزونه او آرشیف شوي سافټویر
  • عمومي تخنیکي ملاتړ - ډیری پوښتل شوي پوښتنې (FAQs)، د تخنیکي مالتړ غوښتنې، د آنلاین بحث ګروپونه، د مایکروچپ ډیزاین شریک پروګرام غړو لیست
  • د مایکروچپ سوداګرۍ - د محصول انتخاب کونکي او ترتیب کولو لارښودونه ، د مایکروچپ وروستي مطبوعاتي اعلامیې ، د سیمینارونو او پیښو لیست کول ، د مایکروچپ پلور دفترونو لیست کول ، توزیع کونکي او د فابریکې نمایندګان

د محصول بدلون خبرتیا خدمت
د مایکروچپ د محصول بدلون خبرتیا خدمت د پیرودونکو سره د مایکروچپ محصولاتو اوسني ساتلو کې مرسته کوي. پیرودونکي به د بریښنالیک خبرتیا ترلاسه کړي کله چې د ځانګړي محصول کورنۍ یا د ګټو پراختیا وسیلې پورې اړوند بدلونونه ، تازه معلومات ، بیاکتنې یا خطا شتون ولري.
د راجستر کولو لپاره، لاړ شئ www.microchip.com/pcn او د راجستریشن لارښوونې تعقیب کړئ.
د پیرودونکي ملاتړ
د مایکروچپ محصولاتو کارونکي کولی شي د څو چینلونو له لارې مرستې ترلاسه کړي:

  • توزیع کونکی یا استازی
  • د محلي پلور دفتر
  • سرایت شوي حل انجینر (ESE)
  • تخنیکي ملاتړ

پیرودونکي باید د ملاتړ لپاره د دوی توزیع کونکي ، نماینده یا ESE سره اړیکه ونیسي. د پلور محلي دفترونه هم د پیرودونکو سره د مرستې لپاره شتون لري. په دې سند کې د پلور دفترونو او ځایونو لیست شامل دی.
تخنیکي ملاتړ له لارې شتون لري webپه سایټ کې: www.microchip.com/support
د مایکروچپ وسیلو کوډ محافظت ځانګړتیا
په مایکروچپ محصولاتو کې د کوډ محافظت ځانګړتیا لاندې توضیحات یاد کړئ:

  • د مایکروچپ محصولات د دوی ځانګړي مایکروچپ ډیټا شیټ کې موجود مشخصات پوره کوي.
  • مایکروچپ باور لري چې د محصولاتو کورنۍ خوندي ده کله چې په مطلوب ډول کارول کیږي، په عملیاتي ځانګړتیاو کې، او په نورمال شرایطو کې.
  • مایکروچپ ارزښتونه لري او په کلکه د خپل فکري ملکیت حقونه ساتي. د مایکروچپ محصول د کوډ محافظت ځانګړتیاو څخه د سرغړونې هڅې په کلکه منع دي او ممکن د ډیجیټل ملیونیم کاپي حق قانون څخه سرغړونه وکړي.
  • نه مایکروچپ او نه کوم بل سیمیکمډکټر جوړونکی کولی شي د دې کوډ امنیت تضمین کړي. د کوډ محافظت پدې معنی ندي چې موږ تضمین کوو چې محصول "نه ماتیدونکی" دی.
    د کوډ محافظت په دوامداره توګه وده کوي. مایکروچپ ژمن دی چې زموږ د محصولاتو د کوډ محافظت ځانګړتیاوې په دوامداره توګه ښه کړي.

قانوني خبرتیا
دا خپرونه او معلومات دلته یوازې د مایکروچپ محصولاتو سره کارول کیدی شي، پشمول ستاسو د غوښتنلیک سره د مایکروچپ محصولاتو ډیزاین، ازموینه او یوځای کول. د دې معلوماتو کارول په بل ډول د دې شرایطو څخه سرغړونه ده. د وسیلې غوښتنلیکونو په اړه معلومات یوازې ستاسو د اسانتیا لپاره چمتو شوي او ممکن د تازه معلوماتو لخوا ځای په ځای شي. دا ستاسو مسؤلیت دی چې ډاډ ترلاسه کړئ چې ستاسو غوښتنلیک ستاسو د ځانګړتیاو سره سمون لري. د اضافي ملاتړ لپاره د خپل ځایي مایکروچپ پلور دفتر سره اړیکه ونیسئ یا اضافي ملاتړ ترلاسه کړئ www.microchip.com/en-us/support/design-help/client-support-services.
دا معلومات د مایکروچپ لخوا چمتو شوي "لکه څنګه چې دي". مایکروچپ هیڅ ډول استازیتوب یا تضمین نه کوي که څرګند یا ضمیمه وي، لیکل شوي یا شفاهي، قانوني یا بل ډول، د معلوماتو پورې اړه لري په شمول مګر محدود نه وي غیر سرغړونې، د سوداګرۍ وړتیا، او د یو ځانګړي هدف لپاره فټنس، یا د دې حالت، کیفیت، یا فعالیت پورې اړوند تضمینونه.
په هیڅ صورت کې به مایکروچپ د هر ډول غیر مستقیم، ځانګړي، مجازاتو، تصادفي، یا په پایله کې د زیان، زیان، لګښت، یا هر ډول لګښت لپاره مسؤل نه وي چې د امریکا د متحده ایالاتو لپاره، د امریکا د متحده ایالاتو لپاره اړونده وي. حتی که مایکروچیپ ته د امکان په اړه مشوره ورکړل شوې وي یا زیانونه د وړاندوینې وړ وي. د قانون لخوا په بشپړه توګه اجازه ورکړل شوې، د معلوماتو یا د هغې کارول به د ټولو ادعاګانو په اړه د مایکروچپ بشپړ مسؤلیت په هره طریقه کې د فیسونو له مقدار څخه زیات نه وي، که تاسو په هر صورت کې وي، د معلوماتو لپاره مایکروچپ.
د ژوند مالتړ او / یا خوندیتوب غوښتنلیکونو کې د مایکروچپ وسیلو کارول په بشپړ ډول د پیرودونکي په خطر کې دي ، او پیرودونکي موافق دي چې د دې ډول کارونې په پایله کې د هر ډول زیانونو ، ادعاګانو ، سوټونو یا لګښتونو څخه بې ضرر مایکروچپ دفاع ، جبران او ساتي. هیڅ جوازونه، په ښکاره یا بل ډول، د مایکروچپ د فکري ملکیت حقونو الندې، پرته لدې چې بل ډول ویل شوي وي.
سوداګریزې نښې
د مایکروچپ نوم او لوګو، د مایکروچپ لوگو، اډاپټیک، AVR، AVR لوگو، AVR فریکس، BesTime، BitCloud، CryptoMemory، CryptoRF، dsPIC، flexPWR، HELDO، IGLOO، JukeBlox، KeeLoq، Linkus، mackylXTX MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST logo, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logo, PolarFire, Prochip ډیزاینر, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SymFST, Logo ، SyncServer، Tachyon، TimeSource، tinyAVR، UNI/O، ویکٹرون، او XMEGA د متحده ایالاتو او نورو هیوادونو کې د مایکروچپ ټیکنالوژۍ راجستر شوي سوداګریزې نښې دي.
AgileSwitch, APT, ClockWorks, د ایمبیډډ کنټرول حلونو شرکت, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermit 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus Smart Logo, Quii, Quii SyncWorld، Temux، TimeCesium، TimeHub، TimePictra، TimeProvider، TrueTime، او ZL په متحده ایالاتو کې د مایکروچپ ټیکنالوژۍ ثبت شوي سوداګریزې نښې دي.
نږدې کیلي سپپریشن، AKS، د ډیجیټل عمر لپاره انلاګ، هر ډول کیپسیټر، AnyIn، AnyOut، Augmented Switching، BlueSky، BodyCom، Clockstudio، CodeGuard، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication. , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralling, IntelliMOS, Inter-chip Connectivity, JitterBlocker, Knob-on-Display, Kopmaxry, KoD,View, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB تصدیق شوی لوگو, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher
SuperSwitcher II, Switchtec, Synchrophy, Total Endurance, Trusted Time, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan، WiperLock، XpressConnect، او ZENA د مایکروچپ ټیکنالوژۍ شرکت سوداګریزې نښې دي
په متحده ایالاتو او نورو هیوادونو کې.
SQTP په متحده ایالاتو کې د مایکروچپ ټیکنالوژۍ د خدماتو نښه ده
د اډاپټیک لوگو، فریکونسی آن ډیمانډ، د سیلیکون ذخیره کولو ټیکنالوژي، او سیم کام په نورو هیوادونو کې د مایکروچپ ټیکنالوژۍ شرکت راجستر شوي سوداګریزې نښې دي.
GestIC د مایکروچپ ټیکنالوژۍ جرمني II GmbH & Co. KG راجستر شوی سوداګریز نښه ده، چې د مایکروچپ ټکنالوژۍ شرکت یوه فرعي شرکت، په نورو هیوادونو کې.
نورې ټولې سوداګریزې نښې چې دلته ذکر شوي د دوی د اړوندو شرکتونو ملکیت دی.
© 2023، د مایکروچپ ټیکنالوژي شرکت او د هغې فرعي شرکتونه. ټول حقونه خوندي دي.
ISBN: 978-1-6683-3694-6
د کیفیت مدیریت سیسټم
د مایکروچپ د کیفیت مدیریت سیسټمونو په اړه د معلوماتو لپاره، مهرباني وکړئ لیدنه وکړئ www.microchip.com/quality.

امریکا آسیا/پاسیفیک آسیا/پاسیفیک اروپا
د کارپوریټ دفتر
2355 لویدیځ چاندلر بلویډ.
چاندلر، AZ 85224-6199
ټیلیفون: 480-792-7200
فکس: 480-792-7277
تخنیکي ملاتړ:
www.microchip.com/support
Web پته:
www.microchip.com
اتلانتا
Duluth, GA
ټیلیفون: 678-957-9614
فکس: 678-957-1455
آسټین، TX
ټیلیفون: 512-257-3370
بوسټن
Westborough, MA
ټیلیفون: 774-760-0087
فکس: 774-760-0088
شیکاګو
Itasca, IL
ټیلیفون: 630-285-0071
فکس: 630-285-0075
دالاس
اډیسن ، TX
ټیلیفون: 972-818-7423
فکس: 972-818-2924
ډیټرایټ
نووی، MI
ټیلیفون: 248-848-4000
هوسټن، TX
ټیلیفون: 281-894-5983
انډیاناپولیس
Noblesville, IN
ټیلیفون: 317-773-8323
فکس: 317-773-5453
ټیلیفون: 317-536-2380
لاس انجلس
مشن ویجو، CA
ټیلیفون: 949-462-9523
فکس: 949-462-9608
ټیلیفون: 951-273-7800
Raleigh, NC
ټیلیفون: 919-844-7510
نیویارک، نیویارک
ټیلیفون: 631-435-6000
سان جوز، CA
ټیلیفون: 408-735-9110
ټیلیفون: 408-436-4270
کاناډا - ټورنټو
ټیلیفون: 905-695-1980
فکس: 905-695-2078
استرالیا – سیډني
ټیلیفون: 61-2-9868-6733
چین – بیجینګ
ټیلیفون: 86-10-8569-7000
چین – چینګدو
ټیلیفون: 86-28-8665-5511
چین - چونګکینګ
ټیلیفون: 86-23-8980-9588
چین - دونګ ګوان
ټیلیفون: 86-769-8702-9880
چین - ګوانګزو
ټیلیفون: 86-20-8755-8029
چین – هانګزو
ټیلیفون: 86-571-8792-8115
چین – هانګ کانګ SAR
ټیلیفون: 852-2943-5100
چین – نانجینګ
ټیلیفون: 86-25-8473-2460
چین – Qingdao
ټیلیفون: 86-532-8502-7355
چین – شانګهای
ټیلیفون: 86-21-3326-8000
چین - شینیانګ
ټیلیفون: 86-24-2334-2829
چین - شینزین
ټیلیفون: 86-755-8864-2200
چین - سوزو
ټیلیفون: 86-186-6233-1526
چین – ووهان
ټیلیفون: 86-27-5980-5300
چین – ژیان
ټیلیفون: 86-29-8833-7252
چین - Xiamen
ټیلیفون: 86-592-2388138
چین – ژوهای
ټیلیفون: 86-756-3210040
هند – بنګلور
ټیلیفون: 91-80-3090-4444
هند – نوی ډیلی
ټیلیفون: 91-11-4160-8631
هندوستان - پونا
ټیلیفون: 91-20-4121-0141
جاپان – اوساکا
ټیلیفون: 81-6-6152-7160
جاپان – توکیو
ټیلیفون: 81-3-6880- 3770
کوریا – دایګو
ټیلیفون: 82-53-744-4301
کوریا – سیول
ټیلیفون: 82-2-554-7200
مالیزیا – کوالالمپور
ټیلیفون: 60-3-7651-7906
مالیزیا – پینانګ
ټیلیفون: 60-4-227-8870
فیلیپین - مانیلا
ټیلیفون: 63-2-634-9065
سینګاپور
ټیلیفون: 65-6334-8870
تائیوان – سین چو
ټیلیفون: 886-3-577-8366
تائیوان – کاهسینګ
ټیلیفون: 886-7-213-7830
تایوان - تایپي
ټیلیفون: 886-2-2508-8600
تایلینډ - بنکاک
ټیلیفون: 66-2-694-1351
ویتنام – هو چی مین
ټیلیفون: 84-28-5448-2100
اتریش – ویلز
ټیلیفون: 43-7242-2244-39
فکس: 43-7242-2244-393
ډنمارک – کوپنهاګن
ټیلیفون: 45-4485-5910
فکس: 45-4485-2829
فنلینډ - ایسپو
ټیلیفون: 358-9-4520-820
فرانسه - پاریس
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
جرمني – ګارچینګ
ټیلیفون: 49-8931-9700
جرمني – هان
ټیلیفون: 49-2129-3766400
جرمني – هیلبرون
ټیلیفون: 49-7131-72400
جرمني – کارلسروه
ټیلیفون: 49-721-625370
جرمني – میونخ
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
جرمني - روزن هایم
ټیلیفون: 49-8031-354-560
اسراییل – راعانانا
ټیلیفون: 972-9-744-7705
ایټالیا - میلان
ټیلیفون: 39-0331-742611
فکس: 39-0331-466781
ایټالیا - پادووا
ټیلیفون: 39-049-7625286
هالنډ – Drunen
ټیلیفون: 31-416-690399
فکس: 31-416-690340
ناروې - ټرونډیم
ټیلیفون: 47-72884388
پولنډ – وارسا
ټیلیفون: 48-22-3325737
رومانیا - بخارست
Tel: 40-21-407-87-50
هسپانیه – مادرید
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
سویډن – ګوتنبرګ
Tel: 46-31-704-60-40
سویډن – ستاکهولم
ټیلیفون: 46-8-5090-4654
انګلستان – ووکینګم
ټیلیفون: 44-118-921-5800
فکس: 44-118-921-5820

د مایکروچپ لوگو© 2023 Microchip Technology Inc. او د هغې فرعي شرکتونه
DS50003627A –

اسناد / سرچینې

د مایکروچپ لایبرو SoC سمولیشن کتابتون سافټویر [pdf] د کارونکي لارښود
DS50003627A, Libero SoC سمولیشن کتابتون سافټویر, د SoC سمولیشن کتابتون سافټویر, د سمولیشن کتابتون سافټویر, د کتابتون سافټویر, سافټویر

حوالې

یو نظر پریږدئ

ستاسو بریښنالیک پته به خپره نشي. اړین ساحې په نښه شوي *