Samhlachadh Libero SoC
Stiùireadh airson stèidheachadh leabharlann
Ro-ràdh
Is e adhbhar na sgrìobhainn seo cunntas a thoirt air a’ mhodh-obrach gus an àrainneachd atharrais a stèidheachadh a’ cleachdadh pròiseact Libero SoC mar chur-a-steach. Tha an sgrìobhainn seo a’ freagairt ris na leabharlannan a chaidh a chur ri chèile ro-làimh airson an cleachdadh le Libero SoC v11.9 agus fiosan bathar-bog nas ùire. Tha na leabharlannan a tha air an toirt seachad air an cur ri chèile airson Verilog. Feumaidh luchd-cleachdaidh VHDL cead a leigeas le atharrais modh measgaichte.
Tha na leabharlannan atharrais cruinnichte rim faighinn airson na h-innealan a leanas:
- Aldec Gnìomhach-HDL
- Aldec Riviera-PRO
- Iomairt Incisive Cadence agus Xcelium
- Siemens QuestaSim
- Geàrr-chunntas VC
Gus leabharlann iarraidh airson simuladair eile, cuir fios gu Taic Teicnigeach Microchip.
Amalachadh Libero SoC
Bidh Libero SoC a’ toirt taic do shamhlachadh a’ cleachdadh ModelSim ME le bhith a’ gineadh run.do file. Seo file air a chleachdadh le ModelSim ME / ModelSim Pro ME gus an atharrais a stèidheachadh agus a ruith. Gus innealan atharrais eile a chleachdadh, faodaidh tu an run.do ModelSim ME / ModelSim Pro ME a ghineadh agus an sgriobt Tcl atharrachadh file gus na h-òrdughan a chleachdadh a tha co-chosmhail ris an t-simuladair agad.
1.1 Libero SoC Tcl File ginealach (Faighnich Ceist)
Às deidh dhut dealbhadh a chruthachadh agus a ghineadh ann an Libero SoC, tòisich air atharrais ModelSim ME / ModelSim Pro ME fo gach ìre dealbhaidh (presynth, postsynth, agus post-layout). Bidh an ceum seo a’ gineadh run.do file airson ModelSim ME / ModelSim Pro ME airson gach ìre dealbhaidh.
Cudromach: Às deidh dhut gach ruith atharrais a thòiseachadh, ath-ainmich an run.do a chaidh a ghineadh gu fèin-ghluasadach file fon eòlaire atharrais gus casg a chuir air Libero SoC bho bhith a’ sgrìobhadh thairis air sin file. Airson example, an fileFaodar s ath-ainmeachadh gu presynth_run.do, postsynth_run.do agus postlayout_run.do.
Suidheachadh Aldec airson Active-HDL agus Riviera-Pro (Faighnich Ceist)
An ruith.do file faodar a chleachdadh leis an ModelSim ME / ModelSim Pro ME atharrachadh agus a chleachdadh airson atharrais a’ cleachdadh na simuladairean Aldec.
2.1 Àrainneachd caochlaideach (Faighnich Ceist)
Suidhich caochladair na h-àrainneachd agad don cheadachas agad file àite:
LM_LICENSE_FILE: feumaidh comharradh a thoirt a-steach don fhrithealaiche ceadachais.
2.2 Luchdaich sìos Leabharlann cruinnichte (Faighnich Ceist)
Luchdaich sìos na leabharlannan airson an Aldec Active-HDL agus an Aldec Riviera-PRO bhon Microchip weblàrach.
2.3 Tionndadh run.do airson atharrais Aldec (Faighnich Ceist)
An ruith.do files air a chruthachadh le Libero SoC airson samhlaidhean a’ cleachdadh an inneal Active-HDL agus Riviera-Pro a chleachdadh airson samhlaidhean a’ cleachdadh Active-HDL agus Riviera-Pro le aon atharrachadh. Tha an clàr a leanas a’ liostadh na h-òrdughan co-ionann ri Aldec airson atharrachadh anns an run.do ModelSim file.
Clàr 2-1. Aldec Co-ionnanachd òrdughan
Modail Sim | Gnìomhach-HDL |
vlog | leisg |
vcom | acom |
vlib | alib |
faic | asim |
vmap | amap |
Tha na leanas marample run.do co-cheangailte ri simuladairean Aldec.
- Suidhich suidheachadh an eòlaire obrach làithreach.
suidhich dsn - Suidhich ainm leabharlainn obrach, map far a bheil e, agus an uairsin mapa far an robh teaghlach Microchip FPGA
leabharlannan ro-ullaichte (airson example, SmartFusion2) air a bheil thu a 'ruith do dhealbhadh.
alib presynth
amap presynth presynth
Leum SmartFusion2 - Cruinnich a h-uile HDL riatanach files air a chleachdadh san dealbhadh leis an leabharlann a tha a dhìth.
alog -work presynth temp.v (airson Verilog)
alog -work presynth testbench.v
acom -work presynth temp.vhd (airson Vhdl)
acom -work presynth testbench.vhd - Dèan samhlachadh air an dealbhadh.
asim –L SmartFusion2 –L presynth –t 1ps presynth.testbench
ruith 10us
2.4 Cùisean aithnichte (Faighnich Ceist)
Tha an earrann seo a’ liostadh nan cùisean agus nan crìochan aithnichte.
- Tha leabharlannan air an cur ri chèile a’ cleachdadh Riviera-PRO sònraichte do àrd-ùrlar (ie chan urrainnear leabharlannan 64-bit a ruith air àrd-ùrlar 32-bit agus a chaochladh).
- Airson dealbhaidhean anns a bheil SERDES/MDDR/FDDR, cleachd an roghainn a leanas anns an run.do agad files fhad ‘s a tha iad a’ ruith samhlaidhean às deidh dhaibh na dealbhaidhean aca a chuir ri chèile:
- Gnìomhach-HDL: asim -o2
- Riviera-PRO: asim -O2 (airson samhlaidhean presynth agus post-layout) agus asim -O5 (airson samhlaidhean post-cruth)
Tha na SARn a leanas ri thighinn aig suidheachadh Aldec airson Active-HDL agus Riviera-Pro. Airson tuilleadh fiosrachaidh, cuir fios gu Taic Teicnigeach Microchip. - SAR 49908 - Active-HDL: Mearachd VHDL airson samhlaidhean bloc Math
- SAR 50627 - Riviera-PRO 2013.02: Mearachdan atharrais airson dealbhadh SERDES
- SAR 50461 - Riviera-PRO: roghainn asim -O2 / -O5 ann an samhlaidhean
Suidheachadh Cadence Incisive (Faighnich Ceist)
Feumaidh tu sgriobt a chruthachadh file coltach ris an ModelSim ME/ModelSim Pro ME run.do gus an
Simulator cadence incisive. Lean na ceumannan seo agus cruthaich sgriobt file airson NCSim no cleachd an sgriobt file
air a sholarachadh gus an run.do ModelSim ME/ModelSim Pro ME a thionndadh files a-steach don rèiteachadh files
a dhìth gus na samhlaidhean a ruith a’ cleachdadh NCSim.
Cudromach: Cadence air sgur a bhith a’ leigeil a-mach dreachan ùra den Incisive Enterprise
simuladair agus thòisich e a’ toirt taic do Xcelium simulator.
3.1 Caochlaidhean Àrainneachd (Faighnich Ceist)
Gus an simuladair Cadence Incisive a ruith, rèitich na caochladairean àrainneachd a leanas:
- LM_LICENSE_FILE: feumaidh comharradh a thoirt a-steach don cheadachas file.
- cds_root: feumaidh e comharrachadh far an eòlaire dachaigh aig an stàladh Cadence Incisive.
- PATH: feumaidh e comharrachadh gu suidheachadh a’ bhiona fon eòlaire innealan air a chomharrachadh le cds_root is e sin,
$ cds_root/tools/bin/64bit (airson inneal 64-bit agus $ cds_root/tools/bin airson inneal 32-bit).
Tha trì dòighean ann air an àrainneachd atharrais a stèidheachadh gun fhios nach tèid atharrachadh eadar siostaman obrachaidh 64-bit agus 32-bit:
Cùis 1: PATH caochlaideach
Ruith an òrdugh a leanas:
slighe suidhichte = (install_dir/tools/bin/64bit $path) airson innealan 64bit agus
set path = (install_dir/tools/bin $path) airson innealan 32bit
Cùis 2: A’ cleachdadh an roghainn loidhne-àithne -64bit
Anns an loidhne-àithne sònraich roghainn -64bit gus an gnìomh 64bit a chuir an gnìomh.
Cùis 3: A’ suidheachadh an Àrainneachd INCA_64BIT no CDS_AUTO_64BIT caochlaideach
Tha an caochladair INCA_64BIT air a làimhseachadh mar boolean. Faodaidh tu an caochladair seo a shuidheachadh gu luach sam bith no gu sreang null.
INCA_64BIT
Cudromach: Tha Cha toir caochladair àrainneachd INCA_64BIT buaidh air innealan Cadence eile, leithid innealan IC. Ach, airson innealan Incisive, tha an caochladair INCA_64BIT a’ dol thairis air an t-suidheachadh airson caochladair àrainneachd CDS_AUTO_64BIT. Ma tha an caochladair àrainneachd INCA_64BIT air a shuidheachadh, ruithidh na h-innealan Incisive gu lèir ann am modh 64-bit. setenv CDS_AUTO_64BIT INCLUDE:INCA
Cudromach: Tha Feumaidh sreang INCA a bhith ann an litrichean mòra. Feumaidh a h-uile gnìomh a bhith air a ruith ann am modh 32-bit no ann am modh 64-bit, na suidhich an caochladair gus aon so-ghnìomhaichte a ghabhail a-steach, mar a leanas:
setenv CDS_AUTO_64BIT INCLUDE:ncelab
Bidh innealan Cadence eile, leithid innealan IC, cuideachd a’ cleachdadh caochladair àrainneachd CDS_AUTO_64BIT gus smachd a chumail air taghadh nithean so-ghnìomhaichte 32-bit no 64-bit. Tha an clàr a leanas a’ sealltainn mar as urrainn dhut an caochladair CDS_AUTO_64BIT a shuidheachadh gus na h-innealan Incisive agus innealan IC a ruith anns a h-uile modh.
Clàr 3-1. Caochlaidhean CDS_AUTO_64BIT
CDS_AUTO_64BIT Caochlaideach | Innealan brosnachail | Innealan IC |
setenv CDS_AUTO_64BIT UILE | 64 pìos | 64 pìos |
setenv CDS_AUTO_64BIT GUN | 32 pìos | 32 pìos |
setenv CDS_AUTO_64BIT EXCLUDE:ic_ binary | 64 pìos | 32 pìos |
setenv CDS_AUTO_64BIT EXCLUDE:INCA | 32 pìos | 64 pìos |
Cudromach: Feumaidh a h-uile inneal Incisive a bhith air a ruith ann am modh 32-bit no ann am modh 64-bit, na cleachd EXCLUDE gus gnìomh sònraichte a thoirmeasg, mar a leanas: setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Ma shuidhicheas tu an caochladair CDS_AUTO_64BIT gus na h-innealan Incisive a chuir a-mach (setenv CDS_AUTO_64BIT EXCLUDE: INCA), tha a h-uile inneal Incisive air a ruith ann am modh 32-bit. Ach, tha an roghainn loidhne-àithne -64bit a’ dol thairis air caochladair na h-àrainneachd.
An rèiteachadh a leanas files do chuideachadh le bhith a’ riaghladh an dàta agad agus smachd a chumail air gnìomhachd nan innealan atharrais is nan goireasan:
- Clàradh leabharlainn file (cds.lib) - A’ mìneachadh ainm loidsigeach airson far a bheil do dhealbhadh.
- Leabharlannan agus gan ceangal le ainmean eòlaire corporra.
- Caochlaidhean file (hdl.var) - A’ mìneachadh caochladairean a bheir buaidh air giùlan innealan atharrais agus goireasan.
3.2 Luchdaich sìos Leabharlann cruinnichte (Faighnich Ceist)
Luchdaich sìos an leabharlann airson cadence incisive bho Microsemi's weblàrach.
3.3 A’ cruthachadh an sgriobt NCSim File (Faighnich Ceist)
Às deidh dhut leth-bhreac den run.do a chruthachadh files, dèan na ceumannan seo gus an atharrais agad a ruith a’ cleachdadh NCSim:
- Cruthaich cds.lib file a tha a’ mìneachadh nan leabharlannan a tha ruigsinneach agus an àite. Tha an file tha aithrisean ann a tha a’ mapadh ainmean loidsigeach leabharlann gu na slighean seòlaidh fiosaigeach aca. Airson example, ma tha thu a’ ruith presynth simulation, an cds.lib file air a sgrìobhadh mar a chithear anns a’ chòdblock a leanas.
DEFINE presynth ./presynth
DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
Mìnich smartfusion2 - Cruthaich hdl.var file, rèiteachadh roghainneil file anns a bheil caochladairean rèiteachaidh, a bhios a’ dearbhadh mar a tha an àrainneachd dealbhaidh agad air a rèiteachadh. An caochladair a leanas files air an toirt a-steach:
- Caochlaidhean a thathas a’ cleachdadh gus an leabharlann obrach a shònrachadh far a bheil an neach-cruinneachaidh a’ stòradh stuthan cruinnichte agus dàta eile a thàinig às.
- Airson Verilog, caochladairean (LIB_MAP, VIEW_MAP, OBAIR) a thathas a’ cleachdadh gus na leabharlannan agus views a rannsachadh nuair a dh’ fhuasglas an leasaiche cùisean.
- Caochlaidhean a leigeas leat roghainnean agus argamaidean loidhne-àithne, ullachaidh agus simuladair a mhìneachadh.
Ann an cùis atharrais presynth example air a shealltainn gu h-àrd, abair gu bheil trì RTL againn files: av, bv, agus testbench.v, a dh'fheumas a bhith air an cur ri chèile ann an leabharlannan presynth, COREHBLITE_LIB, agus presynth fa leth. Tha an hdl.var file Faodar a sgrìobhadh mar a chithear anns a’ bhloc-chòd a leanas.
OBRACHADH DÙTHCHAIL presynth
DEFINE PROJECT_DIR files>
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/av => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/bv => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, + => presynth ) - Cruinnich an dealbhadh files a’ cleachdadh roghainn ncvlog.
ncvlog + indir+ -cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log – ùrachadh –linedebug av bv testbench.v - Mìnich an dealbhadh le bhith a’ cleachdadh ncelab. Bidh an neach-togail a’ togail rangachd dealbhaidh stèidhichte air an fhiosrachadh sa bhad agus rèiteachaidh san dealbhadh, a’ stèidheachadh ceangal chomharran, agus a’ tomhas luachan tùsail airson a h-uile nì san dealbhadh. Tha an rangachd dealbhaidh toinnte air a stòradh ann an dealbh atharrais, a tha mar riochdachadh den dealbhadh agad a bhios an simuladair a’ cleachdadh gus an atharrais a ruith.
ncelab –Teachdaireachd –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
ruigsinneachd + rwc - status worklib. :modal
Mion-sgrùdadh rè atharrais Post-cruth
Ann an cùis samhlaidhean às deidh cruth, an SDF an toiseach file feumar a chur ri chèile mus tèid a mhìneachadh a’ cleachdadh an àithne ncsdfc.
ncdfcfileainm>.sdf – toradhfileainm>.sdf.X
Rè mion-mhìneachaidh cleachd an toradh SDF cruinnichte le roghainn -autosdf mar a chithear anns a’ bhloc-chòd a leanas.
ncelab -autosdf –Teachdaireachd –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
15 -ruigsinneachd + rwc -status worklib. :modal -sdf_cmd_file ./
sdf_cmd_file
Tha an sdf_cmd_file feumaidh e a bhith mar a chithear anns a’ bhloc-chòd a leanas.
COMPILED_SDF_FILE = “ file>” - Dèan atharrais a’ cleachdadh ncsim. Às deidh mion-sgrùdadh thèid dealbh atharrais a chruthachadh, a tha air a luchdachadh le ncsim airson atharrais. Faodaidh tu ruith ann am modh baidse no modh GUI.
ncsim –Teachdaireachd –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncsim.log -
errormax 15 - inbhe worklib. :modal
Cudromach: Faodar na trì ceumannan gu h-àrd de bhith a’ cur ri chèile, a’ deasachadh agus a’ dèanamh atharrais air an cur ann an sgriobt shligean file agus air fhaighinn bhon loidhne-àithne. An àite a bhith a’ cleachdadh nan trì ceumannan seo, faodar dealbhadh a shamhlachadh ann an aon cheum a’ cleachdadh ncverilog no irun roghainn mar a chithear anns a’ chòd-chòd a leanas.
ncverilog + indir+ -cdslib ./cds.lib –hdlvar ./hdl.var
files air a chleachdadh anns an dealbhadh>
irun + indir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
air a chleachdadh ann an dealbhadh>
3.3.1 Cùisean aithnichte (Faighnich Ceist)
Deuchainn workbench
Le bhith a’ cleachdadh na h-aithris a leanas airson tricead a’ ghleoc a shònrachadh anns a’ bheing-dheuchainn a chruthaich an neach-cleachdaidh, no am beinge deuchainn bunaiteach a chruthaich Libero SoC chan obraich e le NCSim.
an-còmhnaidh @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Atharraich mar a leanas gus atharrais a ruith:
an-còmhnaidh #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
Cudromach: Air a chur ri chèile tha leabharlannan airson NCSim sònraichte don àrd-ùrlar (ie chan eil leabharlannan 64 bit co-chòrdail ri àrd-ùrlar 32 bit agus a chaochladh).
Samhlaidhean postsynth agus post-cruth a’ cleachdadh MSS agus SERDES Fhad ‘s a tha thu a’ ruith samhlaidhean postsynth de dhealbhaidhean anns a bheil am bloc MSS no na samhlaidhean iar-chruth de dhealbhaidhean a’ cleachdadh SERDES, chan obraich na samhlaidhean BFM ma tha an roghainn -libmap
nach deach a shònrachadh aig àm an fhiosrachaidh. Tha seo air sgàth gu bheil MSS air fhuasgladh bhon leabharlann obrach aig àm mion-fhiosrachaidh (seach gu bheil an ceangal bunaiteach agus an worklib mar postsynth / post-layout) far nach eil ann ach gnìomh stèidhichte.
Feumaidh an àithne ncelab a bhith air a sgrìobhadh mar a chithear sa bhloc còd a leanas gus an MSS fhuasgladh
bloc bhon leabharlann ro-ullaichte SmartFusion2.
ncelab -libmap lib.map -libverbose -Message -access + rwc cfg1
agus an lib.map file feumaidh a bhith mar a leanas:
rèiteachadh cfg1;
dealbhadh ;
liosta bunaiteach airson smartfusion2 ;
endconfig
Fuasglaidh seo cealla sam bith ann an leabharlann SmartFusion2 mus coimhead thu san leabharlann obrach ie postsynth / post-layout.
Faodar an roghainn -libmap a chleachdadh gu bunaiteach fhad ‘s a thathar a’ mìneachadh airson gach atharrais (presynth, postsynth, agus post-layout). Bidh seo a’ seachnadh chùisean atharrais a tha air an adhbhrachadh mar thoradh air fuasgladh chùisean bho leabharlannan.
ncelab: * F, INTERR: EXCEPTION INNAL
Tha an eisgeachd inneal ncelab seo na uamhas airson dealbhaidhean anns a bheil FDDR ann an SmartFusion 2 agus IGLOO 2 rè samhlaidhean postsynth agus post-cruth a ’cleachdadh -libmap roghainn.
Cudromach: Chaidh a’ chùis seo innse do sgioba taic Cadence (SAR 52113).
3.4 Sample Tcl agus Shell Sgriobt Files (Faighnich Ceist)
Na leanas files an rèiteachadh fileTha feum air airson an dealbhadh agus an sgriobt shligean a stèidheachadh file airson òrdughan NCSim a ruith.
Cds.lib
NE smartfusion2 / scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
DEFINE presynth ./presynth
Hdl.var
OBRACHADH DÙTHCHAIL presynth
DEFINE PROJECT_DIR / scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREHBLITE_LIB )
DEFINE LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth)
DEFINE LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth)
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, + => presynth )
òrduighean.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-work presynth -logfile ncelab.log -errormax 15 -access + rwc -status presynth.testbench:modal
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:modal
3.5 fèin-ghluasad (Faighnich Ceist)
An sgriobt a leanas file ag atharrachadh an run.do ModelSim files a-steach don rèiteachadh files a dhìth gus samhlaidhean a ruith a’ cleachdadh NCSim.
Sgriobtur File Cleachdadh
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Leabharlannan_ro-chruinnichte
Cadence_parser.pl
#!/usr/bin/perl -w
####################################### ###################################
###############
# Cleachdadh: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#
####################################### ###################################
###############
cleachd POSIX;
cleachd teann;
mo ($ presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
sub questa_parser {
mo $ ModelSim_run_do = $_[0];
mo $actel_family = $_[1];
mo $lib_location = $_[2];
mo staid;
ma tha ( -e “$ ModelSim_run_do”)
{
fosgailte (INFILE,”$ ModelSim_run_do”);
mo @ModelSim_run_do =FILE>;
mo $ loidhne;
ma tha ( $ ModelSim_run_do = ~ m / (presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
fosgailte (A-MHÀINFILE,”> QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ($ ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
fosgailte (A-MHÀINFILE,”> QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ ModelSim_run_do = ~ m / (postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
fosgailte (A-MHÀINFILE,”> QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} eile
{
clò-bhualadh “Cuir a-steach ceàrr air a thoirt don file\n";
clò-bhuail “#Usage: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”leabharlannan_àite\"\n";
}
foreach $line (@ModelSim_run_do)
{
# Gnìomhan Coitcheann
$line =~ s/..\/designer.* atharrais \///g;
$line =~ s/$state/$state\_questa/g;
#Clò-bhuailFILE "$line\n";
ma tha ($ loidhne =~ m/vmap\s+.*($actel_family)/)
{
Clò-bhuailFILE “vmap $actel_family \"$lib_location\"\n";
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
Clò-bhuailFILE "$line\n";
} elsif ($ loidhne =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
Clò-bhuailFILE "$line\n";
} eile
{
Clò-bhuailFILE "$line\n";
}
}
dùin (INFILE);
dùin (A-MHÀINFILE);
} eile {
clò-bhualadh “Chan eil $ ModelSim_run_do ann. Ath-ruith atharrais a-rithist \n”;
}
}
Suidheachadh Cadence Xcelium (Log a-steach microchip)
Feumaidh tu sgriobt a chruthachadh file coltach ris an ModelSim ME/ModelSim Pro ME run.do gus an simuladair Cadence Xcelium a ruith. Lean na ceumannan seo agus cruthaich sgriobt file airson Xcelium no cleachd an sgriobt file air a sholarachadh gus an run.do ModelSim ME/ModelSim Pro ME a thionndadh files a-steach don rèiteachadh files a dhìth gus samhlaidhean a ruith a’ cleachdadh Xcelium.
4.1 Caochlaidhean Àrainneachd (Faighnich Ceist)
Gus an Cadence Xcelium a ruith, rèitich na caochladairean àrainneachd a leanas:
- LM_LICENSE_FILE: feumaidh comharradh a thoirt a-steach don cheadachas file.
- cds_root: feumaidh e comharrachadh far an eòlaire dachaigh aig Cadence Incisive Installation.
- PATH: feumaidh e comharrachadh gu suidheachadh a’ bhiona fon eòlaire innealan air a chomharrachadh le cds_root (ie
$ cds_root/tools/bin/64bit (airson inneal 64 bit agus $ cds_root/tools/bin airson 32 bit
inneal).
Tha trì dòighean ann air an àrainneachd atharrais a stèidheachadh gun fhios nach tèid atharrachadh eadar siostaman obrachaidh 64-bit agus 32-bit:
Cùis 1: PATH caochlaideach
slighe suidhichte = (install_dir/tools/bin/64bit $path) airson innealan 64bit agus
set path = (install_dir/tools/bin $path) airson innealan 32bit
Cùis 2: A’ cleachdadh an roghainn loidhne-àithne -64bit
Anns an loidhne-àithne sònraich roghainn -64bit gus an gnìomh 64-bit a chuir an gnìomh.
Cùis 3: A’ suidheachadh an Àrainneachd INCA_64BIT no CDS_AUTO_64BIT caochlaideach
Tha an caochladair INCA_64BIT air a làimhseachadh mar boolean. Faodaidh tu an caochladair seo a shuidheachadh gu luach sam bith no gu null
sreang.
INCA_64BIT
Cudromach: Tha Cha toir caochladair àrainneachd INCA_64BIT buaidh air innealan Cadence eile, leithid innealan IC. Ach, airson innealan Incisive, tha an caochladair INCA_64BIT a’ dol thairis air an t-suidheachadh airson caochladair àrainneachd CDS_AUTO_64BIT. Ma tha an caochladair àrainneachd INCA_64BIT et, ruithidh a h-uile inneal Incisive ann am modh 64-bit.
setenv CDS_AUTO_64BIT INCLUDE:INCA
Cudromach: Tha Feumaidh sreang INCA a bhith ann an litrichean mòra. Feumaidh a h-uile gnìomh a bhith air a ruith ann am modh 2-bit no ann am modh 64-bit, na suidhich an caochladair gus aon so-ghnìomhaichte a ghabhail a-steach, mar a leanas:
setenv CDS_AUTO_64BIT INCLUDE:ncelab
Bidh innealan Cadence eile, leithid innealan IC, cuideachd a’ cleachdadh caochladair àrainneachd CDS_AUTO_64BIT gus smachd a chumail air taghadh nithean so-ghnìomhaichte 32-bit no 64-bit. Tha an clàr a leanas a’ sealltainn mar as urrainn dhut an caochladair CDS_AUTO_64BIT a shuidheachadh gus na h-innealan Incisive agus innealan IC a ruith anns a h-uile modh.
Clàr 4-1. Caochlaidhean CDS_AUTO_64BIT
CDS_AUTO_64BIT Caochlaideach | Innealan brosnachail | Innealan IC |
setenv CDS_AUTO_64BIT UILE | 64-bit | 64-bit |
setenv CDS_AUTO_64BIT GUN | 32-bit | 32-bit |
setenv CDS_AUTO_64BIT EXCLUDE: ic_binary |
64-bit | 32-bit |
setenv CDS_AUTO_64BIT EXCLUDE:INCA | 32-bit | 64-bit |
Cudromach: Feumaidh a h-uile inneal Incisive a bhith air a ruith ann am modh 32-bit no ann am modh 64-bit, na cleachd EXCLUDE gus gnìomh sònraichte a chuir a-mach, mar a leanas:
setenv CDS_AUTO_64BIT EXCLUDE:ncelab
Ma shuidhicheas tu an caochladair CDS_AUTO_64BIT gus na h-innealan Incisive a thoirmeasg (setenv
CDS_AUTO_64BIT EXCLUDE: INCA), tha a h-uile inneal Incisive air a ruith ann am modh 32-bit. Ge-tà, tha an
Tha roghainn loidhne-àithne -64bit a’ dol thairis air caochladair na h-àrainneachd.
An rèiteachadh a leanas files do chuideachadh le bhith a’ riaghladh an dàta agad agus smachd a chumail air gnìomhachd nan innealan atharrais is nan goireasan:
- Clàradh leabharlainn file (cds.lib) a’ mìneachadh ainm loidsigeach airson far a bheil do dhealbhachadh.
- Leabharlannan agus gan ceangal le ainmean eòlaire corporra.
- Caochlaidhean file (hdl.var) a’ mìneachadh caochladairean a bheir buaidh air giùlan innealan atharrais agus goireasan.
4.2 Luchdaich sìos Leabharlann cruinnichte (Faighnich Ceist)
Luchdaich a-nuas an leabharlann airson cadence xcelium bho microsemi weblàrach.
4.3 Cruthachadh an sgriobt Xcelium file (Faighnich Ceist)
Às deidh dhut leth-bhreac den run.do a chruthachadh files, dèan na ceumannan a leanas gus an atharrais agad a ruith a’ cleachdadh sgriobt Xcelium file.
- Cruthaich cds.lib file a tha a’ mìneachadh dè na leabharlannan a tha ruigsinneach agus far a bheil iad.
Tha an file tha aithrisean ann a tha a’ mapadh ainmean loidsigeach leabharlann gu na slighean seòlaidh fiosaigeach aca. Airson example, ma tha thu a’ ruith presynth simulation, an cds.lib file Faodar a sgrìobhadh mar a chithear anns a’ bhloc-chòd a leanas.
DEFINE presynth ./presynth
DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
Mìnich smartfusion2 - Cruthaich hdl.var file a tha na rèiteachadh roghainneil file anns a bheil caochladairean rèiteachaidh, a bhios a’ dearbhadh mar a tha an àrainneachd dealbhaidh agad air a rèiteachadh. Nam measg tha:
- Caochlaidhean a thathas a’ cleachdadh gus an leabharlann obrach a shònrachadh far a bheil an neach-cruinneachaidh a’ stòradh stuthan cruinnichte agus dàta eile a thàinig às.
- Airson Verilog, caochladairean (LIB_MAP, VIEW_MAP, OBAIR) a thathas a’ cleachdadh gus na leabharlannan agus views a rannsachadh nuair a dh’ fhuasglas an leasaiche cùisean.
- Caochlaidhean a leigeas leat roghainnean agus argamaidean loidhne-àithne, ullachaidh agus simuladair a mhìneachadh.
Ann an cùis atharrais presynth example gu h-àrd, abair gu bheil 3 RTL againn files av, bv, agus testbench.v, a dh’ fheumar a chur ri chèile ann an leabharlannan presynth, COREHBLITE_LIB, agus presynth fa leth. Tha an hdl.var file Faodar a sgrìobhadh mar a chithear anns a’ bhloc-chòd a leanas.
OBRACHADH DÙTHCHAIL presynth
DEFINE PROJECT_DIR files>
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/av => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/bv => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/testbench.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, + => presynth ) - Cruinnich an dealbhadh files a’ cleachdadh roghainn ncvlog.
xmvlog + indir+ -cdslib ./cds.lib –hdlvar ./hdl.var –logfile
ncvlog.log – ùrachadh –linedebug av bv testbench.v - Mìnich an dealbhadh le bhith a’ cleachdadh ncelab. Bidh an neach-togail a’ togail rangachd dealbhaidh stèidhichte air an fhiosrachadh sa bhad agus rèiteachaidh san dealbhadh, a’ stèidheachadh ceangal chomharran, agus a’ tomhas luachan tùsail airson a h-uile nì san dealbhadh. Tha an rangachd dealbhaidh toinnte air a stòradh ann an dealbh atharrais, a tha mar riochdachadh den dealbhadh agad a bhios an simuladair a’ cleachdadh gus an atharrais a ruith.
Xcelium –Teachdaireachd –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax 15 –
ruigsinneachd + rwc - status worklib. :modal
Mion-sgrùdadh rè atharrais Post-cruth
Ann an cùis samhlaidhean às deidh cruth, an SDF an toiseach file feumar a chur ri chèile mus tèid a mhìneachadh a’ cleachdadh an àithne ncsdfc.
Xceliumfileainm>.sdf – toradhfileainm>.sdf.X
Rè mion-mhìneachaidh cleachd an toradh SDF cruinnichte le roghainn -autosdf mar a chithear anns a’ bhloc-chòd a leanas.
xmelab -autosdf –Teachdaireachd –cdslib ./cds.lib –hdlvar ./hdl.var –logfile ncelab.log –errormax
15 -ruigsinneachd + rwc -status worklib. :modal -sdf_cmd_file ./
sdf_cmd_file
Tha an sdf_cmd_file feumaidh e a bhith mar a chithear anns a’ bhloc-chòd a leanas.
COMPILED_SDF_FILE = “ file>” - Dèan atharrais a’ cleachdadh Xcelium. Às deidh mion-sgrùdadh thèid dealbh atharrais a chruthachadh a tha air a luchdachadh le Xcelium airson atharrais. Faodar seo a ruith ann am modh baidse no modh GUI.
xmsim –Teachdaireachd –batch/-gui –cdslib ./cds.lib –hdlvar ./hdl.var –logfile xmsim.log -
errormax 15 - inbhe worklib. :modal
Suidheachadh Cadence Xcelium
Cudromach: Uile faodar na trì ceumannan gu h-àrd de bhith a’ cur ri chèile, a’ deasachadh agus a’ samhlachadh a chur ann an sgriobt shligean file agus air fhaighinn bhon loidhne-àithne. An àite a bhith a’ cleachdadh nan trì ceumannan sin, faodar dealbhadh a shamhlachadh ann an aon cheum a’ cleachdadh roghainn ncverilog no xrun mar a chithear anns a’ chòd-chòd a leanas.
xmverilog + indir+ -cdslib ./cds.lib –hdlvar ./hdl.var
files air a chleachdadh anns an dealbhadh>
xrun + indir+ -cdslib ./cds.lib –hdlvar ./hdl.var files
air a chleachdadh ann an dealbhadh>
4.3.1 Cùisean aithnichte (Faighnich Ceist)
Deuchainn workbench
Le bhith a’ cleachdadh na h-aithris a leanas airson a bhith a’ sònrachadh tricead a’ ghleoc anns a’ bheing deuchainn a chruthaich an neach-cleachdaidh no am beinge deuchainn bunaiteach a chruthaich Libero SoC, chan obraich e le Xcelium.
an-còmhnaidh @(SYSCLK)
#(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK;
Atharraich mar a leanas gus atharrais a ruith:
an-còmhnaidh #(SYSCLK_PERIOD / 2.0) SYSCLK = ~SYSCLK;
Cudromach: Tha leabharlannan cruinnichte airson Xcelium sònraichte don àrd-ùrlar (ie chan eil leabharlannan 64 bit co-chòrdail ri àrd-ùrlar 32 bit agus a chaochladh).
Samhlaidhean Postsynth agus Post-cruth a’ cleachdadh MSS agus SERDES
Fhad ‘s a tha thu a’ ruith samhlaidhean postsynth de dhealbhaidhean anns a bheil bloc MSS, no samhlaidhean iar-chruth de dhealbhaidhean a ’cleachdadh SERDES, chan obraich na samhlaidhean BFM mura h-eil roghainn -libmap air a shònrachadh rè mion-mhìneachaidh. Tha seo air sgàth gu bheil MSS air fhuasgladh bhon leabharlann obrach aig àm mion-fhiosrachaidh (seach gu bheil an ceangal bunaiteach agus an worklib mar postsynth / post-layout) far nach eil ann ach gnìomh stèidhichte.
Feumaidh an àithne ncelab a bhith air a sgrìobhadh mar a chithear sa bhloc còd a leanas gus fuasgladh fhaighinn air a’ bhloc MSS bhon leabharlann ro-ullaichte SmartFusion2.
xmelab -libmap lib.map -libverbose -Message -access + rwc cfg1
agus an lib.map file feumaidh a bhith mar a leanas:
rèiteachadh cfg1;
dealbhadh ;
liosta bunaiteach airson smartfusion2 ;
endconfig
Feumaidh seo cealla sam bith ann an leabharlann SmartFusion2 fhuasgladh mus coimhead thu san leabharlann obrach ie postsynth/post-layout.
Faodar an roghainn -libmap a chleachdadh gu bunaiteach fhad ‘s a thathar a’ mìneachadh airson gach atharrais (presynth, postsynth agus post-layout). Bidh seo a’ seachnadh chùisean atharrais a tha air an adhbhrachadh mar thoradh air fuasgladh chùisean bho leabharlannan.
xmelab: * F, INTERR: EXCEPTION INNAL
Tha an eisgeachd inneal ncelab seo na uamhas airson dealbhadh anns a bheil FDDR ann an SmartFusion2 agus IGLOO2
rè samhlaidhean postsynth agus post-cruth a’ cleachdadh -libmap roghainn.
Cudromach: Chaidh a’ chùis seo innse do sgioba taic Cadence (SAR 52113).
4.4 Sample Tcl and shell script files (Faighnich Ceist)
Na leanas files an rèiteachadh fileTha feum air airson an dealbhadh agus an sgriobt shligean a stèidheachadh file airson òrdughan Xcelium a ruith.
Cds.lib
Mìnich smartfusion2 / scratch/krydor/tmpspace/users/me/nc-vlog64/SmartFusion2
DEFINE COREHBLITE_LIB ./COREHBLITE_LIB
DEFINE presynth ./presynth
Hdl.var
OBRACHADH DÙTHCHAIL presynth
DEFINE PROJECT_DIR / scratch/krydor/tmpspace/sqausers/me/3rd_party_simulators/Cadence/IGLOO2/
ENVM/M2GL050/envm_fic1_ser1_v/eNVM_fab_master
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_addrdec.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_defaultslavesm.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_masterstagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavearbiter.v => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_slavestagev => COREHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite_matrix2x16.v => COREAHBLITE_LIB )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/
vlog/core/coreahblite.v => COREHBLITE_LIB )
DEFINE LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/work/SB/CCC_0/SB_CCC_0_FCCC.v =>
presynth)
DEFINE LIB_MAP ($LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigMaster/
2.0.101/rtl/vlog/core/coreconfigmaster.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/
vlog/core/coreconfigp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp_pcie_hotreset.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/Actel/DirectCore/CoreResetP/5.0.103/rtl/
vlog/core/coreresetp.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v =>
presynth)
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_HPMS/SB_HPMS.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB/SB.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/SB_top.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, ${PROJECT_DIR}/component/work/SB_top/testbench.v => presynth )
DEFINE LIB_MAP ( $LIB_MAP, + => presynth )
òrduighean.csh
ncvlog +incdir+../../component/work/SB_top -cdslib ./cds.lib -hdlvar ./hdl.var -logfile
ncvlog.log -errormax 15 -update -linedebug
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_addrdec.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/
coreahblite_defaultslavesm.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_masterstagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavearbiter.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_slavestagev
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite_matrix2x16.v
../../component/Actel/DirectCore/CoreAHBLite/4.0.100/rtl/vlog/core/coreahblite.v
../../component/work/SB/CCC_0/SB_CCC_0_FCCC.v
../../component/Actel/DirectCore/CoreConfigMaster/2.0.101/rtl/vlog/core/coreconfigmaster.v
../../component/Actel/DirectCore/CoreConfigP/4.0.100/rtl/vlog/core/coreconfigp.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp_pcie_hotreset.v
../../component/Actel/DirectCore/CoreResetP/5.0.103/rtl/vlog/core/coreresetp.v
../../component/work/SB/FABOSC_0/SB_FABOSC_0_OSC.v ../../component/work/SB_HPMS/SB_HPMS.v
../../component/work/SB/SB.v ../../component/work/SB_top/SERDES_IF_0/
SB_top_SERDES_IF_0_SERDES_IF.v
../../component/work/SB_top/SB_top.v ../../component/work/SB_top/testbench.v
ncelab -Message -cdslib ./cds.lib -hdlvar ./hdl.var
-work presynth -logfile ncelab.log -errormax 15 -access + rwc -status presynth.testbench:modal
ncsim -Message -batch -cdslib ./cds.lib -hdlvar ./
hdl.var -logfile ncsim.log -errormax 15 -status presynth.testbench:modal
4.5 fèin-ghluasad (Log a-steach microchip)
An sgriobt a leanas file tionndaidh ModelSim run.do files a-steach don rèiteachadh files a dhìth gus samhlaidhean a ruith a’ cleachdadh Xcelium.
Sgriobtur File Cleachdadh
perl cadence_parser.pl presynth_run.do postsynth_run.do
postlayout_run.do Microsemi_Family
Location_of_Cadence_Leabharlannan_ro-chruinnichte
Cadence_parser.pl
#!/usr/bin/perl -w
####################################### ###################################
###############
# Cleachdadh: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
Microsemi_Family Precompiled_Libraries_location#
####################################### ###################################
###############
cleachd POSIX;
cleachd teann;
mo ($ presynth, $postsynth, $postlayout, $family, $lib_location) = @ARGV;
&questa_parser($presynth, $family, $lib_location);
&questa_parser($postsynth, $family, $lib_location);
&questa_parser($postlayout, $family, $lib_location);
sub questa_parser {
mo $ ModelSim_run_do = $_[0];
mo $actel_family = $_[1];
mo $lib_location = $_[2];
mo staid;
ma tha ( -e “$ ModelSim_run_do”)
{
fosgailte (INFILE,”$ ModelSim_run_do”);
mo @ModelSim_run_do =FILE>;
mo $ loidhne;
ma tha ( $ ModelSim_run_do = ~ m / (presynth)/)
{
`mkdir QUESTA_PRESYNTH`;
fosgailte (A-MHÀINFILE,”> QUESTA_PRESYNTH/presynth_questa.do”);
$state = $1;
} elsif ($ ModelSim_run_do =~ m/(postsynth)/)
{
`mkdir QUESTA_POSTSYNTH`;
fosgailte (A-MHÀINFILE,”> QUESTA_POSTSYNTH/postsynth_questa.do”);
$state = $1;
} elsif ( $ ModelSim_run_do = ~ m / (postlayout)/ )
{
`mkdir QUESTA_POSTLAYOUT`;
fosgailte (A-MHÀINFILE,”> QUESTA_POSTLAYOUT/postlayout_questa.do”);
$state = $1;
} eile
{
clò-bhualadh “Cuir a-steach ceàrr air a thoirt don file\n";
clò-bhuail “#Usage: perl questa_parser.pl presynth_run.do postsynth_run.do postlayout_run.do
\”leabharlannan_àite\"\n";
}
foreach $line (@ModelSim_run_do)
{
# Gnìomhan Coitcheann
$line =~ s/..\/designer.* atharrais \///g;
$line =~ s/$state/$state\_questa/g;
#Clò-bhuailFILE "$line\n";
ma tha ($ loidhne =~ m/vmap\s+.*($actel_family)/)
{
Clò-bhuailFILE “vmap $actel_family \"$lib_location\"\n";
} elsif ($line =~ m/vmap\s+(.*._LIB)/)
{
$line =~ s/..\/component/..\/..\/component/g;
Clò-bhuailFILE "$line\n";
} elsif ($ loidhne =~ m/vsim/)
{
$line =~ s/vsim/vsim -novopt/g;
Clò-bhuailFILE "$line\n";
} eile
{
Clò-bhuailFILE "$line\n";
}
}
dùin (INFILE);
dùin (A-MHÀINFILE);
} eile {
clò-bhualadh “Chan eil $ ModelSim_run_do ann. Ath-ruith atharrais a-rithist \n”;
}
}
Suidhich Siemens QuestaSim / suidheachadh ModelSim (Faighnich Ceist)
An ruith.do files, air a chruthachadh leis an Libero SoC airson samhlaidhean a’ cleachdadh ModelSim Microsemi Editions, a chleachdadh airson samhlaidhean a’ cleachdadh an QuestaSim / ModelSim SE / DE / PE le aon atharrachadh. Anns an run.do ModelSim ME/ModelSim Pro ME file, feumar suidheachadh nan leabharlannan ro-ullaichte atharrachadh.
Cudromach:
Gu gnàthach, bidh an inneal atharrais a bharrachd air an ModelSim Pro ME a ’dèanamh optimization dealbhaidh rè atharrais a bheir buaidh air faicsinneachd ann an stuthan atharrais leithid stuthan dealbhaidh agus brosnachadh cuir a-steach.
Tha seo mar as trice cuideachail ann a bhith a’ lughdachadh ùine ruith atharrais airson na samhlaidhean iom-fhillte, a’ cleachdadh beingean deuchainn beòil, fèin-sgrùdaidh. Ach, is dòcha nach bi na optimizations bunaiteach iomchaidh airson a h-uile samhladh, gu sònraichte ann an cùisean far a bheil dùil agad sgrùdadh grafaigeach a dhèanamh air toraidhean an atharrais a’ cleachdadh uinneag nan tonn.
Gus dèiligeadh ri cùisean a dh’ adhbhraich an optimization seo, feumaidh tu òrdughan iomchaidh agus argamaidean co-cheangailte a chur ris rè atharrais gus faicsinneachd a thoirt air ais don dealbhadh. Airson òrdughan a tha sònraichte don inneal, faic na sgrìobhainnean mu chleachdadh an t-simuladair.
5.1 Caochlaidhean Àrainneachd (Faighnich Ceist)
Seo na caochladairean àrainneachd a tha a dhìth.
- LM_LICENSE_FILE: feumaidh an t-slighe chun a 'cheadachais a bhith ann file.
- MODEL_TECH: feumaidh tu an t-slighe gu suidheachadh eòlaire dachaigh stàladh QuestaSim a chomharrachadh.
- PATH: feumaidh seo comharrachadh chun an àite so-ghnìomhaichte air a chomharrachadh le MODEL_TECH.
5.2 Tionndadh run.do airson Mentor QuestaSim (Faighnich Ceist)
An ruith.do files air a chruthachadh le Libero SoC airson samhlaidhean a’ cleachdadh ModelSim Microsemi Editions faodar a chleachdadh airson samhlaidhean a’ cleachdadh QuestaSim / ModelSim_SE le aon atharrachadh.
Cudromach: Uile feumaidh na dealbhaidhean a tha coltach ri bhith a’ cleachdadh QuestaSim a bhith a’ toirt a-steach -novopt
roghainn còmhla ri vsim command anns an sgriobt run.do files.
5.3 Luchdaich sìos an Leabharlann Cruinnichte (Faighnich Ceist)
Luchdaich sìos na leabharlannan airson Mentor Graphics QuestaSim bho Microsemi's weblàrach.
Synopsys suidheachadh VCS (Faighnich Ceist)
Tha an t-sruth a mhol Microsemi an urra ris an t-sruth Toinnte agus Compile ann an VCS. Tha sgriobt anns an sgrìobhainn seo file a chleachdas an sgriobt run.do files air a chruthachadh le Libero SoC agus a’ gineadh an stèidheachadh files a dhìth airson atharrais VCS. An sgriobt file a' cleachdadh run.do file na leanas a dheanamh.
- Cruthaich mapa leabharlainn file, a tha air a dhèanamh a 'cleachdadh an synopsys_sim.setup file suidhichte san aon eòlaire far a bheil atharrais VCS a’ ruith.
- Cruthaich sgriobt slige file gus do dhealbhadh a mhìneachadh agus a chuir ri chèile a’ cleachdadh VCS.
6.1 Caochlaidhean Àrainneachd (Faighnich Ceist)
Suidhich na caochladairean àrainneachd iomchaidh airson VCS stèidhichte air do shuidheachadh. Is iad na caochladairean àrainneachd a tha a dhìth a rèir sgrìobhainnean VCS:
- LM_LICENSE_FILE: feumaidh comharradh a thoirt a-steach don fhrithealaiche ceadachais.
- VCS_HOME: feumaidh e comharrachadh far an eòlaire dachaigh aig an stàladh VCS.
- PATH: feumaidh puing a bhith ann don eòlaire biona fon eòlaire VCS_HOME.
6.2 Luchdaich sìos Leabharlann cruinnichte (Faighnich Ceist)
Luchdaich sìos leabharlannan airson synopsys VCS bho Microsemi's weblàrach.
6.3 Sgriobt Simulation VCS File (Faighnich Ceist)
An dèidh a bhith a 'stèidheachadh VCS agus a' gineadh an dealbhadh agus an diofar run.do files bho Libero SoC, feumaidh tu:
- Cruthaich mapa an leabharlainn file synopsys_sim.setup; seo file anns a bheil fiosrachadh mu shuidheachadh nan leabharlannan gu lèir a chleachdas an dealbhadh.
Cudromach: Tha file chan fhaod ainm atharrachadh agus feumaidh e a bhith suidhichte san aon eòlaire far a bheil atharrais a’ ruith. Seo example airson a leithid file Airson atharrais presynthesis.
OBAIR > EFAULT
SmartFusion2:
presynth : ./presynth
DEFAULT : ./obair - Mìnich an dealbhadh eadar-dhealaichte files, a’ gabhail a-steach an testbench, a’ cleachdadh an àithne vlogan ann an VCS. Faodaidh na h-òrdughan sin a bhith air an toirt a-steach do sgriobt shligean file. A’ leantainn tha example de na h-òrdughan a tha a dhìth gus dealbhadh a mhìneachadh ann an rtl.v a mhìneachadh leis a’ bheing deuchainn aige air a mhìneachadh ann an
being deuchainn.v.
vlogan +v2k -work presynth rtl.v
vlogan +v2k -work presynth testbench.v - Cuir ri chèile an dealbhadh a’ cleachdadh VCS a’ cleachdadh an àithne a leanas.
vcs –sim_res=1fs presynth.testbench
Nota: Tha feumar rèiteachadh ùine an atharrais a shuidheachadh gu 1fs airson atharrais gnìomh ceart. - Aon uair ‘s gu bheil an dealbhadh air a chuir ri chèile, tòisich air atharrais a’ cleachdadh an àithne a leanas.
./simv - Airson atharrais le notaichean air ais, feumaidh an àithne VCS a bhith mar a chithear anns a’ chòd-chòd a leanas.
vcs postlayout.testbench –sim_res=1fs –sdf max: .
ainm>: file slighe> -gui -l postlayout.log
6.4 Crìochan/Eisgeachdan (Faighnich Ceist)
A’ leantainn tha crìochan/eisgeachdan suidheachadh Synopsys VCS.
- Chan urrainnear samhlaidhean VCS a ruith ach airson pròiseactan Verilog de Libero SoC. Tha riatanasan cànain teann VHDL aig an simuladair VCS nach eil air an coinneachadh le VHDL fèin-ghinte Libero SoC files.
- Feumaidh aithris $finish a bhith agad ann am being deuchainn Verilog gus stad a chuir air an atharrais uair sam bith a tha thu ag iarraidh.
Cudromach: Cuin tha samhlaidhean air an ruith ann am modh GUI, faodar ùine ruith a shònrachadh anns an GUI.
6.5 Sample Tcl agus Shell Sgriobt Files (Faighnich Ceist)
Bidh na Perl a leanas a’ dèanamh fèin-ghluasad air ginealach an synopsys_sim.setup file a bharrachd air an sgriobt shligean co-fhreagarrach files a dhìth gus an dealbhadh a mhìneachadh, a chur ri chèile agus a shamhlachadh.
Ma chleachdas an dealbhadh MSS, dèan lethbhreac den test.vec file suidhichte ann am pasgan atharrais pròiseact Libero SoC a-steach don phasgan atharrais VCS. Anns na roinnean a leanas tha sample run.do files air a chruthachadh le Libero SoC, a’ toirt a-steach mapadh leabharlainn co-fhreagarrach agus sgriobt shligean files a dhìth airson atharrais VCS.
6.5.1 Ro-synthesis (Faighnich Ceist)
Presynth_run.do
suidh gu sàmhach ACTELLIBNAME SmartFusion2
suidhich gu sàmhach PROJECT_DIR “/sqa/users/me/VCS_Tests/Test_DFF”
ma tha {[file tha presynth/_info ann]} {
mac-talla “INFO: Tha presynth leabharlann atharrais ann mu thràth”
} eile {
vlib presynth
}
vmap presynth presynth
vmap SmartFusion2 “/captures/lin/11_0_0_23_11prod/lib/ModelSim/precompiled/vlog/smartfusion2”
vlog -work presynth “${PROJECT_DIR}/component/work/SD1/SD1.v”
vlog “+ indir + ${PROJECT_DIR}/stimulus” -work presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L presynth -t 1fs presynth.SD1_TB1
cuir tonn ris /SD1_TB1/*
cuir log -r /*
ruith 1000ns
presynth_main.csh
#!/bin/csh -f
seata PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work presynth “${PROJECT_DIR}/component/
obair/SD1/SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+ incdir+${PROJECT_DIR}/stimulus” -work
presynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs presynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
OBAIR > DEOCH
SmartFusion2 : /VCS/SmartFusion2
presynth : ./presynth
DEFAULT : ./obair
6.5.2 Iar-synthesis (Faighnich Ceist)
postsynth_run.do
suidh gu sàmhach ACTELLIBNAME SmartFusion2
suidhich gu sàmhach PROJECT_DIR “/sqa/users/Me/VCS_Tests/Test_DFF”
ma tha {[file tha postsynth/_info ann]} {
mac-talla “INFO: Tha postsynth leabharlann atharrais ann mu thràth”
} eile {
vlib postsynth
}
vmap postsynth postsynth
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2"
vlog -work postsynth “${PROJECT_DIR}/synthesis/SD1.v”
vlog “+ indir + ${PROJECT_DIR}/stimulus” -work postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postsynth -t 1fs postsynth.SD1_TB1
cuir tonn ris /SD1_TB1/*
cuir log -r /*
ruith 1000ns
log SD1_TB1/*
mach
Postsynth_main.csh
#!/bin/csh -f
seata PROJECT_DIR = “/sqa/users/Me/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k -work postsynth “${PROJECT_DIR}/synthesis/
SD1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+ incdir+${PROJECT_DIR}/stimulus” -work
postsynth “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postsynth.SD1_TB1 -l compile.log
./simv -l run.log
Synopsys_sim.setup
OBAIR > DEOCH
SmartFusion2 : /VCS/SmartFusion2
postsynth : ./postsynth
DEFAULT : ./obair
6.5.3 Iar-chruth (Faighnich Ceist)
postlayout_run.do
suidh gu sàmhach ACTELLIBNAME SmartFusion2
suidhich gu sàmhach PROJECT_DIR “E:/ModelSim_Work/Test_DFF”
ma tha {[file ann. ../designer/SD1/simulation/postlayout/_info]} {
mac-talla “INFO: Leabharlann atharrais ../designer/SD1/simulation/postlayout ann mu thràth”
} eile {
vlib ../designer/SD1/simulation/postlayout
}
vmap postlayout ../designer/SD1/simulation/postlayout
vmap SmartFusion2 “//idm/captures/pc/11_0_1_12_g4x/Designer/lib/ModelSim/precompiled/vlog/
SmartFusion2"
vlog -work postlayout “${PROJECT_DIR}/designer/SD1/SD1_ba.v”
vlog “+ indir + ${PROJECT_DIR}/stimulus” - obair postlayout “${PROJECT_DIR}/stimulus/SD1_TB1.v”
vsim -L SmartFusion2 -L postlayout -t 1fs -sdfmax /SD1_0=${PROJECT_DIR}/designer/SD1/
SD1_ba.sdf postlayout.SD1_TB1
cuir tonn ris /SD1_TB1/*
cuir log -r /*
ruith 1000ns
Postlayout_main.csh
#!/bin/csh -f
seata PROJECT_DIR = “/VCS_Tests/Test_DFF”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k - obair postlayout “${PROJECT_DIR}/
dealbhaiche/SD1/SD1_ba.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k “+ incdir+${PROJECT_DIR}/stimulus” -work
postlayout “${PROJECT_DIR}/stimulus/SD1_TB1.v”
/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.SD1_TB1 -sdf
max:SD1_TB1.SD1_0:${PROJECT_DIR}/designer/SD1/SD1_ba.sdf -l compile.log
./simv -l run.log
Synopsys_sim.setup
OBAIR > DEOCH
SmartFusion2 : /VCS/SmartFusion2
postlayout : ./postlayout
DÙTHCHAS : ./workVCS
6.6 fèin-ghluasad (Faighnich Ceist)
Faodar an sruth a dhèanamh fèin-ghluasadach a’ cleachdadh an sgriobt Perl a leanas file gus an run.do ModelSim a thionndadh files a-steach do sgriobt shligean co-fhreagarrach VCS files, cruthaich clàran ceart taobh a-staigh eòlaire atharrais Libero SoC, agus an uairsin ruith samhlaidhean.
Ruith an sgriobt file a’ cleachdadh a’ cho-chòrdaidh a leanas.
perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
Vcs_parse_pl
#!/usr/bin/perl -w
####################################### ########################
#
# Cleachdadh: perl vcs_parse.pl presynth_run.do postsynth_run.do postlayout_run.do
#
####################################### ########################
mo ($ presynth, $postsynth, $postlayout) = @ARGV;
ma tha (siostam ("mkdir VCS_Presynth")) {clò-bhualadh "Dh'fhàillig mkdir:\n";}
ma tha (siostam ("mkdir VCS_Postsynth")) {clò-bhualadh "Dh'fhàillig mkdir:\n";}
ma tha (siostam ("mkdir VCS_Postlayout")) {clò-bhualadh "Dh'fhàillig mkdir:\n";}
chdir(VCS_Presynth);
`cp. ../$ARGV[0] .` ;
&parse_do($ presynth, ”presynth”);
chdir (“../”);
chdir(VCS_Postsynth);
`cp. ../$ARGV[1] .` ;
&parse_do($postsynth, “postsynth”);
chdir (“../”);
chdir(VCS_Postlayout);
`cp. ../$ARGV[2] .` ;
&parse_do($postlayout, “postlayout”);
chdir (“../”);
sub parse_do {
mo $vlog = “/cad_design/tools/vcs.dir/E-2011.03/bin/vlogan +v2k”;
mo %LIB = ();
mo $file = $_[0] ;
mo $state = $_[1];
fosgail (ANNFILE, "$file”) || bàsachadh “Chan urrainn dhomh fosgladh File Is dòcha gur e an adhbhar:$!”;
ma tha ( $state eq “presynth”)
{
fosgailte(OUT1,">presynth_main.csh”) || bàsachadh “Chan urrainn dhomh àithne a chruthachadh File Is dòcha gur e an adhbhar:$!”;
}
elsif ( $state eq “postsynth”)
{
fosgailte(OUT1,">postsynth_main.csh”) || bàsachadh “Chan urrainn dhomh àithne a chruthachadh File Is dòcha gur e an adhbhar:$!”;
}
elsif ( $state eq “postlayout”)
{
fosgailte(OUT1,">postlayout_main.csh”) || bàsachadh “Chan urrainn dhomh àithne a chruthachadh File Is dòcha gur e an adhbhar:$!”;
}
eile
{
clò-bhuail "Simulation State a dhìth \n";
}
fosgailte (OUT2,"> synopsys_sim.setup ”) || bàsachadh “Chan urrainn dhomh àithne a chruthachadh File Is dòcha gur e an adhbhar:$!”;
# .csh file
clò-bhuail OUT1 "#!/bin/csh -f\n\n\n";
#SUIDHICH FILE
clò-bhuail OUT2 “OBAIR> DEFAULT\n”;
clò-bhuail OUT2 “SmartFusion2: /sqa/users/Aditya/VCS/SmartFusion2\n”;
fhad 'sa bha ($line =FILE>)
{
Synopsys suidheachadh VCS
ma tha ($ loidhne =~ m / air a shuidheachadh gu sàmhach PROJECT_DIR \ s + \ ”(.*?) \”/)
{
clò-bhuail OUT1 “set PROJECT_DIR = \"$1\"\n\n\n" ;
}
elsif ( $ loidhne = ~ m / vlog .* \.v \ ”/ )
{
ma tha ($ loidhne =~ m/\s+(\w*?)\_LIB/)
{
#print " \$1 = $1 \n" ;
$temp = "$1"." _LIB";
#print “Temp = $temp \n” ;
$LIB{$temp}++;
}
chomp ($ loidhne);
$line =~ s/^vlog/$vlog/ ;
$ loidhne =~ s/ //g;
clò-bhuail OUT1 “$line\n”;
}
elsif (($line =~ m/vsim.*presynth\.(.*)/) || ($line =~ m/vsim.*postsynth\.(.*)/) || ($line
=~ m/vsim.* postlayout\.(.*)/) )
{
$tb = $1;
$tb =~ s///g;
chomp ($ tb);
#print “Ainm TB: $tb\n”;
ma tha ($ loidhne = ~ m/sdf(.*) \.sdf/)
{
chomp ($ loidhne);
$line = $1;
#print “LINE : $line \n” ;
ma tha ($ loidhne = ~ m / max/)
{
$line =~ s/max \/// ;
$line =~ s/=/:/;
clò-bhuail OUT1 "\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
max: $tb.$line.sdf -l compile.log\n" ;
}
elsif ($ loidhne = ~ m / min /)
{
$line =~ s/mion \/// ;
$line =~ s/=/:/;
clò-bhuail OUT1 "\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
min: $tb.$line.sdf -l compile.log\n" ;
}
elsif ($ loidhne = ~ m/typ/)
{
$line =~ s/typ \/// ;
$line =~ s/=/:/;
clò-bhuail OUT1 "\n\n/cad_design/tools/vcs.dir/E-2011.03/bin/vcs -sim_res=1fs postlayout.$tb -sdf
seòrsa: $tb.$line.sdf -l compile.log\n" ;
}
#-sdfmax /M3_FIC32_0=${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf - cruth ModelSim SDF
#$sdf = “-sdf max:testbench.M3_FIC32_0:${PROJECT_DIR}/designer/M3_FIC32/M3_FIC32_ba.sdf”; -VCS
An cruth SDF
}
}
}
clò-bhualadh
OUT1 "\n\n"
;
if
( $state eq “presynth”
)
{
clò-bhualadh
OUT2 “presynth
: ./presynth\n"
;
clò-bhualadh
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs presynth.$tb -l
cuir ri chèile.log\n"
;
}
eilsif
( $state eq “postsynth”
)
{
clò-bhualadh
OUT2 “postsynth
: ./postsynth\n"
;
clò-bhualadh
OUT1 “/cad_design/tools/vcs.dir/E-2011.03/bin/vcs
-sim_res=1fs postsynth.$tb -l
cuir ri chèile.log\n"
;
}
eilsif
( $state eq “postlayout”
)
{
clò-bhuail OUT2 “postlayout : ./postlayout\n” ;
}
eile
{
clò-bhuail "Simulation State a dhìth \n";
}
foreach $i (iuchraichean %LIB)
{
#print “Iuchrach : $i Luach : $LIB{$i} \n” ;
clò-bhuail OUT2 "$i : ./$i\n" ;
}
clò-bhuail OUT1 "\n\n";
clò-bhuail OUT1 "./simv -l run.log\n";
clò-bhuail OUT2 “DEFAULT : ./work\n”;
dùin INFILE;
dùin OUT1;
dùin OUT2;
}
Eachdraidh an Ath-sgrùdaidh (Log a-steach microchip
Tha eachdraidh an ath-sgrùdaidh a’ toirt cunntas air na h-atharrachaidhean a chaidh a chur an gnìomh sa phàipear. Na h-atharrachaidhean
air an liostadh le ath-sgrùdadh, a’ tòiseachadh leis an fhoillseachadh as ùire.
Ath-sgrùdadh | Ceann-latha | Tuairisgeul |
A | 12/2023 | Tha na h-atharrachaidhean a leanas air an dèanamh san ath-sgrùdadh seo: • Sgrìobhainn air a thionndadh gu teamplaid Microchip. Ath-sgrùdadh Tòiseachaidh. • Earrann 5 air ùrachadh. Siemens QuestaSim Setup/ModelSim Setup gus nota ùr a ghabhail a-steach a mhìnicheas a’ bhuaidh air faicsinneachd aig àm atharrais is optimization. |
Taic Microchip FPGA
Bidh buidheann toraidh Microchip FPGA a’ toirt taic do na toraidhean aca le diofar sheirbheisean taice, a’ gabhail a-steach Seirbheis teachdaiche, Ionad Taic Teicnigeach Luchd-cleachdaidh, a weblàraich, agus oifisean reic air feadh an t-saoghail.
Thathas a’ moladh do luchd-ceannach tadhal air goireasan air-loidhne Microchip mus cuir iad fios gu taic oir tha e glè choltach gun deach na ceistean aca a fhreagairt mu thràth.
Cuir fios gu Ionad Taic Teicnigeach tron ionad weblàrach aig www.microchip.com/support. Thoir iomradh air àireamh Pàirt inneal FPGA, tagh roinn cùis iomchaidh, agus luchdaich suas dealbhadh files fhad ‘s a tha iad a’ cruthachadh cùis taic theicnigeach.
Cuir fios gu Seirbheis Luchd-cleachdaidh airson taic toraidh neo-theicnigeach, leithid prìsean toraidh, ùrachadh toraidh, ùrachadh fiosrachadh, inbhe òrduigh, agus cead.
- Bho Ameireaga a Tuath, cuir fòn gu 800.262.1060
- Bhon chòrr den t-saoghal, cuir fòn gu 650.318.4460
- Facs, bho àite sam bith san t-saoghal, 650.318.8044
Fiosrachadh Microchip
Am Microchip Weblàrach
Bidh Microchip a’ toirt seachad taic air-loidhne tro ar weblàrach aig www.microchip.com/. Seo weblàrach ga chleachdadh airson dèanamh files agus fiosrachadh ri fhaighinn gu furasta do luchd-ceannach. Am measg cuid den t-susbaint a tha ri fhaighinn tha:
- Taic Bathar - Duilleagan dàta agus mearachdan, notaichean tagraidh agus sample prògraman, goireasan dealbhaidh, stiùireadh luchd-cleachdaidh agus sgrìobhainnean taic bathar-cruaidh, fiosan bathar-bog as ùire agus bathar-bog tasglainn
- Taic Teicnigeach Coitcheann - Ceistean Bitheanta (Ceistean Bitheanta), iarrtasan taic theicnigeach, buidhnean deasbaid air-loidhne, liosta bhall de phrògram com-pàirtiche dealbhaidh microchip
- Gnìomhachas Microchip - Taghaidh bathar agus stiùireadh òrdachaidh, fiosan naidheachd Microchip as ùire, liosta de cho-labhairtean agus thachartasan, liostaichean oifisean reic Microchip, luchd-sgaoilidh agus riochdairean factaraidh
Seirbheis Fiosrachaidh Atharrachadh Bathar
Bidh seirbheis fios atharrachadh toraidh Microchip a’ cuideachadh le bhith a’ cumail luchd-ceannach gnàthach air toraidhean Microchip. Gheibh luchd-aontachaidh fios post-d nuair a bhios atharrachaidhean, ùrachaidhean, ath-sgrùdaidhean no mearachdan ann co-cheangailte ri teaghlach toraidh ainmichte no inneal leasachaidh inntinneach.
Gus clàradh, rachaibh gu www.microchip.com/pcn agus lean an stiùireadh clàraidh.
Taic Luchd-cleachdaidh
Faodaidh luchd-cleachdaidh stuthan Microchip cuideachadh fhaighinn tro ghrunn shianalan:
- Neach-cuairteachaidh no Riochdaire
- Oifis reic ionadail
- Einnseanair Fuasglaidhean Embedded (ESE)
- Taic Teicnigeach
Bu chòir do luchd-ceannach fios a chuir chun neach-cuairteachaidh, riochdaire no ESE aca airson taic. Tha oifisean reic ionadail rim faighinn cuideachd gus luchd-ceannach a chuideachadh. Tha liosta de dh’ oifisean reic agus àiteachan anns an sgrìobhainn seo.
Tha taic theicnigeach ri fhaighinn tron làrach-lìn weblàrach aig: www.microchip.com/support
Feart Dìon Còd Innealan Microchip
Thoir an aire don fhiosrachadh a leanas mun fheart dìon còd air toraidhean Microchip:
- Bidh toraidhean microchip a’ coinneachadh ris na sònrachaidhean a tha anns an duilleag dàta microchip sònraichte aca.
- Tha microchip den bheachd gu bheil an teaghlach de thoraidhean aige tèarainte nuair a thèid a chleachdadh san dòigh a tha san amharc, taobh a-staigh sònrachaidhean obrachaidh, agus fo chumhachan àbhaisteach.
- Bidh microchip a’ cur luach air agus gu làidir a’ dìon a chòraichean seilbh inntleachdail. Thathas a’ toirmeasg teann air oidhirpean gus feartan dìon còd toradh Microchip a bhriseadh agus dh’ fhaodadh iad a dhol an aghaidh Achd Dlighe-sgrìobhaidh Digital Millennium.
- Chan urrainn dha Microchip no neach-dèanamh semiconductor sam bith eile gealltainn tèarainteachd a chòd. Chan eil dìon còd a’ ciallachadh gu bheil sinn a’ gealltainn gu bheil an toradh “do-chreidsinneach”.
Tha dìon còd an-còmhnaidh ag atharrachadh. Tha microchip dealasach a thaobh a bhith a’ leasachadh feartan dìon còd ar toraidhean gu leantainneach.
Sanas laghail
Faodar am foillseachadh seo agus am fiosrachadh an seo a chleachdadh a-mhàin le toraidhean Microchip, a’ toirt a-steach dealbhadh, deuchainn agus amalachadh toraidhean Microchip leis an tagradh agad. Tha cleachdadh an fhiosrachaidh seo ann an dòigh sam bith eile a’ briseadh nan teirmean sin. Chan eil fiosrachadh mu thagraidhean inneal air a thoirt seachad ach airson do ghoireasachd agus dh’ fhaodadh gun tèid ùrachaidhean a chuir na àite. Tha e an urra riut dèanamh cinnteach gu bheil an tagradh agad a rèir do shònrachaidhean. Cuir fios chun oifis reic Microchip ionadail agad airson taic a bharrachd no, faigh taic a bharrachd aig www.microchip.com/en-us/support/design-help/client-support-services.
THA AM FIOSRACHADH SEO AIR A THABHAIRT AIRSON MICROCHIP “MAR A THA”. CHAN EIL MICROCHIP Riochdachaidhean no barantas de sheòrsa sam bith, ge bith co-dhiù a tha e soilleir no ciallach, sgrìobhte no beòil, reachdail no eile, co-cheangailte ris an fhiosrachadh a’ toirt a-steach ach gun a bhith cuibhrichte ri barantas sam bith a tha an-sàs, AIRSON IONADACHD, AIRSON ATH-SGRÙDADH. PUINGEAN, NO BARANTAS A BHITH A BHITH RI CHOINNEACHD, CÀILEACHD, NO COILEANADH.
Cha bhi MICROCHIP ann an suidheachadh sam bith cunntachail airson call neo-dhìreach, sònraichte, peanasach, tachartach, no iarmhartach, milleadh, cosgais, no cosgais de sheòrsa sam bith co-dhiù a bha co-cheangailte ris an fhiosrachadh no an cleachdadh, mar a dh’ adhbhraich e, ged a dh’ adhbhraich e, ged a dh’ adhbhraich e. POSADH OR THA NA DAMASAN AIRSON SEALLADH. CHUN AN FEUMAIDH SIN A THA A CEADAR AIRSON AN lagha, CHAN EIL DLEASTANAS IOMLAN MICROCHIP AIR A H-UILE TAGHADH ANN AN DÒIGH sam bith co-cheangailte ris an fhiosrachadh no an cleachdadh a bhitheas e nas àirde na sùim nan cìsean, ma tha gin ann, THA thu air pàigheadh gu dìreach GU MICROCHIP AIRSON AN FIOSRACHAIDH.
Tha cleachdadh innealan Microchip ann an tagraidhean taic beatha agus/no sàbhailteachd gu tur ann an cunnart a’ cheannaiche, agus tha an ceannaiche ag aontachadh dìon, dìon agus cumail Microchip gun chron bho mhilleadh sam bith, tagradh, deise, no cosgaisean mar thoradh air a leithid de chleachdadh. Chan eil ceadan sam bith air an toirt seachad, gu h-obann no eile, fo chòraichean seilbh inntleachdail Microchip mura h-eilear ag ràdh a chaochladh.
Comharran-malairt
Ainm agus suaicheantas Microchip, suaicheantas Microchip, Adaptec, AVR, suaicheantas AVR, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, suaicheantas Microsemi, MOST, suaicheantas MOST, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, suaicheantas PIC32, PolarFire, Dealbhadair Prochip, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, Suaicheantas SST, SuperFlash, Symmetricom , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, agus XMEGA nan comharran-malairt clàraichte de Microchip Technology Incorporated anns na SA agus dùthchannan eile.
AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, suaicheantas ProASIC Plus, Quiet-Wire, SmartFusion, Tha SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime, agus ZL nan comharran-malairt clàraichte de Microchip Technology Incorporated anns na SA
Susbaint iuchair ri thaobh, AKS, Aois Analog-airson-an-Didseatach, Capacitor sam bith, AnyIn, AnyOut, Atharrachadh Meudaichte, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net maids, , DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, Prògramadh Sreathach In-Circuit, ICSP, INICnet, Co-shìnte Inntleachdail, IntelliMOS, Ceangal Eadar-Chip, JitterBlocker, Knob-on-Display, KoD, maxCrypto, maxView, memBrain, Mindi, MiWi, MPASM, MPF, suaicheantas le teisteanas MPLAB, MPLIB, MPLINK, MultiTRAK, NetDetach, Gineadh Còd Omniscient, PICDEM, PICDEM.net,
PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMICE, Sreathach Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher,
SuperSwitcher II, Switchtec, SynchroPHY, Seasmhachd Iomlan, Ùine earbsach, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewTha Span, WiperLock, XpressConnect, agus ZENA nan comharran-malairt de Microchip Technology Incorporated
anns na SA agus dùthchannan eile.
Tha SQTP na chomharra seirbheis de Microchip Technology Incorporated anns na SA
Tha suaicheantas Adaptec, Frequency on Demand, Silicon Storage Technology, agus Symmcom nan comharran-malairt clàraichte aig Microchip Technology Inc. ann an dùthchannan eile.
Tha GestIC na chomharra-malairt clàraichte de Microchip Technology Germany II GmbH & Co. KG, fo-bhuidheann de Microchip Technology Inc., ann an dùthchannan eile.
Buinidh a h-uile comharra-malairt eile a tha air ainmeachadh an seo leis na companaidhean aca fhèin.
© 2023, Microchip Technology Incorporated agus na fo-chompanaidhean aige. Còraichean uile glèidhte.
ISBN: 978-1-6683-3694-6
Siostam Riaghladh Càileachd
Airson fiosrachadh a thaobh Siostaman Riaghladh Càileachd Microchip, tadhal air www.microchip.com/quality.
AMERICAS | ASIA/PACIFIC | ASIA/PACIFIC | EORAIP |
Oifis Chorporra 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Fòn: 480-792-7200 Facs: 480-792-7277 Taic Teicnigeach: www.microchip.com/support Web Seòladh: www.microchip.com Atlantaig Duluth, GA Fòn: 678-957-9614 Facs: 678-957-1455 Austin, TX Fòn: 512-257-3370 Boston Westborough, MA Fòn: 774-760-0087 Facs: 774-760-0088 Chicago Itasca, IL Fòn: 630-285-0071 Facs: 630-285-0075 Dallas Cuirison, TX Fòn: 972-818-7423 Facs: 972-818-2924 Detroit Novi, MI Fòn: 248-848-4000 Houston, TX Fòn: 281-894-5983 Indianapolis Noblesville, IN Fòn: 317-773-8323 Facs: 317-773-5453 Fòn: 317-536-2380 Los Angeles Misean Viejo, CA Fòn: 949-462-9523 Facs: 949-462-9608 Fòn: 951-273-7800 Raleigh, NC Fòn: 919-844-7510 Eabhraig Nuadh, NY Fòn: 631-435-6000 San Jose, CA Fòn: 408-735-9110 Fòn: 408-436-4270 Canada - Toronto Fòn: 905-695-1980 Facs: 905-695-2078 |
Astràilia - Sydney Fòn: 61-2-9868-6733 Sìona - Beijing Fòn: 86-10-8569-7000 Sìona - Chengdu Fòn: 86-28-8665-5511 Sìona - Chongqing Fòn: 86-23-8980-9588 Sìona - Dongguan Fòn: 86-769-8702-9880 Sìona - Guangzhou Fòn: 86-20-8755-8029 Sìona - Hangzhou Fòn: 86-571-8792-8115 Sìona - Hong Kong SAR Fòn: 852-2943-5100 Sìona - Nanjing Fòn: 86-25-8473-2460 Sìona - Qingdao Fòn: 86-532-8502-7355 Sìona - Shanghai Fòn: 86-21-3326-8000 Sìona - Shenyang Fòn: 86-24-2334-2829 Sìona - Shenzhen Fòn: 86-755-8864-2200 Sìona - Suzhou Fòn: 86-186-6233-1526 Sìona - Wuhan Fòn: 86-27-5980-5300 Sìona - Xian Fòn: 86-29-8833-7252 Sìona - Xiamen Fòn: 86-592-2388138 Sìona - Zhuhai Fòn: 86-756-3210040 |
Na h-Innseachan - Bangalore Fòn: 91-80-3090-4444 Na h-Innseachan - New Delhi Fòn: 91-11-4160-8631 Na h-Innseachan - Pune Fòn: 91-20-4121-0141 Iapan - Osaka Fòn: 81-6-6152-7160 Iapan - Tokyo Fòn: 81-3-6880- 3770 Coirèa - Daegu Fòn: 82-53-744-4301 Coirèa - Seoul Fòn: 82-2-554-7200 Malaysia - Kuala Lumpur Fòn: 60-3-7651-7906 Malaysia - Penang Fòn: 60-4-227-8870 Na Philippines - Manila Fòn: 63-2-634-9065 Singapore Fòn: 65-6334-8870 Taiwan - Hsin Chu Fòn: 886-3-577-8366 Taiwan - Kaohsiung Fòn: 886-7-213-7830 Taiwan - Taipei Fòn: 886-2-2508-8600 Thailand - Bangkok Fòn: 66-2-694-1351 Bhietnam - Ho Chi Minh Fòn: 84-28-5448-2100 |
An Ostair - Wels Fòn: 43-7242-2244-39 Facs: 43-7242-2244-393 An Danmhairg - Copenhagen Fòn: 45-4485-5910 Facs: 45-4485-2829 An Fhionnlainn - Espoo Fòn: 358-9-4520-820 An Fhraing - Paris Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 A 'Ghearmailt - Garching Fòn: 49-8931-9700 A' Ghearmailt - Haan Fòn: 49-2129-3766400 A' Ghearmailt - Heilbronn Fòn: 49-7131-72400 A' Ghearmailt - Karlsruhe Fòn: 49-721-625370 A' Ghearmailt - Munich Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 A' Ghearmailt - Rosenheim Fòn: 49-8031-354-560 Israel - Ra'anana Fòn: 972-9-744-7705 An Eadailt - Milan Fòn: 39-0331-742611 Facs: 39-0331-466781 An Eadailt - Padova Fòn: 39-049-7625286 An Òlaind - Drunen Fòn: 31-416-690399 Facs: 31-416-690340 Nirribhidh - Trondheim Fòn: 47-72884388 A' Phòlainn - Warsaw Fòn: 48-22-3325737 Romania - Bucharest Tel: 40-21-407-87-50 An Spàinn - Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 An t-Suain - Gothenberg Tel: 46-31-704-60-40 An t-Suain - Stockholm Fòn: 46-8-5090-4654 RA - Wokingham Fòn: 44-118-921-5800 Facs: 44-118-921-5820 |
© 2023 Microchip Technology Inc. agus na fo-chompanaidhean aige
DS50003627A –
Sgrìobhainnean/Goireasan
![]() |
Bathar-bog Leabharlann Simulation MICROCHIP Libero SoC [pdfStiùireadh Cleachdaiche DS50003627A, Bathar-bog Leabharlann Simulation Libero SoC, Bathar-bog Leabharlann Simulation SoC, Bathar-bog Leabharlann Simulation, Bathar-bog Leabharlann, Bathar-bog |