HDMI Arria 10 FPGA IP Design Example
පරිශීලක මාර්ගෝපදේශයHDMI Intel® Arria 10 FPGA IP
නිර්මාණ Example පරිශීලක මාර්ගෝපදේශය
Intel®Quartus® සඳහා යාවත්කාලීන කරන ලදී
ප්රයිම් ඩිසයින් සූට්: 22.4
IP අනුවාදය: 19.7.1
HDMI Intel® FPGA IP නිර්මාණය Example Intel® Arria® 10 උපාංග සඳහා ඉක්මන් ආරම්භක මාර්ගෝපදේශය
HDMI Intel® 10 උපාංගවල සමාකරණ පරීක්ෂණ බංකුවක් සහ සම්පාදනය සහ දෘඪාංග පරීක්ෂණ සඳහා සහය වන දෘඪාංග සැලසුමක් ඇත.
FPGA IP නිර්මාණය exampIntel Arria® සඳහා le
HDMI Intel FPGA IP පහත සඳහන් නිර්මාණ ඉදිරිපත් කරයිamples:
- HDMI 2.1 RX-TX ස්ථාවර අනුපාත සම්බන්ධක (FRL) මාදිලිය සක්රීය කර ඇති නිර්මාණය නැවත සම්ප්රේෂණය කරයි
- HDMI 2.0 RX-TX FRL මාදිලිය අක්රිය කර ඇති නිර්මාණය නැවත සම්ප්රේෂණය කරයි
- HDCP හරහා HDMI 2.0 නිර්මාණය
සටහන: HDCP විශේෂාංගය Intel® Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ ඇතුළත් නොවේ.
HDCP විශේෂාංගයට ප්රවේශ වීමට, Intel අමතන්න https://www.intel.com/content/www/us/en/broadcast/products/programmable/applications/connectivity-solutions.html.
ඔබ නිර්මාණයක් උත්පාදනය කරන විට හිටපුample, පරාමිති සංස්කාරකය ස්වයංක්රීයව නිර්මාණය කරයි fileදෘඩාංග තුළ නිර්මාණය අනුකරණය කිරීමට, සම්පාදනය කිරීමට සහ පරීක්ෂා කිරීමට අවශ්ය වේ.
රූපය 1. සංවර්ධන පියවරඅදාළ තොරතුරු
HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය
1.1 නිර්මාණය උත්පාදනය කිරීම
නිර්මාණය උත්පාදනය කිරීමට Intel Quartus Prime මෘදුකාංගයේ HDMI Intel FPGA IP පරාමිති සංස්කාරකය භාවිතා කරන්නamples. ඉන්ටෙල් සංස්ථාව. සියලු හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල ක්රියාකාරීත්වය Intel හි සම්මත වගකීම් වලට අනුකූලව වත්මන් පිරිවිතරයන්ට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම භාණ්ඩයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
Nios වලින් පටන් ගන්නවා® Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය 19.2 සහ Intel Quartus Prime Standard Edition මෘදුකාංග අනුවාදය 19.1 හි II EDS, Intel විසින් Nios II EDS හි Windows* අනුවාදයේ ඇති Cygwin සංරචකය ඉවත් කර, Linux සඳහා Windows* Subsystem (WSL) සමඟ ප්රතිස්ථාපනය කර ඇත. ඔබ Windows* පරිශීලකයෙක් නම්, ඔබ ඔබේ නිර්මාණය නිර්මාණය කිරීමට පෙර WSL ස්ථාපනය කළ යුතුයample.
රූපය 2. සැලසුම් ප්රවාහය උත්පාදනය කිරීම
- Intel Arria 10 උපාංග පවුල ඉලක්ක කර ගනිමින් ව්යාපෘතියක් සාදා අවශ්ය උපාංගය තෝරන්න.
- IP නාමාවලියෙහි, අතුරුමුහුණත් ප්රොටෝකෝල ➤ ශ්රව්ය සහ වීඩියෝ ➤ HDMI Intel FPGA IP සොයාගෙන ද්වි-ක්ලික් කරන්න. නව IP ප්රභේදය හෝ නව IP විචල්ය කවුළුව දිස්වේ.
- ඔබගේ අභිරුචි IP විචලනය සඳහා ඉහළ මට්ටමේ නමක් සඳහන් කරන්න. පරාමිති සංස්කාරකය IP විචල්ය සැකසුම් a හි සුරකියි file නම් කර ඇත .ip හෝ .qsys.
- හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
- IP පටිත්තෙහි, TX සහ RX යන දෙකටම අවශ්ය පරාමිති වින්යාස කරන්න.
- HDMI 2.1 නිර්මාණය උත්පාදනය කිරීමට සහාය FRL පරාමිතිය ක්රියාත්මක කරන්නample FRL මාදිලියේ. HDMI 2.0 නිර්මාණය උත්පාදනය කිරීමට එය අක්රිය කරන්නampFRL නොමැතිව.
- නිර්මාණ Example tab, Arria 10 HDMI RX-TX Retransmit තෝරන්න.
- පරීක්ෂණ බංකුව උත්පාදනය කිරීමට සමාකරණය තෝරන්න, සහ දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට සංශ්ලේෂණය තෝරන්නample.ඔබ විසින් නිර්මාණ ex උත්පාදනය කිරීමට අවම වශයෙන් මෙම විකල්ප වලින් එකක්වත් තෝරාගත යුතුයample files. ඔබ දෙකම තෝරා ගන්නේ නම්, උත්පාදන කාලය වැඩි වේ.
- උත්පාදනය සඳහා File ආකෘතිය, Verilog හෝ VHDL තෝරන්න.
- ඉලක්ක සංවර්ධන කට්ටලය සඳහා, Intel Arria 10 GX FPGA සංවර්ධන කට්ටලය තෝරන්න. ඔබ සංවර්ධන කට්ටලයක් තෝරා ගන්නේ නම්, ඉලක්ක පුවරුවේ ඇති උපාංගයට ගැලපෙන පරිදි ඉලක්ක උපාංගය (4 වන පියවරේදී තෝරා ඇත) වෙනස් වේ. Intel Arria 10 GX FPGA සංවර්ධන කට්ටලය සඳහා, පෙරනිමි උපාංගය 10AX115S2F4I1SG වේ.
- උත්පාදනය Ex ක්ලික් කරන්නample නිර්මාණය.
අදාළ තොරතුරු
Windows* OS මත Linux* (WSL) සඳහා Windows* උප පද්ධතිය ස්ථාපනය කරන්නේ කෙසේද?
1.2 නිර්මාණය අනුකරණය කිරීම
HDMI පරීක්ෂණ බංකුව TX අවස්ථාවක සිට RX අවස්ථාවක් දක්වා අනුක්රමික ලූප්බැක් නිර්මාණයක් අනුකරණය කරයි. අභ්යන්තර වීඩියෝ රටා උත්පාදක යන්ත්රය, ශ්රව්ය එස්ample generator, sideband data generator, සහ auxiliary data generator modules මඟින් HDMI TX අවස්ථාව ධාවනය කරන අතර TX අවස්ථාවෙන් ලැබෙන අනුක්රමික ප්රතිදානය ටෙස්ට් බංකුවේ RX නිදර්ශනයට සම්බන්ධ වේ.
රූපය 3. නිර්මාණ සමාකරණ ප්රවාහය
- අපේක්ෂිත සමාකරණ ෆෝල්ඩරය වෙත යන්න.
- ඔබ කැමති සහය දක්වන සිමියුලේටරය සඳහා සමාකරණ ස්ක්රිප්ට් ධාවනය කරන්න. ස්ක්රිප්ට් එක සිමියුලේටරය තුළ පරීක්ෂණ බංකුව සම්පාදනය කර ධාවනය කරයි.
- ප්රතිඵල විශ්ලේෂණය කරන්න.
වගුව 1. අනුකරණය ධාවනය කිරීමට පියවර
සිමියුලේටරය | වැඩ කරන නාමාවලිය | උපදෙස් |
Riviera-PRO* | /simulation/aldec | විධාන රේඛාවේ, ටයිප් කරන්න |
vsim -c -do aldec.do | ||
ModelSim* | / අනුකරණය / උපදේශක | විධාන රේඛාවේ, ටයිප් කරන්න |
vsim -c -do mentor.do | ||
VCS* | /simulation/synopsys/vcs | විධාන රේඛාවේ, ටයිප් කරන්න |
මූලාශ්රය vcs_sim.sh | ||
VCS MX | /simulation/synopsys/ vcsmx | විධාන රේඛාවේ, ටයිප් කරන්න |
මූලාශ්රය vcsmx_sim.sh | ||
Xcelium * සමාන්තර | / අනුකරණය / xcelium | විධාන රේඛාවේ, ටයිප් කරන්න |
මූලාශ්රය xcelium_sim.sh |
සාර්ථක අනුකරණයක් පහත පණිවිඩය සමඟ අවසන් වේ:
# SYMBOLS_PER_CLOCK = 2
# VIC = 4
# FRL_RATE = 0
# BPP = 0
# AUDIO_FREQUENCY (kHz) = 48
# AUDIO_CHANNEL = 8
# සිමියුලේෂන් පාස්
1.3 නිර්මාණය සම්පාදනය කිරීම සහ පරීක්ෂා කිරීම
දෘඪාංගයේ ආදර්ශන පරීක්ෂණයක් සම්පාදනය කිරීමට සහ ධාවනය කිරීමටample නිර්මාණය, මෙම පියවර අනුගමනය කරන්න:
- සහතික දෘඪාංග example නිර්මාණ උත්පාදනය සම්පූර්ණයි.
- Intel Quartus Prime මෘදුකාංගය දියත් කර .qpf විවෘත කරන්න file.
• HDMI 2.1 නිර්මාණය example සහාය FRL සක්රීය කර ඇත: ව්යාපෘති නාමාවලිය/quartus/a10_hdmi21_frl_demo.qpf
• HDMI 2.0 නිර්මාණය example සහාය ඇතිව FRL අක්රීය කර ඇත: ප්රක්ෂේපිත irectory/quartus/a10_hdmi2_demo.qpf - සැකසීම ➤ Start Compilation ක්ලික් කරන්න.
- සාර්ථක සම්පාදනය කිරීමෙන් පසුව, a .sof file quartus/output_ තුළ ජනනය වනු ඇතfileගේ නාමාවලිය.
- ඔන්-බෝඩ් FMC port B (J2) වෙත සම්බන්ධ කරන්න:
• HDMI 2.1 නිර්මාණය example සහාය FRL සබල කර ඇත: Bitec HDMI 2.1 FMC දියණිය කාඩ්පත Rev 9
සටහන: ඔබට ඔබේ Bitec HDMI දුව කාඩ්පතේ සංශෝධනය තෝරාගත හැක. Design යටතේ Example tab, HDMI Daughter Card Revision එක Revision 9, Revision හෝ no daughter card ලෙස සකසන්න. පෙරනිමි අගය සංශෝධන 9 වේ.
• HDMI 2.0 නිර්මාණය example සහය FRL අක්රිය කර ඇත: Bitec HDMI 2.0 FMC දියණිය කාඩ්පත Rev 11 - Bitec FMC දුව කාඩ්පතේ TX (P1) බාහිර වීඩියෝ මූලාශ්රයකට සම්බන්ධ කරන්න.
- Bitec FMC දුව කාඩ්පතේ RX (P2) බාහිර වීඩියෝ සින්ක් හෝ වීඩියෝ විශ්ලේෂකය වෙත සම්බන්ධ කරන්න.
- සංවර්ධන පුවරුවේ සියලුම ස්විචයන් පෙරනිමි ස්ථානයේ ඇති බවට සහතික වන්න.
- තෝරාගත් Intel Arria 10 උපාංගය සංවර්ධන පුවරුවේ ජනනය කරන ලද .sof භාවිතයෙන් වින්යාස කරන්න file (මෙවලම් ➤ වැඩසටහන්කරු ).
- විශ්ලේෂකය මූලාශ්රයෙන් ජනනය කරන ලද වීඩියෝව පෙන්විය යුතුය.
අදාළ තොරතුරු
Intel Arria 10 FPGA සංවර්ධන කට්ටල පරිශීලක මාර්ගෝපදේශය
1.4 HDMI Intel FPGA IP නිර්මාණය Example පරාමිතීන්
වගුව 2.
HDMI Intel FPGA IP නිර්මාණය Example පරාමිති Intel Arria 10 උපාංග සඳහා මෙම විකල්ප ලබා ගත හැක්කේ Intel Arria 10 උපාංග සඳහා පමණි.
පරාමිතිය | වටිනාකම |
විස්තරය |
පවතින නිර්මාණ Example | ||
මෝස්තරය තෝරන්න | Arria 10 HDMI RX-TX Retransmit | නිර්මාණය තෝරන්න exampඋත්පාදනය කිරීමට le. |
නිර්මාණ Example Files |
||
අනුකරණය | සක්රිය, අක්රිය කරන්න | අවශ්ය දේ උත්පාදනය කිරීමට මෙම විකල්පය ක්රියාත්මක කරන්න filesimulation testbench සඳහා. |
සංශ්ලේෂණය | සක්රිය, අක්රිය කරන්න | අවශ්ය දේ උත්පාදනය කිරීමට මෙම විකල්පය ක්රියාත්මක කරන්න fileIntel Quartus Prime සම්පාදනය සහ දෘඪාංග නිරූපණය සඳහා s. |
උත්පාදනය කරන ලද HDL ආකෘතිය |
||
උත්පාදනය කරන්න File ආකෘතිය | වෙරිලොග්, වීඑච්ඩීඑල් | ජනනය කරන ලද නිර්මාණය සඳහා ඔබ කැමති HDL ආකෘතිය තෝරන්නample fileකට්ටලය. සටහන: මෙම විකල්පය ජනනය කරන ලද ඉහළ මට්ටමේ IP සඳහා ආකෘතිය පමණක් තීරණය කරයි files. වෙනත් සියලු files (උදාample ටෙස්ට් බංකු සහ ඉහළ මට්ටම fileදෘඪාංග නිරූපණය සඳහා s) Verilog HDL ආකෘතියෙන් ඇත |
ඉලක්ක සංවර්ධන කට්ටලය |
||
මණ්ඩලය තෝරන්න | සංවර්ධන කට්ටලයක් නැත, | ඉලක්කගත නිර්මාණය සඳහා පුවරුව තෝරන්නample. |
Arria 10 GX FPGA සංවර්ධන කට්ටලය,
අභිරුචි සංවර්ධන කට්ටලය |
• සංවර්ධන කට්ටලයක් නොමැත: මෙම විකල්පය හිටපු සැලසුම් සඳහා සියලුම දෘඩාංග අංගයන් බැහැර කරයිample. IP හරය සියලු පින් පැවරුම් අථත්ය පින් වලට සකසයි. • Arria 10 GX FPGA සංවර්ධන කට්ටලය: මෙම විකල්පය ස්වයංක්රීයව මෙම සංවර්ධන කට්ටලයේ උපාංගයට ගැළපෙන ව්යාපෘතියේ ඉලක්ක උපාංගය තෝරා ගනී. ඔබට භාවිතා කර ඉලක්ක උපාංගය වෙනස් කළ හැක ඉලක්ක උපාංගය වෙනස් කරන්න ඔබගේ පුවරු සංශෝධනයට වෙනස් උපාංග ප්රභේදයක් තිබේ නම් පරාමිතිය. IP හරය සංවර්ධන කට්ටලයට අනුව සියලුම පින් පැවරුම් සකසයි. |
|
• අභිරුචි සංවර්ධන කට්ටලය: මෙම විකල්පය නිර්මාණය සඳහා ඉඩ ලබා දේampIntel FPGA සමඟ තෙවන පාර්ශවීය සංවර්ධන කට්ටලයක් මත පරීක්ෂා කිරීමට le. ඔබට පින් පැවරුම් ඔබ විසින්ම සැකසීමට අවශ්ය විය හැක. |
ඉලක්ක උපාංගය |
||
ඉලක්ක උපාංගය වෙනස් කරන්න | සක්රිය, අක්රිය කරන්න | මෙම විකල්පය ක්රියාත්මක කර සංවර්ධන කට්ටලය සඳහා කැමති උපාංග ප්රභේදය තෝරන්න. |
HDMI 2.1 Design Example (සහාය FRL = 1)
HDMI 2.1 නිර්මාණය example FRL මාදිලියේ RX නාලිකා හතරක් සහ TX නාලිකා හතරකින් සමන්විත එක් HDMI අවස්ථාවක් සමාන්තර පුඩුවක් පෙන්වයි.
වගුව 3. HDMI 2.1 Design ExampIntel Arria 10 උපාංග සඳහා le
නිර්මාණ Example | දත්ත අනුපාතය | නාලිකා ප්රකාරය |
ලූප්බැක් වර්ගය |
Arria 10 HDMI RX-TX Retransmit | • 12 Gbps (FRL) • 10 Gbps (FRL) • 8Gbps (FRL) • 6 Gbps (FRL) • 3 Gbps (FRL) • <6 Gbps (TMDS) |
සිම්ප්ලෙක්ස් | FIFO බෆරය සමඟ සමාන්තරව |
විශේෂාංග
- HDMI 2.1 සින්ක් සහ මූලාශ්රය අතර සෘජු HDMI වීඩියෝ ප්රවාහයක් සිදු කිරීමට සැලසුම FIFO බෆර ක්ෂණිකව ලබා දෙයි.
- ධාවන කාලය තුළ FRL මාදිලිය සහ TMDS මාදිලිය අතර මාරු වීමට සැලසුමට හැකියාව ඇත.
- සැලසුම මුල් නිදොස්කරණය සඳහා LED තත්ත්වය භාවිතා කරයිtage.
- නිර්මාණය HDMI RX සහ TX අවස්ථාවන් සමඟ පැමිණේ.
- RX-TX සම්බන්ධක මොඩියුලය තුළ Dynamic Range සහ Mastering (HDR) InfoFrame ඇතුළත් කිරීම සහ පෙරීම මෙම සැලසුම මගින් පෙන්නුම් කෙරේ.
- සැලසුම TX වෙත සම්බන්ධ කරන ලද සින්ක් සහ RX වෙත සම්බන්ධ ප්රභවය අතර FRL අනුපාතය සාකච්ඡා කරයි. සැලසුම පෙරනිමි වින්යාසය තුළ බාහිර සින්ක් සිට ඔන්-බෝඩ් RX දක්වා EDID හරහා ගමන් කරයි. Nios II ප්රොසෙසරය TX වෙත සම්බන්ධ සින්ක් වල හැකියාව මත සම්බන්ධක පදනම සාකච්ඡා කරයි. ඔබට TX සහ RX FRL හැකියාවන් අතින් පාලනය කිරීමට user_dipsw ඔන්-බෝඩ් ස්විචය ටොගල් කළ හැක.
- සැලසුමට නිදොස් කිරීමේ විශේෂාංග කිහිපයක් ඇතුළත් වේ.
RX අවස්ථාවට බාහිර වීඩියෝ උත්පාදක යන්ත්රයෙන් වීඩියෝ මූලාශ්රයක් ලැබෙන අතර, දත්ත TX අවස්ථාවට සම්ප්රේෂණය වීමට පෙර loopback FIFO හරහා යයි. ක්රියාකාරීත්වය සත්යාපනය කිරීමට ඔබ බාහිර වීඩියෝ විශ්ලේෂකයක්, මොනිටරයක් හෝ HDMI සම්බන්ධතාවයක් සහිත රූපවාහිනියක් TX හරයට සම්බන්ධ කිරීමට අවශ්ය වේ.
2.1 HDMI 2.1 RX-TX Retransmit Design Block Diagram
HDMI RX-TX නැවත සම්ප්රේෂණය කිරීමේ සැලසුම example සහාය FRL සක්රීය කර ඇති HDMI 2.1 සඳහා සිම්ප්ලෙක්ස් නාලිකා මාදිලියේ සමාන්තර ලූප්බැක් පෙන්වයි.
රූපය 4. HDMI 2.1 RX-TX නැවත සම්ප්රේෂණ වාරණ රූප සටහන2.2 RX-Only හෝ TX-Only Desig නිර්මාණය කිරීමns
උසස් පරිශීලකයින් සඳහා, ඔබට TX- හෝ RX-පමණි නිර්මාණයක් නිර්මාණය කිරීමට HDMI 2.1 නිර්මාණය භාවිතා කළ හැක.
රූපය 5. RX-Only හෝ TX-Only Design සඳහා අවශ්ය සංරචකRX- හෝ TX-පමණක් සංරචක භාවිතා කිරීමට, සැලසුමෙන් අදාල නොවන කුට්ටි ඉවත් කරන්න.
වගුව 4. RX-Only සහ TX-Only Design Requirements
පරිශීලක අවශ්යතා | සංරක්ෂණය කරන්න | ඉවත් කරන්න |
එකතු කරන්න |
HDMI RX පමණි | RX Top | • TX Top • RX-TX සබැඳිය • CPU උප පද්ධතිය • Transceiver Arbiter |
– |
HDMI TX පමණි | •TX Top •CPU උප පද්ධතිය |
•RX Top • RX-TX සබැඳිය • Transceiver බේරුම්කරු |
වීඩියෝ රටා උත්පාදක යන්ත්රය (අභිරුචි මොඩියුලය හෝ වීඩියෝ සහ රූප සැකසුම් (VIP) කට්ටලයෙන් ජනනය කරන ලදී) |
RTL වෙනස්කම් වලට අමතරව, ඔබ main.c ස්ක්රිප්ට් සංස්කරණය කිරීමටද අවශ්ය වේ.
• HDMI TX-පමණි මෝස්තර සඳහා, පහත රේඛා ඉවත් කිරීමෙන් HDMI RX අගුළු තත්ත්වය සඳහා රැඳී සිටීම විසංයෝජනය කර ප්රතිස්ථාපනය කරන්න
tx_xcvr_reconfig(tx_frl_rate);
rx_hdmi_lock = READ_PIO(PIO_IN0_BASE, PIO_RX_LOCKED_OFFSET,
PIO_RX_LOCKED_WIDTH);
අතරතුර (rx_hdmi_lock == 0) {
නම් (check_hpd_isr()) {බ්රේක්; }
// rx_vid_lock = READ_PIO(PIO_IN0_BASE, PIO_VID_LOCKED_OFFSET,
PIO_VID_LOCKED_WIDTH);
rx_hdmi_lock = READ_PIO(PIO_IN0_BASE, PIO_RX_LOCKED_OFFSET,
PIO_RX_LOCKED_WIDTH);
// Rx අගුලු දැමූ පසු Tx නැවත සකස් කරන්න
නම් (rx_hdmi_lock == 1) {
නම් (READ_PIO(PIO_IN0_BASE, PIO_LOOPBACK_MODE_OFFSET,
PIO_LOOPBACK_MODE_WIDTH) == 1) {
rx_frl_rate = READ_PIO(PIO_IN0_BASE, PIO_RX_FRL_RATE_OFFSET,
PIO_RX_FRL_RATE_WIDTH);
tx_xcvr_reconfig (rx_frl_rate);
} වෙනත් {
tx_xcvr_reconfig(tx_frl_rate);
}}}
• HDMI RX-පමණි මෝස්තර සඳහා, main.c ස්ක්රිප්ටයේ පහත රේඛා පමණක් තබා ගන්න:
REDRIVER_INIT();
hdmi_rx_init ();
2.3 දෘඪාංග සහ මෘදුකාංග අවශ්යතා
ඉන්ටෙල් හිටපු සැලසුම් පරීක්ෂා කිරීමට පහත දෘඪාංග සහ මෘදුකාංග භාවිතා කරයිample.
දෘඪාංග
- Intel Arria 10 GX FPGA සංවර්ධන කට්ටලය
- HDMI 2.1 මූලාශ්රය (Quantum Data 980 48G Generator)
- HDMI 2.1 සින්ක් (Quantum Data 980 48G විශ්ලේෂකය)
- Bitec HDMI FMC 2.1 දියණිය කාඩ්පත (සංශෝධනය 9)
- HDMI 2.1 කාණ්ඩය 3 කේබල් (බෙල්කින් 48Gbps HDMI 2.1 කේබලය සමඟ පරීක්ෂා කර ඇත)
මෘදුකාංග
- Intel Quartus Prime Pro සංස්කරණය මෘදුකාංග අනුවාදය 20.1
2.4 නාමාවලි ව්යුහය
නාමාවලි ජනනය කරන ලද ඒවා අඩංගු වේ fileHDMI Intel FPGA IP නිර්මාණය සඳහා sample.
රූපය 6. නිර්මාණ සඳහා නාමාවලි ව්යුහය Exampleවගුව 5. ජනනය කරන ලද RTL Files
ෆෝල්ඩර | Files/උප ෆෝල්ඩර |
පොදු | clock_control.ip |
clock_crosser.v | |
dcfifo_inst.v | |
edge_detector.sv | |
fifo.ip | |
output_buf_i2c.ip |
test_pattern_gen.v | |
tpg.v | |
tpg_data.v | |
ජීඑක්ස්බී | gxb_rx.ip |
gxb_rx_reset.ip | |
gxb_tx.ip | |
gxb_tx_fpll.ip | |
gxb_tx_reset.ip | |
hdmi_rx | hdmi_rx.ip |
hdmi_rx_top.v | |
Panasonic.hex | |
hdmi_tx | hdmi_tx.ip |
hdmi_tx_top.v | |
i2c_slave | i2c_avl_mst_intf_gen.v |
i2c_clk_cnt.v | |
i2c_condt_det.v | |
i2c_databuffer.v | |
i2c_rxshifter.v | |
i2c_slvfsm.v | |
i2c_spksupp.v | |
i2c_txout.v | |
i2c_txshifter.v | |
i2cslave_to_avlmm_bridge.v | |
ප්ලීස් | pll_hdmi_reconfig.ip |
pll_frl.ip | |
pll_reconfig_ctrl.v | |
pll_tmds.ip | |
pll_vidclk.ip | |
quartus.ini | |
rxtx_link | altera_hdmi_hdr_infoframe.v |
aux_mux.qsys | |
aux_retransmit.v | |
aux_src_gen.v | |
ext_aux_filter.v |
rxtx_link.v | |
scfifo_vid.ip | |
reconfig | mr_rx_iopll_tmds/ |
mr_rxphy/ | |
mr_tx_fpll/ | |
altera_xcvr_functions.sv | |
mr_compare.sv | |
mr_rate_detect.v | |
mr_rx_rate_detect_top.v | |
mr_rx_rcfg_ctrl.v | |
mr_rx_reconfig.v | |
mr_tx_rate_detect_top.v | |
mr_tx_rcfg_ctrl.v | |
mr_tx_reconfig.v | |
rcfg_array_streamer_iopll.sv | |
rcfg_array_streamer_rxphy.sv | |
rcfg_array_streamer_rxphy_xn.sv | |
rcfg_array_streamer_txphy.sv | |
rcfg_array_streamer_txphy_xn.sv | |
rcfg_array_streamer_txpll.sv | |
එස්ඩීසී | a10_hdmi2.sdc |
jtag.sdc |
වගුව 6. ජනනය කරන ලද සමාකරණය Files
වෙත යොමු කරන්න සමාකරණ පරීක්ෂණ බංකුව වැඩි විස්තර සඳහා කොටස
ෆෝල්ඩර | Files |
ඇල්ඩෙක් | /aldec.do |
/rivierapro_setup.tcl | |
කැඩෙන්ස් | /cds.lib |
/hdl.var | |
උපදේශකයා | /mentor.do |
/msim_setup.tcl | |
සාරාංශ | /vcs/filelist.f |
/vcs/vcs_setup.sh |
/vcs/vcs_sim.sh | |
/vcsmx/synopsys_sim_setup | |
/vcsmx/vcsmx_setup.sh | |
/vcsmx/vcsmx_sim.sh | |
එක්සිලියම් | /cds.lib |
/hdl.var | |
/xcelium_setup.sh | |
/xcelium_sim.sh | |
පොදු | /modelim_files.tcl |
/riviera_files.tcl | |
/vcs_files.tcl | |
/vcsmx_files.tcl | |
/xcelium_files.tcl | |
hdmi_rx | /hdmi_rx.ip |
/Panasonic.hex | |
hdmi_tx | /hdmi_tx.ip |
වගුව 7. ජනනය කරන ලද මෘදුකාංග Files
ෆෝල්ඩර | Files |
tx_control_src සටහන: tx_control ෆෝල්ඩරයේ ද මේවායේ අනුපිටපත් අඩංගු වේ files. |
ගෝලීය.එච් |
hdmi_rx.c | |
hdmi_rx.h | |
hdmi_tx.c | |
hdmi_tx.h | |
hdmi_tx_read_edid.c | |
hdmi_tx_read_edid.h | |
intel_fpga_i2c.c | |
intel_fpga_i2c.h | |
main.c | |
pio_read_write.c | |
pio_read_write.h |
2.5. සැලසුම් සංරචක
HDMI Intel FPGA IP නිර්මාණය example පොදු ඉහළ මට්ටමේ සංරචක සහ HDMI TX සහ RX ඉහළ සංරචක වලින් සමන්විත වේ.
2.5.1. HDMI TX සංරචක
HDMI TX ඉහළම කොටස්වලට TX core ඉහළ මට්ටමේ සංරචක සහ IOPLL, transceiver PHY reset controller, transceiver native PHY, TX PLL, TX reconfiguration Management සහ Output buffer blocks ඇතුළත් වේ.
රූපය 7. HDMI TX ඉහළ සංරචකවගුව 8. HDMI TX ඉහළ සංරචක
මොඩියුලය |
විස්තරය |
HDMI TX Core | IP ඉහළ මට්ටමේ සිට වීඩියෝ දත්ත ලබා ගන්නා අතර සහායක දත්ත කේතනය, ශ්රව්ය දත්ත කේතනය, වීඩියෝ දත්ත කේතනය, පොරබැදීම, TMDS කේතනය හෝ පැකට්කරණය සිදු කරයි. |
IOPLL | IOPLL (iopll_frl) TX හරය සඳහා FRL ඔරලෝසුව ජනනය කරයි. මෙම යොමු ඔරලෝසුවට TX FPLL ප්රතිදාන ඔරලෝසුව ලැබේ. FRL ඔරලෝසු සංඛ්යාතය = මංතීරුවකට දත්ත අනුපාතය x 4 / (ඔරලෝසුවකට FRL අක්ෂර x 18) |
සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලකය | Transceiver PHY යළි පිහිටුවීමේ පාලකය TX සම්ප්රේෂකවල විශ්වාසනීය ආරම්භයක් සහතික කරයි. මෙම පාලකයේ යළි පිහිටුවීමේ ආදානය ඉහළ මට්ටමේ සිට ක්රියාත්මක වන අතර, එය බ්ලොක් එක තුළ ඇති යළි පිහිටුවීමේ අනුපිළිවෙල අනුව Transceiver Native PHY බ්ලොක් වෙත අනුරූප ප්රතිසම සහ ඩිජිටල් යළි පිහිටුවීමේ සංඥාව ජනනය කරයි. මෙම බ්ලොක් එකෙන් tx_ready ප්රතිදාන සංඥාව HDMI Intel FPGA IP වෙත නැවත පිහිටුවීමේ සංඥාවක් ලෙසද ක්රියා කරයි, සම්ප්රේෂකය ක්රියාත්මක වන බව සහ හරයෙන් දත්ත ලබා ගැනීමට සූදානම් බව දැක්වීමට. |
සම්ප්රේෂක ස්වදේශික PHY | HDMI TX හරයෙන් සමාන්තර දත්ත ලබාගෙන එය සම්ප්රේෂණය කිරීමෙන් දත්ත අනුක්රමික කරන Hard transceiver block. සටහන: HDMI TX අන්තර් නාලිකා skew අවශ්යතාවය සපුරාලීම සඳහා, Intel Arria 10 Transceiver Native PHY පරාමිති සංස්කාරකයේ TX නාලිකා බන්ධන මාදිලිය සකසන්න PMA සහ PCS බැඳීම. ඔබ විසින් නිර්දේශ කර ඇති පරිදි සම්ප්රේෂක යළි පිහිටුවීමේ පාලකයෙන් (tx_digitalreset) ඩිජිටල් යළි පිහිටුවීමේ සංඥාවට උපරිම skew (set_max_skew) සීමා අවශ්යතාවය එක් කිරීමටද අවශ්ය වේ. Intel Arria 10 Transceiver PHY පරිශීලක මාර්ගෝපදේශය. |
TX PLL | සම්ප්රේෂක PLL බ්ලොක් එක Transceiver Native PHY බ්ලොක් එකට අනුක්රමික වේගවත් ඔරලෝසුව සපයයි. මෙම HDMI Intel FPGA IP නිර්මාණය සඳහා example, fPLL TX PLL ලෙස භාවිතා වේ. TX PLL සතුව යොමු ඔරලෝසු දෙකක් ඇත. • යොමු ඔරලෝසුව 0 TMDS මාදිලිය සඳහා වැඩසටහන්ගත කළ හැකි දෝලනය (TMDS ඔරලෝසු සංඛ්යාතය සහිත) වෙත සම්බන්ධ කර ඇත. මෙම නිර්මාණයේදී හිටපුample, RX TMDS ඔරලෝසුව TMDS මාදිලිය සඳහා යොමු ඔරලෝසුව 0 වෙත සම්බන්ධ කිරීමට භාවිතා කරයි. විමර්ශන ඔරලෝසුව 0 සඳහා TMDS ඔරලෝසු සංඛ්යාතය සහිත ක්රමලේඛගත කළ හැකි දෝලනයක් භාවිතා කිරීමට Intel නිර්දේශ කරයි. • යොමු ඔරලෝසුව 1 FRL මාදිලිය සඳහා ස්ථාවර 100 MHz ඔරලෝසුවකට සම්බන්ධ කර ඇත. |
TX Reconfiguration Management | •TMDS මාදිලියේදී, TX ප්රතිසංවිධාන කළමණාකරණ බ්ලොක් එක නිශ්චිත වීඩියෝවේ TMDS ඔරලෝසු සංඛ්යාතය අනුව විවිධ ප්රතිදාන ඔරලෝසු සංඛ්යාත සඳහා TX PLL නැවත වින්යාස කරයි. •FRL ප්රකාරයේදී, 3x6 SCDC ලේඛනයේ FRL_Rate ක්ෂේත්රයට අනුව 8 Gbps, 10 Gbps, 12 Gbps, 0 Gbps සහ 31 Gbps සඳහා අනුක්රමික වේගවත් ඔරලෝසුව සැපයීම සඳහා TX ප්රතිසංවිධාන කළමණාකරණ කොටස TX PLL නැවත සකස් කරයි. •TX ප්රතිනිර්මාණ කළමණාකරණ කොටස TX PLL සමුද්දේශ ඔරලෝසුව TMDS මාදිලිය සඳහා යොමු ඔරලෝසුව 0 සහ FRL මාදිලිය සඳහා යොමු ඔරලෝසුව 1 අතර මාරු කරයි. |
නිමැවුම් බෆරය | මෙම බෆරය HDMI DDC සහ redriver සංරචකවල I2C අතුරුමුහුණත අන්තර්ක්රියා කිරීමට අතුරු මුහුණතක් ලෙස ක්රියා කරයි. |
වගුව 9. ට්රාන්ස්සීවර් දත්ත අනුපාතය සහ ඕවරampසෑම ඔරලෝසු සංඛ්යාත පරාසයක්ම ලින්ග් සාධකය
මාදිලිය | දත්ත අනුපාතය | ඕවරampලර් 1 (ඕවර 2xample) | ඕවරampලර් 2 (ඕවර 4xample) | ඕවරample සාධකය | ඕවරampමූලික දත්ත අනුපාතය (Mbps) |
ටීඑම්ඩීඑස් | 250-1000 | On | On | 8 | 2000-8000 |
ටීඑම්ඩීඑස් | 1000-6000 | On | අක්රියයි | 2 | 2000-12000 |
FRL (ආර්එල්) | 3000 | අක්රියයි | අක්රියයි | 1 | 3000 |
FRL (ආර්එල්) | 6000 | අක්රියයි | අක්රියයි | 1 | 6000 |
FRL (ආර්එල්) | 8000 | අක්රියයි | අක්රියයි | 1 | 8000 |
FRL (ආර්එල්) | 10000 | අක්රියයි | අක්රියයි | 1 | 10000 |
FRL (ආර්එල්) | 12000 | අක්රියයි | අක්රියයි | 1 | 12000 |
Figure 8. TX Reconfiguration Sequence Flow2.5.2. HDMI RX සංරචක
HDMI RX ඉහළම කොටස් වලට RX core top-level සංරචක, විකල්ප I²C slave සහ EDID RAM, IOPLL, transceiver PHY reset controller, RX native PHY, සහ RX reconfiguration Management blocks ඇතුළත් වේ.
රූපය 9. HDMI RX Top Componentsවගුව 10. HDMI RX ඉහළ සංරචක
මොඩියුලය |
විස්තරය |
HDMI RX Core | IP විසින් Transceiver Native PHY වෙතින් අනුක්රමික දත්ත ලබා ගන්නා අතර දත්ත පෙළගැස්ම, නාලිකා ඩෙස්ක්ව්, TMDS විකේතනය, සහායක දත්ත විකේතනය, වීඩියෝ දත්ත විකේතනය, ශ්රව්ය දත්ත විකේතනය සහ ඩීස්ක්රම්බල් කිරීම සිදු කරයි. |
I2C වහල් | I2C යනු Sink Display Data Channel (DDC) සහ Status සහ Data Channel (SCDC) සඳහා භාවිතා කරන අතුරු මුහුණතයි. HDMI මූලාශ්රය වැඩි දියුණු කළ විස්තීරණ සංදර්ශක හඳුනාගැනීමේ දත්ත (E-EDID) දත්ත ව්යුහය කියවීමෙන් සින්ක්හි හැකියාවන් සහ ලක්ෂණ තීරණය කිරීමට DDC භාවිතා කරයි. E-EDID සඳහා 8-bit I2C වහල් ලිපින 0xA0 සහ 0xA1 වේ. LSB ප්රවේශ වර්ගය දක්වයි: 1 කියවීම සඳහා සහ 0 ලිවීම සඳහා. HPD සිදුවීමක් සිදු වූ විට, I2C දාසයා ඔන්-චිප් එකෙන් කියවීමෙන් E-EDID දත්ත වලට ප්රතිචාර දක්වයි. I2C වහල්-පමණි පාලකය HDMI 2.0 සහ 2.1 සඳහා SCDC සඳහා සහය දක්වයි. SCDC සඳහා 9-bit I2C වහල් ලිපිනය 0xA8 සහ 0xA9 වේ. HPD සිදුවීමක් සිදු වූ විට, I2C වහලා HDMI RX හරයේ SCDC අතුරුමුහුණත වෙත හෝ ඉන් පිටත ලිවීම හෝ කියවීම සිදු කරයි. ස්ථාවර අනුපාත සබැඳිය (FRL) සඳහා සබැඳි පුහුණු ක්රියාවලිය I2C හරහා HPD සිදුවීමකදී හෝ මූලාශ්රය FRL අනුපාත ලේඛනයට වෙනස් FRL අනුපාතයක් ලියන විට (SCDC 0x31 bit[3:0]) සම්බන්ධක පුහුණු ක්රියාවලිය ආරම්භ වේ. සටහන: HDMI 2 හෝ HDMI 2.0 අදහස් නොවේ නම් SCDC සඳහා මෙම I2.1C වහල්-පමණි පාලකය අවශ්ය නොවේ. |
EDID RAM | සැලසුම RAM 1-Port IP භාවිතයෙන් EDID තොරතුරු ගබඩා කරයි. සම්මත ද්වි-වයර් (ඔරලෝසුව සහ දත්ත) අනුක්රමික බස් ප්රොටෝකෝලය (I2C වහල්-පමණක් පාලකය) CEA-861-D අනුකූල E-EDID දත්ත ව්යුහය මාරු කරයි. මෙම EDID RAM E-EDID තොරතුරු ගබඩා කරයි. TMDS මාදිලියේ ඇති විට, නිර්මාණය TX සිට RX දක්වා EDID ගමන් මාර්ගයට සහය දක්වයි. EDID passthrough අතරතුර, TX බාහිර සින්ක් වෙත සම්බන්ධ වූ විට, Nios II ප්රොසෙසරය බාහිර සින්ක් එකෙන් EDID කියවා EDID RAM වෙත ලියයි. • FRL මාදිලියේ සිටින විට, Nios II ප්රොසෙසරය ගෝලීය.h ස්ක්රිප්ට් හි HDMI_RX_MAX_FRL_RATE පරාමිතිය මත පදනම්ව එක් එක් සබැඳි අනුපාතය සඳහා පෙර-වින්යාස කළ EDID ලියයි. සහාය දක්වන FRL අනුපාතය සඳහා පහත HDMI_RX_MAX_FRL_RATE යෙදවුම් භාවිතා කරන්න: • 1: 3G 3 මංතීරු • 2: 6G 3 මංතීරු •3: 6G 4 මංතීරු • 4: 8G 4 මංතීරු •5: 10G 4 මංතීරු (පෙරනිමිය) •6: 12G 4 මංතීරු |
IOPLL | HDMI RX IOPLL දෙකක් භාවිතා කරයි. • පළමු IOPLL (pll_tmds) RX CDR යොමු ඔරලෝසුව ජනනය කරයි. මෙම IOPLL භාවිතා වන්නේ TMDS මාදිලියේ පමණි. මෙම IOPLL හි සමුද්දේශ ඔරලෝසුවට TMDS ඔරලෝසුව ලැබේ. TMDS මාදිලිය මෙම IOPLL භාවිතා කරන්නේ CDR හට 50 MHz ට අඩු යොමු ඔරලෝසු ලබා ගත නොහැකි නිසා සහ TMDS ඔරලෝසු සංඛ්යාතය 25 MHz සිට 340 MHz දක්වා පරාසයක පවතින බැවිනි. මෙම IOPLL මඟින් 5 MHz සිට 25 MHz දක්වා සංඛ්යාත පරාසය සඳහා ආදාන සමුද්දේශ ඔරලෝසුවේ 50 ගුණයක ඔරලෝසු සංඛ්යාතයක් සපයන අතර 50 MHz සිට 340 MHz දක්වා සංඛ්යාත පරාසය සඳහා ආදාන සමුද්දේශ ඔරලෝසුවට සමාන ඔරලෝසු සංඛ්යාතයක් සපයයි. •දෙවන IOPLL (iopll_frl) RX හරය සඳහා FRL ඔරලෝසුව ජනනය කරයි. මෙම යොමු ඔරලෝසුවට CDR ප්රතිසාධන ඔරලෝසුව ලැබේ. FRL ඔරලෝසු සංඛ්යාතය = මංතීරුවකට දත්ත අනුපාතය x 4 / (ඔරලෝසුවකට FRL අක්ෂර x 18) |
සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලකය | Transceiver PHY යළි පිහිටුවීමේ පාලකය RX සම්ප්රේෂකවල විශ්වාසනීය ආරම්භයක් සහතික කරයි. මෙම පාලකයේ යළි පිහිටුවීමේ ආදානය RX ප්රතිසංවිධානය මඟින් ක්රියාරම්භ කරන අතර, එය බ්ලොක් එක තුළ ඇති යළි පිහිටුවීමේ අනුපිළිවෙල අනුව Transceiver Native PHY බ්ලොක් වෙත අනුරූප ප්රතිසම සහ ඩිජිටල් යළි පිහිටුවීමේ සංඥාව ජනනය කරයි. |
RX දේශීය PHY | බාහිර වීඩියෝ මූලාශ්රයකින් අනුක්රමික දත්ත ලබා ගන්නා Hard transceiver block. එය HDMI RX හරය වෙත දත්ත යැවීමට පෙර අනුක්රමික දත්ත සමාන්තර දත්ත බවට පත් කරයි. මෙම අවහිරය FRL මාදිලිය සඳහා වැඩිදියුණු කළ PCS මත ධාවනය වේ. RX CDR සතුව යොමු ඔරලෝසු දෙකක් ඇත. • යොමු ඔරලෝසුව 0 IOPLL TMDS (pll_tmds) හි ප්රතිදාන ඔරලෝසුවට සම්බන්ධ කර ඇති අතර එය TMDS ඔරලෝසුවෙන් ව්යුත්පන්න වේ. • යොමු ඔරලෝසුව 1 ස්ථාවර 100 MHz ඔරලෝසුවකට සම්බන්ධ වේ. TMDS මාදිලියේදී, RX CDR සමුද්දේශ ඔරලෝසුව 0 තේරීමට නැවත වින්යාස කර ඇති අතර, FRL මාදිලියේදී, RX CDR සමුද්දේශ ඔරලෝසුව 1 තේරීමට නැවත සකස් කර ඇත. |
RX Reconfiguration Management | TMDS මාදිලියේදී, RX reconfiguration management block මඟින් HDMI PLL සමඟ අනුපාත හඳුනාගැනීමේ පරිපථය ක්රියාත්මක කරයි, RX සම්ප්රේෂකය 250 Mbps සිට 6,000 Mbps දක්වා වූ ඕනෑම අත්තනෝමතික සම්බන්ධතා අනුපාතයකට ක්රියා කිරීමට ධාවනය කරයි. FRL ප්රකාරයේදී, SCDC_FRL_RATE රෙජිස්ටර් ක්ෂේත්රයේ (3x6[8:10]) FRL අනුපාතය අනුව RX සම්ප්රේෂකය RX සම්ප්රේෂකය 12 Gbps, 0 Gbps, 31 Gbps, 3 Gbps, හෝ 0 Gbps වලින් ක්රියා කිරීමට නැවත වින්යාස කරයි. RX ප්රතිසංවිධාන කළමණාකරණ වාරණ සම්මත PCS/RX අතර මාරු වේ TMDS මාදිලිය සඳහා සහ FRL මාදිලිය සඳහා වැඩි දියුණු කළ PCS. වෙත යොමු වන්න රූපය 10 22 පිටුවේ. |
Figure 10. RX Reconfiguration Sequence Flow
ආදාන දත්ත ප්රවාහය සහ සමුද්දේශ ඔරලෝසු සංඛ්යාතය ලැබෙන විට, හෝ සම්ප්රේෂකය අගුළු හරින විට පාලකයේ බහු-අනුපාත ප්රතිමානකරණ අනුපිළිවෙල ප්රවාහය රූපයෙන් දැක්වේ.2.5.3. ඉහළ මට්ටමේ පොදු කුට්ටි
ඉහළ මට්ටමේ පොදු කොටස් අතරට සම්ප්රේෂක බේරුම්කරු, RX-TX සම්බන්ධක සංරචක සහ CPU උප පද්ධතිය ඇතුළත් වේ.
වගුව 11. ඉහළ මට්ටමේ පොදු කුට්ටි
මොඩියුලය |
විස්තරය |
Transceiver බේරුම්කරු | මෙම සාමාන්ය ක්රියාකාරී කොටස එකම භෞතික නාලිකාව තුළ ඇති RX හෝ TX සම්ප්රේෂණ යන්ත්ර නැවත සකස් කිරීම අවශ්ය වූ විට සම්ප්රේෂකයන් එකවර නැවත ක්රමාංකනය කිරීමෙන් වළක්වයි. එකම නාලිකාව තුළ RX සහ TX සම්ප්රේෂක ස්වාධීන IP ක්රියාත්මක කිරීම් සඳහා පවරා ඇති යෙදුම් වලට සමගාමී ප්රතික්රමාංකනය බලපෑම් කරයි. මෙම transceiver arbiter යනු Simplex TX සහ simplex RX එකම භෞතික නාලිකාවකට ඒකාබද්ධ කිරීම සඳහා නිර්දේශිත විභේදනයේ දිගුවකි. සම්ප්රේෂකවල ප්රතිසංවිධාන අතුරුමුහුණත් තොටට ප්රවේශ විය හැක්කේ අනුපිළිවෙලින් පමණක් බැවින් නාලිකාවක් තුළ සරල RX සහ TX සම්ප්රේෂක ඉලක්ක කර Avalon® මතක සිතියම්ගත RX සහ TX ප්රතිසංවිධාන ඉල්ලීම් ඒකාබද්ධ කිරීමට සහ බේරුම් කිරීමට මෙම සම්ප්රේෂක බේරුම්කරු සහාය වේ. ට්රාන්ස්සීවර් බේරුම්කරු සහ TX/RX Native PHY/PHY Reset Controller blocks අතර අතුරු මුහුණත සම්බන්ධය මෙම සැලසුමේ හිටපුample මගින් සම්ප්රේෂක බේරුම්කරු භාවිතා කරන ඕනෑම IP සංයෝජනයක් සඳහා අදාළ වන සාමාන්ය මාදිලියක් පෙන්නුම් කරයි. නාලිකාවක RX හෝ TX සම්ප්රේෂකය පමණක් භාවිතා කරන විට සම්ප්රේෂක බේරුම්කරු අවශ්ය නොවේ. ට්රාන්ස්සීවර් බේරුම්කරු එහි Avalon මතක සිතියම්ගත ප්රතිසංවිධාන අතුරුමුහුණත් හරහා ප්රතිසංවිධානයක් ඉල්ලා සිටින්නා හඳුනාගෙන ඊට අනුරූප tx_reconfig_cal_busy හෝ rx_reconfig_cal_busy ගේට්ටු කර ඇති බව සහතික කරයි. HDMI යෙදුම් සඳහා, RX පමණක් නැවත සකස් කිරීම ආරම්භ කරයි. Avalon මතක සිතියම්ගත කළ ප්රතිසංවිධාන ඉල්ලීම බේරුම්කරු හරහා චැනල් කිරීම මගින්, බේරුම්කරු විසින් ප්රතිසංවිධාන ඉල්ලීම ආරම්භ වන්නේ RX වෙතින් බව හඳුනා ගනී, පසුව එය tx_reconfig_cal_busy ප්රකාශ කිරීමෙන් දොරටු වන අතර rx_reconfig_cal_busy හට තහවුරු කිරීමට ඉඩ සලසයි. ගේටින් TX සම්ප්රේෂකය නොදැනුවත්වම ක්රමාංකන ප්රකාරයට ගෙන යාම වළක්වයි. සටහන: HDMI හට RX නැවත වින්යාස කිරීම පමණක් අවශ්ය වන බැවින්, tx_reconfig_mgmt_* සංඥා බැඳී ඇත. එසේම, බේරුම්කරු සහ TX Native PHY බ්ලොක් අතර Avalon මතක සිතියම්ගත අතුරු මුහුණත අවශ්ය නොවේ. බ්ලොක් නිර්මාණ ex හි අතුරු මුහුණතට පවරා ඇතample |
RX-TX සබැඳිය | • RX සහ TX වීඩියෝ ඔරලෝසු වසම් හරහා DCFIFO හරහා HDMI RX core loop වෙතින් වීඩියෝ දත්ත ප්රතිදානය සහ සමමුහුර්තකරණ සංඥා. • HDMI TX හරයේ සහායක දත්ත තොට මගින් DCFIFO හරහා පසුපීඩනය හරහා ගලා යන සහායක දත්ත පාලනය කරයි. සහායක දත්ත තොටෙහි අසම්පූර්ණ සහායක පැකට්ටුවක් නොමැති බව පසු පීඩනය සහතික කරයි. • මෙම අවහිර කිරීම බාහිර පෙරීමද සිදු කරයි: — HDMI TX core සහායක දත්ත තොට වෙත සම්ප්රේෂණය කිරීමට පෙර සහායක දත්ත ප්රවාහයෙන් ශ්රව්ය දත්ත සහ ශ්රව්ය ඔරලෝසු ප්රතිජනන පැකට්ටුව පෙරහන් කරයි. - HDMI RX සහායක දත්ත වලින් ඉහළ ගතික පරාසය (HDR) InfoFrame පෙරහන් කර හිටපු එකක් ඇතුල් කරයිample HDR InfoFrame Avalon streaming multiplexer හරහා HDMI TX හි සහායක දත්ත වෙත. |
CPU උප පද්ධතිය | CPU උප පද්ධතිය SCDC සහ DDC පාලකයන් ලෙස ක්රියා කරයි, සහ ප්රභව ප්රතිසංවිධාන පාලකය ලෙස ක්රියා කරයි. • මූලාශ්ර SCDC පාලකයේ I2C ප්රධාන පාලකය අඩංගු වේ. I2C ප්රධාන පාලකය HDMI 2.0 මෙහෙයුම සඳහා SCDC දත්ත ව්යුහය FPGA මූලාශ්රයෙන් බාහිර සින්ක් වෙත මාරු කරයි. උදාහරණයක් ලෙසample, පිටතට යන දත්ත ප්රවාහය 6,000 Mbps නම්, Nios II ප්රොසෙසරය සින්ක් TMDS වින්යාස ලේඛනයේ TMDS_BIT_CLOCK_RATIO සහ SCRAMBLER_ENABLE බිටු 2 දක්වා යාවත්කාලීන කිරීමට I1C ප්රධාන පාලකයට අණ කරයි. • එම I2C මාස්ටර් HDMI මූලාශ්රය සහ බාහිර සින්ක් අතර DDC දත්ත ව්යුහය (E-EDID) ද මාරු කරයි. • Nios II CPU HDMI මූලාශ්රය සඳහා ප්රතිමානකරණ පාලකය ලෙස ක්රියා කරයි. TX හට නැවත වින්යාස කිරීම අවශ්යද යන්න තීරණය කිරීමට CPU RX Reconfiguration Management මොඩියුලයෙන් ආවර්තිතා අනුපාත හඳුනාගැනීම මත රඳා පවතී. Avalon මතක සිතියම්ගත වහල් පරිවර්තකය Nios II ප්රොසෙසරය Avalon මතක සිතියම්ගත ප්රධාන අතුරුමුහුණත සහ Avalon මතක සිතියම්ගත වහල් අතුරුමුහුණත් අතර බාහිරව ක්ෂණික HDMI මූලාශ්රයේ IOPLL සහ TX Native PHY අතුරුමුහුණත සපයයි. • බාහිර සින්ක් සමඟ I2C ප්රධාන අතුරු මුහුණත හරහා සබැඳි පුහුණුව සිදු කරන්න |
2.6 ගතික පරාසය සහ ප්රගුණ කිරීම (HDR) InfoFrame ඇතුළු කිරීම සහ පෙරීම
HDMI Intel FPGA IP නිර්මාණය example හි RX-TX ලූප්බැක් පද්ධතියක HDR InfoFrame ඇතුළත් කිරීමේ ආදර්ශනයක් ඇතුළත් වේ.
HDMI පිරිවිතර අනුවාදය 2.0b Dynamic Range සහ Mastering InfoFrame HDMI සහායක ප්රවාහය හරහා සම්ප්රේෂණය කිරීමට ඉඩ දෙයි. ප්රදර්ශනයේදී, Axiliary Packet Generator block HDR ඇතුළු කිරීමට සහය දක්වයි. ඔබට අවශ්ය වන්නේ මොඩියුලයේ සංඥා ලැයිස්තු වගුවේ දක්වා ඇති පරිදි අපේක්ෂිත HDR InfoFrame පැකට්ටුව සංයුති කිරීමට පමණක් වන අතර HDR InfoFrame ඇතුළු කිරීම සෑම වීඩියෝ රාමුවකටම වරක් සිදු වේ.
මෙම example වින්යාසය, පැමිණෙන සහායක ප්රවාහයේ දැනටමත් HDR InfoFrame ඇතුළත් වන අවස්ථා වලදී, ප්රවාහය කරන ලද HDR අන්තර්ගතය පෙරා ඇත. පෙරීම මඟින් ගැටුම්කාරී HDR තොරතුරු රාමු සම්ප්රේෂණය වීම වළක්වන අතර HDR S හි නිශ්චිතව දක්වා ඇති අගයන් පමණක් බව සහතික කරයි.ample දත්ත මොඩියුලය භාවිතා වේ.
Figure 11. RX-TX Dynamic Range සහ Mastering InfoFrame ඇතුළු කිරීම සමඟ සබැඳිය
රූපයේ දැක්වෙන්නේ Dynamic Range සහ Mastering InfoFrame HDMI TX core සහායක ප්රවාහයට ඇතුළු කිරීම ඇතුළුව RX-TX සබැඳියේ බ්ලොක් රූප සටහනයි.වගුව 12. සහායක දත්ත ඇතුළත් කිරීමේ වාරණ (aux_retransmit) සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
ඔරලෝසුව සහ යළි පිහිටුවන්න | |||
clk | ආදානය | 1 | ඔරලෝසු ආදානය. මෙම ඔරලෝසුව වීඩියෝ ඔරලෝසුවට සම්බන්ධ කළ යුතුය. |
යළි පිහිටුවන්න | ආදානය | 1 | ආදානය නැවත සකසන්න. |
සහායක පැකට් සංඥා |
|||
tx_aux_data | ප්රතිදානය | 72 | මල්ටිප්ලෙක්සර් වෙතින් TX සහායක පැකට් ප්රතිදානය. |
tx_aux_valid | ප්රතිදානය | 1 | |
tx_aux_සුදානම් | ප්රතිදානය | 1 | |
tx_aux_sop | ප්රතිදානය | 1 | |
tx_aux_eop | ප්රතිදානය | 1 | |
rx_aux_data | ආදානය | 72 | RX සහායක දත්ත මල්ටිප්ලෙක්සර් වෙත ඇතුළු වීමට පෙර පැකට් පෙරහන් මොඩියුලය වෙත යවනු ලැබේ. |
rx_aux_valid | ආදානය | 1 | |
rx_aux_sop | ආදානය | 1 | |
rx_aux_eop | ආදානය | 1 |
සං Sign ාව පාලනය කරන්න | |||
hdmi_tx_vsync | ආදානය | 1 | HDMI TX වීඩියෝ Vsync. මෙම සංඥාව සම්බන්ධක වේග ඔරලෝසු වසම වෙත සමමුහුර්ත කළ යුතුය. හරය HDR InfoFrame මෙම සංඥාවේ නැගී එන කෙළවරේ සහායක ප්රවාහයට ඇතුළු කරයි. |
වගුව 13. HDR දත්ත මොඩියුලය (altera_hdmi_hdr_infoframe) සංඥා
සංඥාව |
දිශාව | පළල |
විස්තරය |
hb0 | ප්රතිදානය | 8 | ගතික පරාසයේ ශීර්ෂක බයිට් 0 සහ තොරතුරු රාමුව ප්රගුණ කිරීම: InfoFrame වර්ගයේ කේතය. |
hb1 | ප්රතිදානය | 8 | ගතික පරාසයේ ශීර්ෂක බයිට් 1 සහ තොරතුරු රාමුව ප්රගුණ කිරීම: InfoFrame අනුවාද අංකය. |
hb2 | ප්රතිදානය | 8 | ගතික පරාසයේ ශීර්ෂ බයිට් 2 සහ ප්රගුණ කිරීමේ තොරතුරු රාමුව: තොරතුරු රාමුවේ දිග. |
pb | ආදානය | 224 | Dynamic Range සහ Mastering InfoFrame හි දත්ත බයිටය. |
වගුව 14. ගතික පරාසය සහ ප්රගුණ කිරීම InfoFrame Data Byte Bundle Bit-Fields
බිට්-ෆීල්ඩ් |
අර්ථ දැක්වීම |
ස්ථිතික පාරදත්ත වර්ගය 1 |
7:0 | දත්ත බයිට් 1: {5'h0, EOTF[2:0]} | |
15:8 | දත්ත බයිට් 2: {5'h0, Static_Metadata_Descriptor_ID[2:0]} | |
23:16 | දත්ත බයිට් 3: Static_Metadata_Descriptor | display_primaries_x[0], LSB |
31:24 | දත්ත බයිට් 4: Static_Metadata_Descriptor | display_primaries_x[0], MSB |
39:32 | දත්ත බයිට් 5: Static_Metadata_Descriptor | display_primaries_y[0], LSB |
47:40 | දත්ත බයිට් 6: Static_Metadata_Descriptor | display_primaries_y[0], MSB |
55:48 | දත්ත බයිට් 7: Static_Metadata_Descriptor | display_primaries_x[1], LSB |
63:56 | දත්ත බයිට් 8: Static_Metadata_Descriptor | display_primaries_x[1], MSB |
71:64 | දත්ත බයිට් 9: Static_Metadata_Descriptor | display_primaries_y[1], LSB |
79:72 | දත්ත බයිට් 10: Static_Metadata_Descriptor | display_primaries_y[1], MSB |
87:80 | දත්ත බයිට් 11: Static_Metadata_Descriptor | display_primaries_x[2], LSB |
95:88 | දත්ත බයිට් 12: Static_Metadata_Descriptor | display_primaries_x[2], MSB |
103:96 | දත්ත බයිට් 13: Static_Metadata_Descriptor | display_primaries_y[2], LSB |
111:104 | දත්ත බයිට් 14: Static_Metadata_Descriptor | display_primaries_y[2], MSB |
119:112 | දත්ත බයිට් 15: Static_Metadata_Descriptor | white_point_x, LSB |
127:120 | දත්ත බයිට් 16: Static_Metadata_Descriptor | white_point_x, MSB |
135:128 | දත්ත බයිට් 17: Static_Metadata_Descriptor | white_point_y, LSB |
143:136 | දත්ත බයිට් 18: Static_Metadata_Descriptor | white_point_y, MSB |
151:144 | දත්ත බයිට් 19: Static_Metadata_Descriptor | max_display_mastering_luminance, LSB |
159:152 | දත්ත බයිට් 20: Static_Metadata_Descriptor | max_display_mastering_luminance, MSB |
167:160 | දත්ත බයිට් 21: Static_Metadata_Descriptor | min_display_mastering_luminance, LSB |
175:168 | දත්ත බයිට් 22: Static_Metadata_Descriptor | min_display_mastering_luminance, MSB |
183:176 | දත්ත බයිට් 23: Static_Metadata_Descriptor | උපරිම අන්තර්ගත ආලෝක මට්ටම, LSB |
191:184 | දත්ත බයිට් 24: Static_Metadata_Descriptor | උපරිම අන්තර්ගත ආලෝක මට්ටම, MSB |
199:192 | දත්ත බයිට් 25: Static_Metadata_Descriptor | උපරිම රාමු-සාමාන්ය ආලෝක මට්ටම, LSB |
207:200 | දත්ත බයිට් 26: Static_Metadata_Descriptor | උපරිම රාමු-සාමාන්ය ආලෝක මට්ටම, MSB |
215:208 | වෙන් කර ඇත | |
223:216 | වෙන් කර ඇත |
HDR ඇතුළත් කිරීම සහ පෙරීම අක්රිය කිරීම
HDR ඇතුළු කිරීම සහ පෙරහන අක්රිය කිරීම RX-TX Retransmit නිර්මාණයේ කිසිදු වෙනස් කිරීමකින් තොරව මූලාශ්ර සහායක ප්රවාහයේ දැනටමත් පවතින HDR අන්තර්ගතය නැවත සම්ප්රේෂණය කිරීම සත්යාපනය කිරීමට ඔබට හැකියාව ලැබේ.ample.
HDR InfoFrame ඇතුළු කිරීම සහ පෙරීම අක්රිය කිරීමට:
- rxtx_link.v හි block_ext_hdr_infoframe 1'b0 ලෙස සකසන්න file සහායක ප්රවාහයෙන් HDR InfoFrame පෙරීම වැළැක්වීමට.
- altera_hdmi_aux_hdr.v හි avalon_st_multiplexer අවස්ථාවෙහි multilexer_in0_valid ලෙස සකසන්න file අමතර HDR InfoFrame සෑදීම සහ TX සහායක ප්රවාහයට ඇතුළු කිරීම සඳහා Axiliary Packet Generator වැළැක්වීම සඳහා 1'b0 දක්වා.
2.7 සැලසුම් මෘදුකාංග ප්රවාහය
සැලසුම් ප්රධාන මෘදුකාංග ප්රවාහයේදී, Nios II ප්රොසෙසරය TI රිඩ්රයිවර් සැකසුම වින්යාස කරන අතර බල-අප් මත TX සහ RX මාර්ග ආරම්භ කරයි.
රූපය 12. main.c Script හි මෘදුකාංග ප්රවාහය
සින්ක් සහ ප්රභව වෙනස්වීම් නිරීක්ෂණය කිරීමට සහ වෙනස්කම් වලට ප්රතිචාර දැක්වීමට මෘදුකාංගය වේලා ලූපය ක්රියාත්මක කරයි. මෘදුකාංගය TX ප්රතිසංවිධානය, TX සබැඳි පුහුණුව සහ වීඩියෝ සම්ප්රේෂණය ආරම්භ කළ හැක.
Figure 13. TX Path Initialization Flowchart Initialize TX PathFigure 14. RX Path Initialization Flowchart
රූපය 15. TX ප්රතිසංවිධානය සහ සබැඳි පුහුණු ප්රවාහ සටහන
Figure 16. Link Training LTS:3 ක්රියාවලිය විශේෂිත FRL අනුපාත ගැලපුම් සටහන
රූපය 17. HDMI TX වීඩියෝ සම්ප්රේෂණ ප්රවාහ සටහන
2.8 විවිධ FRL මිල ගණන් යටතේ නිර්මාණය ධාවනය කිරීම
ඔබට බාහිර සින්ක් හි පෙරනිමි FRL අනුපාතය හැර, විවිධ FRL ගාස්තු වලින් ඔබේ නිර්මාණය ධාවනය කළ හැක.
විවිධ FRL ගාස්තු යටතේ නිර්මාණය ධාවනය කිරීමට:
- ඔන්-බෝඩ් user_dipsw0 ස්විචය ON ස්ථානයට ටොගල් කරන්න.
- Nios II විධාන කවචය විවෘත කරන්න, ඉන්පසු nios2-terminal ටයිප් කරන්න
- ක්රියාත්මක කිරීමට පහත විධානයන් ඇතුළත් කර Enter ඔබන්න.
විධානය |
විස්තරය |
h | උදව් මෙනුව පෙන්වන්න. |
r0 | RX උපරිම FRL හැකියාව FRL අනුපාතය 0 වෙත යාවත්කාලීන කරන්න (TMDS පමණි). |
r1 | RX උපරිම FRL හැකියාව FRL අනුපාතය 1 (3 Gbps) වෙත යාවත්කාලීන කරන්න. |
r2 | RX උපරිම FRL හැකියාව FRL අනුපාතය 2 (6 Gbps, 3 මංතීරු) වෙත යාවත්කාලීන කරන්න. |
r3 | RX උපරිම FRL හැකියාව FRL අනුපාතය 3 (6 Gbps, 4 මංතීරු) වෙත යාවත්කාලීන කරන්න. |
r4 | RX උපරිම FRL හැකියාව FRL අනුපාතය 4 (8 Gbps) වෙත යාවත්කාලීන කරන්න. |
r5 | RX උපරිම FRL හැකියාව FRL අනුපාතය 5 (10 Gbps) වෙත යාවත්කාලීන කරන්න. |
r6 | RX උපරිම FRL හැකියාව FRL අනුපාතය 6 (12 Gbps) වෙත යාවත්කාලීන කරන්න. |
t1 | TX සබැඳි අනුපාතය FRL අනුපාතය 1 (3 Gbps) වෙත වින්යාස කරයි. |
t2 | TX සම්බන්ධක අනුපාතය FRL අනුපාතය 2 (6 Gbps, 3 මංතීරු) වෙත වින්යාස කරයි. |
t3 | TX සම්බන්ධක අනුපාතය FRL අනුපාතය 3 (6 Gbps, 4 මංතීරු) වෙත වින්යාස කරයි. |
t4 | TX සබැඳි අනුපාතය FRL අනුපාතය 4 (8 Gbps) වෙත වින්යාස කරයි. |
t5 | TX සබැඳි අනුපාතය FRL අනුපාතය 5 (10 Gbps) වෙත වින්යාස කරයි. |
t6 | TX සබැඳි අනුපාතය FRL අනුපාතය 6 (12 Gbps) වෙත වින්යාස කරයි. |
2.9 ඔරලෝසු යෝජනා ක්රමය
ඔරලෝසු ක්රමය HDMI Intel FPGA IP නිර්මාණයේ ඔරලෝසු වසම් නිදර්ශනය කරයි.ample.
Figure 18. HDMI 2.1 Design Example ඔරලෝසු යෝජනා ක්රමයවගුව 15. ඔරලෝසු යෝජනා ක්රමය සංඥා
ඔරලෝසුව |
මෝස්තරයේ සංඥා නාමය |
විස්තරය |
කළමනාකරණ ඔරලෝසුව | mgmt_clk | මෙම සංරචක සඳහා නොමිලේ ධාවනය වන 100 MHz ඔරලෝසුවක්: • නැවත සකස් කිරීම සඳහා Avalon-MM අතුරුමුහුණත් - සංඛ්යාත පරාසය අවශ්යතාවය 100- 125 MHz අතර වේ. • සම්ප්රේෂක යළි පිහිටුවීමේ අනුපිළිවෙල සඳහා PHY යළි පිහිටුවීමේ පාලකය - සංඛ්යාත පරාසය අවශ්යතාවය 1-500 MHz අතර වේ. • IOPLL නැවත සකස් කිරීම — උපරිම ඔරලෝසු සංඛ්යාතය 100 MHz වේ. • RX Reconfigration Management • TX Reconfigration Management • CPU • I2C මාස්ටර් |
I2C ඔරලෝසුව | i2c_clk | 100 MHz ඔරලෝසු ආදානය I2C වහල්, ප්රතිදාන බෆර, SCDC රෙජිස්ටර්, සහ HDMI RX core, සහ EDID RAM හි සම්බන්ධක පුහුණු ක්රියාවලිය. |
TX PLL යොමු ඔරලෝසුව 0 | tx_tmds_clk | TX PLL වෙත යොමු ඔරලෝසුව 0. ඔරලෝසු සංඛ්යාතය HDMI TX TMDS ඔරලෝසු නාලිකාවෙන් අපේක්ෂිත TMDS ඔරලෝසු සංඛ්යාතයට සමාන වේ. මෙම යොමු ඔරලෝසුව TMDS මාදිලියේ භාවිතා වේ. මෙම HDMI නිර්මාණය සඳහා example, මෙම ඔරලෝසුව ආදර්ශන අරමුණ සඳහා RX TMDS ඔරලෝසුවට සම්බන්ධ කර ඇත. ඔබගේ යෙදුමේ, වඩා හොඳ ජ්වලිත කාර්ය සාධනයක් සඳහා වැඩසටහන්ගත කළ හැකි ඔස්කිලේටරයකින් TMDS ඔරලෝසු සංඛ්යාතය සහිත කැප වූ ඔරලෝසුවක් සැපයිය යුතුය. |
සටහන: ට්රාන්ස්සීවර් RX පින් එකක් TX PLL යොමු ඔරලෝසුවක් ලෙස භාවිතා නොකරන්න. ඔබ HDMI TX refclk එක RX පින් එකක් මත තැබුවහොත් ඔබේ නිර්මාණය නොගැලපේ. | ||
TX PLL යොමු ඔරලෝසුව 1 | txfpll_refclk1/ rxphy_cdr_refclk1 | TX PLL සහ RX CDR වෙත යොමු ඔරලෝසුව, මෙන්ම vid_clk සඳහා IOPLL. ඔරලෝසු සංඛ්යාතය 100 MHz වේ. |
TX PLL අනුක්රමික ඔරලෝසුව | tx_bonding_clocks | TX PLL විසින් ජනනය කරන ලද අනුක්රමික වේගවත් ඔරලෝසුව. දත්ත අනුපාතය මත පදනම්ව ඔරලෝසු සංඛ්යාතය සකසා ඇත. |
TX Transceiver Clock Out | tx_clk | සම්ප්රේෂකයෙන් ඔරලෝසුව ප්රතිසාධනය කර ඇති අතර, දත්ත අනුපාතය සහ ඔරලෝසුවකට සංකේත අනුව සංඛ්යාතය වෙනස් වේ. TX transceiver clock out frequency = Transceiver data rate/ Transceiver width මෙම HDMI නිර්මාණය සඳහා example, නාලිකා 0 සිට TX සම්ප්රේෂක ඔරලෝසුව TX සම්ප්රේෂක හර ආදානය (tx_coreclkin), සබැඳි වේගය IOPLL (pll_hdmi) යොමු ඔරලෝසුව, සහ වීඩියෝ සහ FRL IOPLL (pll_vid_frl) යොමු ඔරලෝසුව ඔරලෝසුවේ. |
වීඩියෝ ඔරලෝසුව | tx_vid_clk/rx_vid_clk | වීඩියෝ ඔරලෝසුව TX සහ RX හරය දක්වා. ඔරලෝසුව 225 MHz ස්ථාවර සංඛ්යාතයකින් ක්රියාත්මක වේ. |
TX/RX FRL ඔරලෝසුව | tx_frl_clk/rx_frl_clk | TX සහ RX core සඳහා FRL ඔරලෝසුව. |
RX TMDS ඔරලෝසුව | rx_tmds_clk | HDMI RX සම්බන්ධකයෙන් TMDS ඔරලෝසු නාලිකාව සහ CDR යොමු ඔරලෝසුව 0 සඳහා යොමු ඔරලෝසුව ජනනය කිරීමට IOPLL වෙත සම්බන්ධ කරයි. හරය TMDS මාදිලියේ ඇති විට මෙම ඔරලෝසුව භාවිත කරයි. |
RX CDR යොමු ඔරලෝසුව 0 | rxphy_cdr_refclk0 | යොමු ඔරලෝසුව 0 සිට RX CDR දක්වා. මෙම ඔරලෝසුව RX TMDS ඔරලෝසුවෙන් ව්යුත්පන්න වී ඇත. RX TMDS ඔරලෝසු සංඛ්යාතය 25 MHz සිට 340 MHz දක්වා පරාසයක පවතින අතර RX CDR අවම යොමු ඔරලෝසු සංඛ්යාතය 50 MHz වේ. TMDS ඔරලෝසුව සඳහා 5 MHz සිට 25 MHz දක්වා ඔරලෝසු සංඛ්යාතයක් ජනනය කිරීමට IOPLL භාවිතා කරන අතර TMDS ඔරලෝසුව සඳහා 50 MHz - 50 MHz අතර එම ඔරලෝසු සංඛ්යාතය ජනනය කරයි. |
RX Transceiver Clock Out | rx_clk | සම්ප්රේෂකයෙන් ඔරලෝසුව ප්රතිසාධනය කර ඇති අතර, දත්ත අනුපාතය සහ සම්ප්රේෂක පළල අනුව සංඛ්යාතය වෙනස් වේ. RX transceiver clock out frequency = Transceiver data rate/ Transceiver width මෙම HDMI නිර්මාණය සඳහා example, RX transceiver ඔරලෝසුව නාලිකාව 1 සිට RX transceiver core input (rx_coreclkin) සහ FRL IOPLL (pll_frl) යොමු ඔරලෝසුව ඔරලෝසුවේ. |
2.10 අතුරුමුහුණත් සංඥා
වගු HDMI සැලසුම් ex සඳහා සංඥා ලැයිස්තුගත කරයිample FRL සබල කර ඇත.
වගුව 16. ඉහළ මට්ටමේ සංඥා
සංඥාව |
දිශාව | පළල |
විස්තරය |
On-board Oscillator Signal | |||
clk_fpga_b3_p | ආදානය | 1 | මූලික යොමු ඔරලෝසුව සඳහා 100 MHz නොමිලේ ධාවන ඔරලෝසුව. |
refclk4_p | ආදානය | 1 | ට්රාන්ස්සීවර් යොමු ඔරලෝසුව සඳහා 100 MHz නොමිලේ ධාවන ඔරලෝසුව. |
පරිශීලක තල්ලු බොත්තම් සහ LED | |||
user_pb | ආදානය | 3 | HDMI Intel FPGA IP සැලසුම් ක්රියාකාරිත්වය පාලනය කිරීමට බොත්තම ඔබන්න. |
cpu_resetn | ආදානය | 1 | ගෝලීය යළි පිහිටුවීම. |
user_led_g | ප්රතිදානය | 8 | හරිත LED සංදර්ශකය. වෙත යොමු කරන්න දෘඪාංග සැකසුම LED කාර්යයන් පිළිබඳ වැඩි විස්තර සඳහා 48 පිටුවේ. |
user_dipsw | ආදානය | 1 | පරිශීලක-නිර්වචනය කළ DIP ස්විචය. වෙත යොමු කරන්න දෘඪාංග සැකසුම DIP ස්විච් ක්රියාකාරිත්වය පිළිබඳ වැඩි විස්තර සඳහා 48 පිටුවේ. |
HDMI FMC Daughter Card pins on FMC Port B | |||
fmcb_gbtclk_m2c_p_0 | ආදානය | 1 | HDMI RX TMDS ඔරලෝසුව. |
fmcb_dp_m2c_p | ආදානය | 4 | HDMI RX ඔරලෝසුව, රතු, කොළ සහ නිල් දත්ත නාලිකා. |
fmcb_dp_c2m_p | ප්රතිදානය | 4 | HDMI TX ඔරලෝසුව, රතු, කොළ සහ නිල් දත්ත නාලිකා. |
fmcb_la_rx_p_9 | ආදානය | 1 | HDMI RX +5V බල හඳුනාගැනීම. |
fmcb_la_rx_p_8 | ප්රතිදානය | 1 | HDMI RX උණුසුම් ප්ලග් හඳුනාගැනීම. |
fmcb_la_rx_n_8 | ආදානය | 1 | DDC සහ SCDC සඳහා HDMI RX I2C SDA. |
fmcb_la_tx_p_10 | ආදානය | 1 | DDC සහ SCDC සඳහා HDMI RX I2C SCL. |
fmcb_la_tx_p_12 | ආදානය | 1 | HDMI TX උණුසුම් ප්ලග් හඳුනාගැනීම. |
fmcb_la_tx_n_12 | ආදානය | 1 | DDC සහ SCDC සඳහා HDMI I2C SDA. |
fmcb_la_rx_p_10 | ආදානය | 1 | DDC සහ SCDC සඳහා HDMI I2C SCL. |
fmcb_la_tx_n_9 | ආදානය | 1 | රීඩ්රයිවර් පාලනය සඳහා HDMI I2C SDA. |
fmcb_la_rx_p_11 | ආදානය | 1 | රීඩ්රයිවර් පාලනය සඳහා HDMI I2C SCL. |
fmcb_la_tx_n_13 | ප්රතිදානය | 1 | HDMI TX +5V සටහන: ලබා ගත හැක්කේ විට පමණි Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය 9 තෝරා ගනු ලැබේ. |
වගුව 17. HDMI RX ඉහළ මට්ටමේ සංඥා
සංඥාව | දිශාව | පළල | විස්තරය |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න | |||
mgmt_clk | ආදානය | 1 | පද්ධති ඔරලෝසු ආදානය (100 MHz). |
යළි පිහිටුවන්න | ආදානය | 1 | පද්ධති යළි පිහිටුවීමේ ආදානය. |
rx_tmds_clk | ආදානය | 1 | HDMI RX TMDS ඔරලෝසුව. |
i2c_clk | ආදානය | 1 | DDC සහ SCDC අතුරුමුහුණත සඳහා ඔරලෝසු ආදානය. |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න | |||
rxphy_cdr_refclk1 | ආදානය | 1 | RX CDR යොමු ඔරලෝසුව සඳහා ඔරලෝසු ආදානය 1. ඔරලෝසු සංඛ්යාතය 100 MHz වේ. |
rx_vid_clk | ප්රතිදානය | 1 | වීඩියෝ ඔරලෝසු ප්රතිදානය. |
sys_init යනු කුමක්ද? | ප්රතිදානය | 1 | බලය-අප් වීමේදී පද්ධතිය යළි පිහිටුවීම සඳහා පද්ධති ආරම්භ කිරීම. |
RX Transceiver සහ IOPLL සංඥා | |||
rxpll_tmds_locked | ප්රතිදානය | 1 | TMDS ඔරලෝසුව IOPLL අගුලු දමා ඇති බව පෙන්නුම් කරයි. |
rxpll_frl_locked | ප්රතිදානය | 1 | FRL ඔරලෝසුව IOPLL අගුලු දමා ඇති බව පෙන්නුම් කරයි. |
rxphy_serial_data | ආදානය | 4 | HDMI අනුක්රමික දත්ත RX Native PHY වෙත. |
rxphy_සුදානම් | ප්රතිදානය | 1 | RX Native PHY සූදානම් බව පෙන්නුම් කරයි. |
rxphy_cal_busy_raw | ප්රතිදානය | 4 | RX Native PHY ක්රමාංකනය සම්ප්රේෂක බේරුම්කරු වෙත කාර්යබහුලයි. |
rxphy_cal_busy_gated | ආදානය | 4 | ට්රාන්ස්සීවර් බේරුම්කරුගේ සිට RX Native PHY වෙත ක්රමාංකන කාර්ය බහුල සංඥාව. |
rxphy_rcfg_slave_write | ආදානය | 4 | Transceiver reconfiguration Avalon memory-mapped interface RX Native PHY සිට transceiver arbiter දක්වා. |
rxphy_rcfg_slave_read | ආදානය | 4 | |
rxphy_rcfg_slave_address | ආදානය | 40 | |
rxphy_rcfg_slave_writeddata | ආදානය | 128 | |
rxphy_rcfg_slave_readdata | ප්රතිදානය | 128 | |
rxphy_rcfg_slave_waitrequest | ප්රතිදානය | 4 |
RX Reconfiguration Management | |||
rxphy_rcfg_busy | ප්රතිදානය | 1 | RX Reconfigration කාර්ය බහුල සංඥාව. |
rx_tmds_freq | ප්රතිදානය | 24 | HDMI RX TMDS ඔරලෝසු සංඛ්යාතය මැනීම (10 ms). |
rx_tmds_freq_valid | ප්රතිදානය | 1 | RX TMDS ඔරලෝසු සංඛ්යාත මැනීම වලංගු බව පෙන්නුම් කරයි. |
rxphy_os | ප්රතිදානය | 1 | ඕවරampලින්ග් සාධකය: •0: 1x ඕවරampලින්ග් • 1: 5× ඕවරampලින්ග් |
rxphy_rcfg_master_write | ප්රතිදානය | 1 | RX reconfiguration management Avalon memory-mapped interface to transceiver arbiter. |
rxphy_rcfg_master_read | ප්රතිදානය | 1 | |
rxphy_rcfg_master_address | ප්රතිදානය | 12 | |
rxphy_rcfg_master_writeddata | ප්රතිදානය | 32 | |
rxphy_rcfg_master_readdata | ආදානය | 32 | |
rxphy_rcfg_master_waitrequest | ආදානය | 1 |
HDMI RX Core Signals | |||
rx_vid_clk_locked | ආදානය | 1 | vid_clk ස්ථායී බව පෙන්නුම් කරයි. |
rxcore_frl_rate | ප්රතිදානය | 4 | RX හරය ක්රියාත්මක වන FRL අනුපාතය පෙන්නුම් කරයි. • 0: Legacy Mode (TMDS) • 1: 3 Gbps 3 මංතීරු • 2: 6 Gbps 4 මංතීරු • 3: 6 Gbps 4 මංතීරු • 4: 8 Gbps 4 මංතීරු • 5: 10 Gbps 4 මංතීරු • 6: 12 Gbps 4 මංතීරු • 7-15: වෙන් කර ඇත |
rxcore_frl_locked | ප්රතිදානය | 4 | සෑම බිට් එකක්ම FRL අගුල ලබාගෙන ඇති නිශ්චිත මංතීරුව පෙන්නුම් කරයි. RX හරය සාර්ථකව පෙළගැස්වීම, ඩෙස්කියු කිරීම සහ මංතීරු අගුල ලබා ගන්නා විට FRL අගුලු දමා ඇත. • මංතීරු 3 ප්රකාරය සඳහා, අවම වශයෙන් 680 වතාවක් සෑම FRL අක්ෂර කාල පරිච්ඡේද 3ක් සඳහාම RX හරයට Scrambler Reset (SR) හෝ Start-Super-Block (SSB) ලැබෙන විට මංතීරු අගුල ලබා ගනී. • මංතීරු 4 ප්රකාරය සඳහා, අවම වශයෙන් 510 වතාවක් සෑම FRL අක්ෂර කාල පරිච්ඡේද 3ක් සඳහාම RX හරයට Scrambler Reset (SR) හෝ Start-Super-Block (SSB) ලැබෙන විට මංතීරු අගුල ලබා ගනී. |
rxcore_frl_ffe_levels | ප්රතිදානය | 4 | RX core හි SCDC 0x31 රෙජිස්ටර් බිට් [7:4] හි FFE_level බිට් එකට අනුරූප වේ. |
rxcore_frl_flt_ready | ආදානය | 1 | සම්බන්ධක පුහුණු ක්රියාවලිය ආරම්භ කිරීම සඳහා RX සූදානම් බව දැක්වීමට තහවුරු කරයි. ප්රකාශ කළ විට, SCDC රෙජිස්ටර් 0x40 bit 6 හි FLT_ready බිට් එක ද ප්රකාශ කරනු ලැබේ. |
rxcore_frl_src_test_config | ආදානය | 8 | මූලාශ්ර පරීක්ෂණ වින්යාසයන් නියම කරයි. අගය SCDC ලේඛන 0x35 හි SCDC පරීක්ෂණ වින්යාස ලේඛනයට ලියා ඇත. |
rxcore_tbcr | ප්රතිදානය | 1 | TMDS bit to clock අනුපාතය පෙන්නුම් කරයි; SCDC රෙජිස්ටර් 0x20 bit 1 හි TMDS_Bit_Clock_Ratio ලේඛනයට අනුරූප වේ. • HDMI 2.0 මාදිලියේ ධාවනය වන විට, මෙම බිට් එක තහවුරු වේ. 40:1 TMDS bit to clock අනුපාතය පෙන්නුම් කරයි. • HDMI 1.4b හි ධාවනය වන විට, මෙම බිට් එක තහවුරු නොවේ. TMDS bit to clock ratio 10:1 පෙන්නුම් කරයි. • මෙම බිට් එක FRL මාදිලිය සඳහා භාවිතා නොවේ. |
rxcore_scrambler_enable | ප්රතිදානය | 1 | ලැබුණු දත්ත අවුල් වී ඇත්නම් පෙන්නුම් කරයි; SCDC රෙජිස්ටර් 0x20 bit 0 හි ඇති Scrambling_Enable ක්ෂේත්රයට අනුරූප වේ. |
rxcore_audio_de | ප්රතිදානය | 1 | HDMI RX මූලික ශ්රව්ය අතුරුමුහුණත් වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
rxcore_audio_data | ප්රතිදානය | 256 | |
rxcore_audio_info_ai | ප්රතිදානය | 48 | |
rxcore_audio_N | ප්රතිදානය | 20 | |
rxcore_audio_CTS | ප්රතිදානය | 20 | |
rxcore_audio_metadata | ප්රතිදානය | 165 | |
rxcore_audio_format | ප්රතිදානය | 5 | |
rxcore_aux_pkt_data | ප්රතිදානය | 72 | HDMI RX මූලික සහායක අතුරුමුහුණත් වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
rxcore_aux_pkt_addr | ප්රතිදානය | 6 | |
rxcore_aux_pkt_wr | ප්රතිදානය | 1 | |
rxcore_aux_data | ප්රතිදානය | 72 | |
rxcore_aux_sop | ප්රතිදානය | 1 | |
rxcore_aux_eop | ප්රතිදානය | 1 | |
rxcore_aux_valid | ප්රතිදානය | 1 | |
rxcore_aux_error | ප්රතිදානය | 1 | |
rxcore_gcp | ප්රතිදානය | 6 | HDMI RX core සයිඩ්බෑන්ඩ් සංඥා වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
rxcore_info_avi | ප්රතිදානය | 123 | |
rxcore_info_vsi | ප්රතිදානය | 61 | |
rxcore_locked | ප්රතිදානය | 1 | HDMI RX මූලික වීඩියෝ වරායන් සටහන: එන් = ඔරලෝසුවකට පික්සල වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
rxcore_vid_data | ප්රතිදානය | N*48 | |
rxcore_vid_vsync | ප්රතිදානය | N | |
rxcore_vid_hsync | ප්රතිදානය | N | |
rxcore_vid_de | ප්රතිදානය | N | |
rxcore_vid_valid | ප්රතිදානය | 1 | |
rxcore_vid_lock | ප්රතිදානය | 1 | |
rxcore_mode | ප්රතිදානය | 1 | HDMI RX මූලික පාලනය සහ තත්ව වරායන්. සටහන: එන් = ඔරලෝසුවකට සංකේත වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
rxcore_ctrl | ප්රතිදානය | N*6 | |
rxcore_color_depth_sync | ප්රතිදානය | 2 | |
hdmi_5v_detect | ආදානය | 1 | HDMI RX 5V හඳුනාගැනීම සහ හොට්ප්ලග් හඳුනාගැනීම. වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
hdmi_rx_hpd | ප්රතිදානය | 1 | |
rx_hpd_trigger | ආදානය | 1 |
I2C සංඥා | |||
hdmi_rx_i2c_sda | ආදානය | 1 | HDMI RX DDC සහ SCDC අතුරුමුහුණත. |
hdmi_rx_i2c_scl | ආදානය | 1 |
RX EDID RAM සංඥා | |||
edid_ram_ප්රවේශය | ආදානය | 1 | HDMI RX EDID RAM ප්රවේශ අතුරුමුහුණත. |
edid_ram_address | ආදානය | 8 | ඔබට EDID RAM එකෙන් ලිවීමට හෝ කියවීමට අවශ්ය වූ විට edid_ram_access තහවුරු කරන්න, එසේ නොමැතිනම් මෙම සංඥාව අඩුවෙන් තබාගත යුතුය. ඔබ edid_ram_access තහවුරු කරන විට, hotplug සංඥාව EDID RAM වෙත ලිවීමට හෝ කියවීමට ඉඩ ලබා දේ. EDID RAM ප්රවේශය සම්පූර්ණ වූ විට, ඔබ edid_ram_assess ඉවත් කළ යුතු අතර hotplug සංඥාව තහවුරු කරයි. හොට්ප්ලග් සංඥා ටොගල් කිරීම හේතුවෙන් මූලාශ්රය නව EDID කියවනු ඇත. |
edid_ram_write | ආදානය | 1 | |
edid_ram_read | ආදානය | 1 | |
edid_ram_readdata | ප්රතිදානය | 8 | |
edid_ram_writeddata | ආදානය | 8 | |
edid_ram_waitrequest | ප්රතිදානය | 1 |
වගුව 18.HDMI TX ඉහළ මට්ටමේ සංඥා
සංඥාව | දිශාව | පළල | විස්තරය |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න | |||
mgmt_clk | ආදානය | 1 | පද්ධති ඔරලෝසු ආදානය (100 MHz). |
යළි පිහිටුවන්න | ආදානය | 1 | පද්ධති යළි පිහිටුවීමේ ආදානය. |
tx_tmds_clk | ආදානය | 1 | HDMI RX TMDS ඔරලෝසුව. |
txfpll_refclk1 | ආදානය | 1 | TX PLL යොමු ඔරලෝසුව සඳහා ඔරලෝසු ආදානය 1. ඔරලෝසු සංඛ්යාතය 100 MHz වේ. |
tx_vid_clk | ප්රතිදානය | 1 | වීඩියෝ ඔරලෝසු ප්රතිදානය. |
tx_frl_clk | ප්රතිදානය | 1 | FRL ඔරලෝසු ප්රතිදානය. |
sys_init යනු කුමක්ද? | ආදානය | 1 | බලය-අප් වීමේදී පද්ධතිය යළි පිහිටුවීම සඳහා පද්ධති ආරම්භ කිරීම. |
tx_init_done | ආදානය | 1 | TX reconfiguration management block සහ transceiver reconfiguration interface යළි පිහිටුවීම සඳහා TX ආරම්භ කිරීම. |
TX Transceiver සහ IOPLL සංඥා | |||||||||||||
txpll_frl_locked | ප්රතිදානය | 1 | සබැඳි වේග ඔරලෝසුව සහ FRL ඔරලෝසුව IOPLL අගුලු දමා ඇත. | ||||||||||
txfpll_locked | ප්රතිදානය | 1 | TX PLL අගුලු දමා ඇති බව පෙන්නුම් කරයි. | ||||||||||
txphy_serial_data | ප්රතිදානය | 4 | TX Native PHY වෙතින් HDMI අනුක්රමික දත්ත. | ||||||||||
txphy_සුදානම් | ප්රතිදානය | 1 | TX Native PHY සූදානම් බව පෙන්නුම් කරයි. | ||||||||||
txphy_cal_busy | ප්රතිදානය | 1 | TX Native PHY ක්රමාංකන කාර්යබහුල සංඥාව. | ||||||||||
txphy_cal_busy_raw | ප්රතිදානය | 4 | සම්ප්රේෂක බේරුම්කරු වෙත ක්රමාංකනය කාර්ය බහුල සංඥාව. | ||||||||||
txphy_cal_busy_gated | ආදානය | 4 | ට්රාන්ස්සීවර් බේරුම්කරු සිට TX Native PHY වෙත ක්රමාංකන කාර්ය බහුල සංඥාව. | ||||||||||
txphy_rcfg_busy | ප්රතිදානය | 1 | TX PHY නැවත සකස් කිරීම සිදුවෙමින් පවතින බව පෙන්නුම් කරයි. | ||||||||||
txphy_rcfg_slave_write | ආදානය | 4 | ට්රාන්ස්සීවර් ප්රතිසංවිධානය Avalon මතක සිතියම්ගත අතුරු මුහුණත TX Native PHY සිට සම්ප්රේෂක බේරුම්කරු දක්වා. | ||||||||||
txphy_rcfg_slave_read | ආදානය | 4 | |||||||||||
txphy_rcfg_slave_address | ආදානය | 40 | |||||||||||
|
TX Reconfiguration Management | |||
tx_tmds_freq | ආදානය | 24 | HDMI TX TMDS ඔරලෝසු සංඛ්යාත අගය (මි.ස. 10 කින්). |
tx_os | ප්රතිදානය | 2 | ඕවරampලින්ග් සාධකය: • 0: 1x ඕවරampලින්ග් •1: 2× ඕවරampලින්ග් •2: 8x ඕවරampලින්ග් |
txphy_rcfg_master_write | ප්රතිදානය | 1 | TX reconfiguration management Avalon memory-mapped interface to transceiver arbiter. |
txphy_rcfg_master_read | ප්රතිදානය | 1 | |
txphy_rcfg_master_address | ප්රතිදානය | 12 | |
txphy_rcfg_master_writeddata | ප්රතිදානය | 32 | |
txphy_rcfg_master_readdata | ආදානය | 32 | |
txphy_rcfg_master_waitrequest | ආදානය | 1 | |
tx_reconfig_done | ප්රතිදානය | 1 | TX නැවත සකස් කිරීමේ ක්රියාවලිය සම්පූර්ණ කර ඇති බව පෙන්නුම් කරයි. |
HDMI TX Core Signals | |||
tx_vid_clk_locked | ආදානය | 1 | vid_clk ස්ථායී බව පෙන්නුම් කරයි. |
txcore_ctrl | ආදානය | N*6 | HDMI TX මූලික පාලන අතුරුමුහුණත්. සටහන: එන් = ඔරලෝසුවකට පික්සල වෙත යොමු කරන්න මූලාශ්ර අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
txcore_mode | ආදානය | 1 | |
txcore_audio_de | ආදානය | 1 | HDMI TX මූලික ශ්රව්ය අතුරුමුහුණත්. වෙත යොමු කරන්න මූලාශ්ර අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
txcore_audio_mute | ආදානය | 1 | |
txcore_audio_data | ආදානය | 256 | |
txcore_audio_info_ai | ආදානය | 49 | |
txcore_audio_N | ආදානය | 20 | |
txcore_audio_CTS | ආදානය | 20 | |
txcore_audio_metadata | ආදානය | 166 | |
txcore_audio_format | ආදානය | 5 | |
txcore_aux_ready | ප්රතිදානය | 1 | HDMI TX මූලික සහායක අතුරුමුහුණත්. වෙත යොමු කරන්න මූලාශ්ර අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
txcore_aux_data | ආදානය | 72 | |
txcore_aux_sop | ආදානය | 1 | |
txcore_aux_eop | ආදානය | 1 | |
txcore_aux_valid | ආදානය | 1 | |
txcore_gcp | ආදානය | 6 | HDMI TX core සයිඩ්බෑන්ඩ් සංඥා. වෙත යොමු කරන්න මූලාශ්ර අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
txcore_info_avi | ආදානය | 123 | |
txcore_info_vsi | ආදානය | 62 | |
txcore_i2c_master_write | ආදානය | 1 | TX I2C මාස්ටර් Avalon මතක සිතියම්ගත කළ අතුරුමුහුණත TX හරය තුළ I2C මාස්ටර් වෙත. සටහන: මෙම සංඥා ලබා ගත හැක්කේ ඔබ ක්රියාත්මක කරන විට පමණි I2C ඇතුළත් කරන්න පරාමිතිය. |
txcore_i2c_master_read | ආදානය | 1 | |
txcore_i2c_master_address | ආදානය | 4 | |
txcore_i2c_master_writedata | ආදානය | 32 | |
txcore_i2c_master_readdata | ප්රතිදානය | 32 | |
txcore_vid_data | ආදානය | N*48 | HDMI TX මූලික වීඩියෝ වරායන්. සටහන: එන් = ඔරලෝසුවකට පික්සල Ref er වෙත මූලාශ්ර අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
txcore_vid_vsync | ආදානය | N | |
txcore_vid_hsync | ආදානය | N | |
txcore_vid_de | ආදානය | N | |
txcore_vid_ready | ප්රතිදානය | 1 | |
txcore_vid_overflow | ප්රතිදානය | 1 | |
txcore_vid_valid | ආදානය | 1 | |
txcore_frl_rate | ආදානය | 4 | SCDC ලියාපදිංචි අතුරුමුහුණත්. |
txcore_frl_pattern | ආදානය | 16 | |
txcore_frl_start | ආදානය | 1 | |
txcore_scrambler_enable | ආදානය | 1 | |
txcore_tbcr | ආදානය | 1 |
I2C සංඥා | |||
nios_tx_i2c_sda_in | ප්රතිදානය | 1 | Nios II ප්රොසෙසරයේ සිට ප්රතිදාන බෆරය දක්වා SCDC සහ DDC සඳහා TX I2C Master අතුරුමුහුණත. සටහන: ඔබ සක්රිය කළහොත් I2C ඇතුළත් කරන්න පරාමිතිය, මෙම සංඥා TX හරය තුළ තබා ඇති අතර මෙම මට්ටමේ දී නොපෙනේ. |
nios_tx_i2c_scl_in | ප්රතිදානය | 1 | |
nios_tx_i2c_sda_oe | ආදානය | 1 | |
nios_tx_i2c_scl_oe | ආදානය | 1 | |
nios_ti_i2c_sda_in | ප්රතිදානය | 1 | Bitec HDMI 2 FMC දුව කාඩ්පතේ TI රිඩ්රයිවර් පාලනය කිරීමට Nios II ප්රොසෙසරයේ සිට ප්රතිදාන බෆරය වෙත TX I2.1C Master අතුරුමුහුණත. |
nios_ti_i2c_scl_in | ප්රතිදානය | 1 | |
nios_ti_i2c_sda_oe | ආදානය | 1 | |
nios_ti_i2c_scl_oe | ආදානය | 1 | |
hdmi_tx_i2c_sda | ආදානය | 1 | ප්රතිදාන බෆරයේ සිට HDMI TX සම්බන්ධකය දක්වා SCDC සහ DDC අතුරුමුහුණත් සඳහා TX I2C අතුරුමුහුණත්. |
hdmi_tx_i2c_scl | ආදානය | 1 | |
hdmi_tx_ti_i2c_sda | ආදානය | 1 | Bitec HDMI 2 FMC දුව කාඩ්පතේ ප්රතිදාන බෆරයේ සිට TI රිඩ්රයිවර් වෙත TX I2.1C අතුරුමුහුණත්. |
hdmi_tx_ti_i2c_scl | ආදානය | 1 |
tx_hpd_req | ප්රතිදානය | 1 | HDMI TX හොට්ප්ලග් අතුරුමුහුණත් හඳුනාගැනීම. |
hdmi_tx_hpd_n | ආදානය | 1 |
වගුව 19. සම්ප්රේෂක බේරුම්කරු සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
clk | ආදානය | 1 | නැවත සකස් කිරීමේ ඔරලෝසුව. මෙම ඔරලෝසුව නැවත සකස් කිරීමේ කළමනාකරණ කොටස් සමඟ එකම ඔරලෝසුව බෙදාගත යුතුය. |
යළි පිහිටුවන්න | ආදානය | 1 | සංඥාව නැවත සකසන්න. මෙම යළි පිහිටුවීම ප්රතිනිර්මාණය කළමණාකරණ කොටස් සමඟ එකම යළි පිහිටුවීම බෙදාගත යුතුය. |
rx_rcfg_en | ආදානය | 1 | RX ප්රතිසංවිධානය සංඥා සක්රීය කරයි. |
tx_rcfg_en | ආදානය | 1 | TX ප්රතිසංවිධානය සංඥා සක්රීය කරයි. |
rx_rcfg_ch | ආදානය | 2 | RX හරය මත නැවත සකස් කළ යුත්තේ කුමන නාලිකාවද යන්න දක්වයි. මෙම සංඥාව සැමවිටම ස්ථිරව පැවතිය යුතුය. |
tx_rcfg_ch | ආදානය | 2 | TX හරය මත නැවත සකස් කළ යුත්තේ කුමන නාලිකාවද යන්න දක්වයි. මෙම සංඥාව සැමවිටම ස්ථිරව පැවතිය යුතුය. |
rx_reconfig_mgmt_write | ආදානය | 1 | RX ප්රතිසංවිධාන කළමණාකරණයෙන් Avalon මතක සිතියම්ගත අතුරුමුහුණත් නැවත සකස් කිරීම. |
rx_reconfig_mgmt_read | ආදානය | 1 | |
rx_reconfig_mgmt_address | ආදානය | 10 | |
rx_reconfig_mgmt_writeddata | ආදානය | 32 | |
rx_reconfig_mgmt_readdata | ප්රතිදානය | 32 | |
rx_reconfig_mgmt_waitrequest | ප්රතිදානය | 1 | |
tx_reconfig_mgmt_write | ආදානය | 1 | TX ප්රතිසංවිධාන කළමණාකරණයෙන් Avalon මතක සිතියම්ගත අතුරුමුහුණත් නැවත සකස් කිරීම. |
tx_reconfig_mgmt_read | ආදානය | 1 | |
tx_reconfig_mgmt_address | ආදානය | 10 | |
tx_reconfig_mgmt_writeddata | ආදානය | 32 | |
tx_reconfig_mgmt_readdata | ප්රතිදානය | 32 | |
tx_reconfig_mgmt_waitrequest | ප්රතිදානය | 1 | |
reconfig_write | ප්රතිදානය | 1 | සම්ප්රේෂකය වෙත Avalon මතක සිතියම්ගත අතුරුමුහුණත් නැවත සකස් කිරීම. |
reconfig_read | ප්රතිදානය | 1 | |
reconfig_address | ප්රතිදානය | 10 | |
reconfig_writedata | ප්රතිදානය | 32 | |
rx_reconfig_readdata | ආදානය | 32 | |
rx_reconfig_waitrequest | ආදානය | 1 | |
tx_reconfig_readdata | ආදානය | 1 | |
tx_reconfig_waitrequest | ආදානය | 1 |
rx_cal_busy | ආදානය | 1 | RX සම්ප්රේෂකයෙන් ක්රමාංකන තත්ව සංඥාව. |
tx_cal_busy | ආදානය | 1 | TX සම්ප්රේෂකයෙන් ක්රමාංකන තත්ව සංඥාව. |
rx_reconfig_cal_busy | ප්රතිදානය | 1 | RX සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලනයට ක්රමාංකන තත්ව සංඥාව. |
tx_reconfig_cal_busy | ප්රතිදානය | 1 | TX සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලනයෙන් ක්රමාංකන තත්ව සංඥාව. |
වගුව 20. RX-TX සම්බන්ධක සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
vid_clk | ආදානය | 1 | HDMI වීඩියෝ ඔරලෝසුව. |
rx_vid_lock | ආදානය | 3 | HDMI RX වීඩියෝ අගුළු තත්ත්වය පෙන්නුම් කරයි. |
rx_vid_valid | ආදානය | 1 | HDMI RX වීඩියෝ අතුරුමුහුණත්. |
rx_vid_de | ආදානය | N | |
rx_vid_hsync | ආදානය | N | |
rx_vid_vsync | ආදානය | N | |
rx_vid_data | ආදානය | N*48 | |
rx_aux_eop | ආදානය | 1 | HDMI RX සහායක අතුරුමුහුණත්. |
rx_aux_sop | ආදානය | 1 | |
rx_aux_valid | ආදානය | 1 | |
rx_aux_data | ආදානය | 72 | |
tx_vid_de | ප්රතිදානය | N | HDMI TX වීඩියෝ අතුරුමුහුණත්. සටහන: එන් = ඔරලෝසුවකට පික්සල |
tx_vid_hsync | ප්රතිදානය | N | |
tx_vid_vsync | ප්රතිදානය | N | |
tx_vid_data | ප්රතිදානය | එන් * 48 | |
tx_vid_valid | ප්රතිදානය | 1 | |
tx_vid_සුදානම් | ආදානය | 1 | |
tx_aux_eop | ප්රතිදානය | 1 | HDMI TX සහායක අතුරුමුහුණත්. |
tx_aux_sop | ප්රතිදානය | 1 | |
tx_aux_valid | ප්රතිදානය | 1 | |
tx_aux_data | ප්රතිදානය | 72 | |
tx_aux_සුදානම් | ආදානය | 1 |
වගුව 21. වේදිකා නිර්මාණකරු පද්ධති සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
cpu_clk_in_clk_clk | ආදානය | 1 | CPU ඔරලෝසුව. |
cpu_rst_in_reset_reset | ආදානය | 1 | CPU යළි පිහිටුවීම. |
edid_ram_slave_translator_avalon_anti_slave_0_address | ප්රතිදානය | 8 | EDID RAM ප්රවේශ අතුරුමුහුණත්. |
edid_ram_slave_translator_avalon_anti_slave_0_write | ප්රතිදානය | 1 | |
edid_ram_slave_translator_avalon_anti_slave_0_read | ප්රතිදානය | 1 | |
edid_ram_slave_translator_avalon_anti_slave_0_readdata | ආදානය | 8 | |
edid_ram_slave_translator_avalon_anti_slave_0_writeddata | ප්රතිදානය | 8 | |
edid_ram_slave_translator_avalon_anti_slave_0_waitrequest | ආදානය | 1 | |
hdmi_i2c_master_i2c_serial_sda_in | ආදානය | 1 | I2C Master අතුරුමුහුණත් Nios II ප්රොසෙසරයේ සිට DDC සහ SCDC පාලනය සඳහා ප්රතිදාන බෆරය දක්වා. |
hdmi_i2c_master_i2c_serial_scl_in | ආදානය | 1 | |
hdmi_i2c_master_i2c_serial_sda_oe | ප්රතිදානය | 1 | |
hdmi_i2c_master_i2c_serial_scl_oe | ප්රතිදානය | 1 | |
reddriver_i2c_master_i2c_serial_sda_in | ආදානය | 1 | I2C Master අතුරුමුහුණත් Nios II ප්රොසෙසරයේ සිට TI redriver සැකසුම් වින්යාසය සඳහා ප්රතිදාන බෆරය දක්වා. |
redriver_i2c_master_i2c_serial_scl_in | ආදානය | 1 | |
redriver_i2c_master_i2c_serial_sda_oe | ප්රතිදානය | 1 | |
redriver_i2c_master_i2c_serial_scl_oe | ප්රතිදානය | 1 | |
pio_in0_external_connection_export | ආදානය | 32 | සමාන්තර ආදාන ප්රතිදාන අතුරුමුහුණත්. • Bit 0: EDID passthrough මාදිලිය පාලනය කිරීමට user_dipsw සංඥාවට සම්බන්ධ කර ඇත. •බිට් 1: TX HPD ඉල්ලීම •බිට් 2: TX සම්ප්රේෂකය සූදානම් •Bits 3: TX නැවත සකස් කිරීම සිදු කර ඇත •බිටු 4–7: වෙන් කර ඇත • බිටු 8-11: RX FRL අනුපාතය • බිට් 12: RX TMDS බිට් ඔරලෝසු අනුපාතය • බිටු 13–16: RX FRL අගුලු දමා ඇත • බිටු 17-20: RX FFE මට්ටම් • බිට් 21: RX පෙළගැස්ම අගුලු දමා ඇත |
සංඥාව | දිශාව | පළල | විස්තරය |
•බිට් 22: RX වීඩියෝ අගුල • බිට් 23: බාහිර සින්ක් වෙතින් SCDC ලේඛන කියවීමට පරිශීලක තල්ලු බොත්තම 2 •බිටු 24–31: වෙන් කර ඇත |
|||
pio_out0_external_connection_export | ප්රතිදානය | 32 | සමාන්තර ආදාන ප්රතිදාන අතුරුමුහුණත්. •Bit 0: TX HPD පිළිගැනීම •Bit 1: TX ආරම්භ කිරීම සිදු කෙරේ • බිටු 2–7: වෙන් කර ඇත • බිටු 8-11: TX FRL අනුපාතය •බිට් 12-27: TX FRL සම්බන්ධක පුහුණු රටාව • බිට් 28: TX FRL ආරම්භය • බිටු 29–31: වෙන් කර ඇත |
pio_out1_external_connection_export | ප්රතිදානය | 32 | සමාන්තර ආදාන ප්රතිදාන අතුරුමුහුණත්. • බිට් 0: RX EDID RAM ප්රවේශය • බිට් 1: RX FLT සූදානම් • බිටු 2–7: වෙන් කර ඇත • බිටු 8-15: RX FRL මූලාශ්ර පරීක්ෂණ වින්යාසය •බිටු 16–31: වෙන් කර ඇත |
2.1 1. RTL පරාමිතීන් සැලසුම් කරන්න
සැලසුම් ex අභිරුචිකරණය කිරීමට HDMI TX සහ RX Top RTL පරාමිති භාවිතා කරන්නample.
බොහෝ සැලසුම් පරාමිතීන් ලබා ගත හැකිය නිර්මාණ Example HDMI Intel FPGA IP පරාමිති සංස්කාරකයේ ටැබය. ඔබට තවමත් හිටපු මෝස්තරය වෙනස් කළ හැකියampRTL පරාමිති හරහා පරාමිති සංස්කාරකයේ ඔබ විසින් සාදන ලද සැකසුම්.
වගුව 22. HDMI RX ඉහළ පරාමිතීන්
පරාමිතිය |
වටිනාකම |
විස්තරය |
SUPPORT_DEEP_COLOR | • 0: ගැඹුරු වර්ණයක් නැත •: ගැඹුරු වර්ණය |
හරයට ගැඹුරු වර්ණ ආකෘති සංකේතනය කළ හැකිද යන්න තීරණය කරයි. |
SUPPORT_AUXILIARY | • 0: AUX නැත •1: AUX |
සහායක නාලිකා කේතනය ඇතුළත් වේද යන්න තීරණය කරයි. |
SYMBOLS_PER_CLOCK | 8 | Intel Arria 8 උපාංග සඳහා ඔරලෝසුවකට සංකේත 10ක් සඳහා සහය දක්වයි. |
SUPPORT_AUDIO | • 0: ශ්රව්ය නැත • 1: ශ්රව්ය |
හරයට ශ්රව්ය කේතනය කළ හැකිද යන්න තීරණය කරයි. |
EDID_RAM_ADDR_WIDTH | 8 (පෙරනිමි අගය) | EDID RAM ප්රමාණයේ ලොග් පාදය 2. |
BITEC_DAUGHTER_CARD_REV | •0: කිසිම Bitec HDMI දුව කාඩ්පතක් ඉලක්ක කර නොගැනීම •4: Bitec HDMI දුව කාඩ්පත් සංශෝධනයට සහය දක්වයි 4 •6: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය ඉලක්ක කර ගැනීම 6 • 11: ඉලක්ක කිරීම Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය 11 (පෙරනිමිය) |
භාවිතා කරන ලද Bitec HDMI දුව කාඩ්පතේ සංශෝධනය සඳහන් කරයි. ඔබ සංශෝධනය වෙනස් කරන විට, සැලසුම මගින් සම්ප්රේෂක නාලිකා මාරු කර Bitec HDMI දියණිය කාඩ්පත් අවශ්යතා අනුව ධ්රැවීයතාව ප්රතිලෝම කළ හැක. ඔබ BITEC_DAUGHTER_CARD_REV පරාමිතිය 0 ලෙස සකසන්නේ නම්, සැලසුම සම්ප්රේෂක නාලිකා සහ ධ්රැවීයතාවට කිසිදු වෙනසක් නොකරයි. |
POLARITY_INVERSION | • 0: ප්රතිලෝම ධ්රැවීයතාව • 1: ධ්රැවීයතාව ප්රතිලෝම නොකරන්න |
ආදාන දත්තවල එක් එක් බිටු අගය පෙරළීමට මෙම පරාමිතිය 1 ලෙස සකසන්න. මෙම පරාමිතිය 1 ලෙස සැකසීම RX සම්ප්රේෂකයේ rx_polinv තොටට 4'b1111 පවරයි. |
වගුව 23. HDMI TX ඉහළ පරාමිතීන්
පරාමිතිය |
වටිනාකම |
විස්තරය |
USE_FPLL | 1 | Intel Arria 10 උපාංග සඳහා පමණක් TX PLL ලෙස fPLL සඳහා සහය දක්වයි. සෑම විටම මෙම පරාමිතිය 1 ලෙස සකසන්න. |
SUPPORT_DEEP_COLOR | •0: ගැඹුරු වර්ණයක් නැත
• 1: ගැඹුරු වර්ණය |
හරයට ගැඹුරු වර්ණ ආකෘති සංකේතනය කළ හැකිද යන්න තීරණය කරයි. |
SUPPORT_AUXILIARY | • 0: AUX නැත • 1: AUX |
සහායක නාලිකා කේතනය ඇතුළත් වේද යන්න තීරණය කරයි. |
SYMBOLS_PER_CLOCK | 8 | Intel Arria 8 උපාංග සඳහා ඔරලෝසුවකට සංකේත 10ක් සඳහා සහය දක්වයි. |
SUPPORT_AUDIO | • 0: ශ්රව්ය නැත • 1: ශ්රව්ය |
හරයට ශ්රව්ය කේතනය කළ හැකිද යන්න තීරණය කරයි. |
BITEC_DAUGHTER_CARD_REV | • 0: කිසිදු Bitec HDMI දියණියක කාඩ්පතක් ඉලක්ක කර නොගැනීම • 4: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනයට සහය දක්වයි 4 • 6: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය ඉලක්ක කර ගැනීම 6 • 11: ඉලක්ක කිරීම Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය 11 (පෙරනිමිය) |
භාවිතා කරන ලද Bitec HDMI දුව කාඩ්පතේ සංශෝධනය සඳහන් කරයි. ඔබ සංශෝධනය වෙනස් කරන විට, සැලසුම මගින් සම්ප්රේෂක නාලිකා මාරු කර Bitec HDMI දියණිය කාඩ්පත් අවශ්යතා අනුව ධ්රැවීයතාව ප්රතිලෝම කළ හැක. ඔබ BITEC_DAUGHTER_CARD_REV පරාමිතිය 0 ලෙස සකසන්නේ නම්, සැලසුම සම්ප්රේෂක නාලිකා සහ ධ්රැවීයතාවට කිසිදු වෙනසක් නොකරයි. |
POLARITY_INVERSION | • 0: ප්රතිලෝම ධ්රැවීයතාව • 1: ධ්රැවීයතාව ප්රතිලෝම නොකරන්න |
ආදාන දත්තවල එක් එක් බිටු අගය පෙරළීමට මෙම පරාමිතිය 1 ලෙස සකසන්න. මෙම පරාමිතිය 1 ලෙස සැකසීම TX සම්ප්රේෂකයේ tx_polinv තොටට 4'b1111 පවරයි. |
2.12. දෘඪාංග සැකසුම
HDMI FRL-සබල කළ නිර්මාණය example HDMI 2.1 හැකියාව ඇති අතර සම්මත HDMI වීඩියෝ ප්රවාහයක් සඳහා loopthrough demonstration සිදු කරයි.
දෘඪාංග පරීක්ෂණය ක්රියාත්මක කිරීමට, HDMI අතුරුමුහුණත සහිත චිත්රක කාඩ්පතක් වැනි HDMI-සක්රීය උපාංගයක් HDMI සින්ක් ආදානයට සම්බන්ධ කරන්න. සැලසුම HDMI 2.1 හෝ HDMI 2.0/1.4b මූලාශ්ර සහ සින්ක් යන දෙකටම සහය දක්වයි.
- HDMI සින්ක් වරාය සම්මත වීඩියෝ ප්රවාහයකට විකේතනය කර ඔරලෝසු ප්රතිසාධන හරය වෙත යවයි.
- HDMI RX හරය DCFIFO හරහා HDMI TX හරයට සමාන්තරව නැවත ලූප් කිරීමට වීඩියෝ, සහායක සහ ශ්රව්ය දත්ත විකේතනය කරයි.
- FMC දියණිය කාඩ්පතේ HDMI මූලාශ්ර තොට රූපය මොනිටරයකට සම්ප්රේෂණය කරයි.
සටහන:
ඔබට වෙනත් Intel FPGA සංවර්ධන පුවරුවක් භාවිතා කිරීමට අවශ්ය නම්, ඔබ උපාංග පැවරුම් සහ පින් පැවරුම් වෙනස් කළ යුතුය. ට්රාන්ස්සීවර් ඇනලොග් සැකසුම Intel Arria 10 FPGA සංවර්ධන කට්ටලය සහ Bitec HDMI 2.1 දියණිය කාඩ්පත සඳහා පරීක්ෂා කෙරේ. ඔබට ඔබේම පුවරුව සඳහා සැකසුම් වෙනස් කළ හැකිය.
වගුව 24. ඔන්-බෝඩ් තල්ලු බොත්තම සහ පරිශීලක LED කාර්යයන්
තල්ලු බොත්තම / LED |
කාර්යය |
cpu_resetn | පද්ධති යළි පිහිටුවීම සිදු කිරීමට වරක් ඔබන්න. |
user_dipsw | පාස්ත්රෝ ප්රකාරය ටොගල් කිරීමට පරිශීලක-නිර්වචනය කළ DIP ස්විචය. •OFF (පෙරනිමි ස්ථානය) = Passthrough FPGA හි HDMI RX බාහිර සින්ක් වෙතින් EDID ලබාගෙන එය සම්බන්ධ කර ඇති බාහිර මූලාශ්රය වෙත ඉදිරිපත් කරයි. • ON = ඔබට Nios II පර්යන්තයෙන් RX උපරිම FRL අනුපාතය පාලනය කළ හැක. උපරිම FRL අනුපාත අගය හැසිරවීමෙන් විධානය RX EDID වෙනස් කරයි. විවිධ FRL අනුපාත සැකසීම පිළිබඳ වැඩි විස්තර සඳහා 33 පිටුවේ විවිධ FRL අනුපාතවලින් නිර්මාණය ධාවනය කිරීම වෙත යොමු වන්න. |
user_pb[0] | HPD සංඥාව සම්මත HDMI මූලාශ්රයට ටොගල් කිරීමට වරක් ඔබන්න. |
user_pb[1] | වෙන් කර ඇත. |
user_pb[2] | Bitec HDMI 2.1 FMC දුව කාඩ්පතේ TX වෙත සම්බන්ධ කර ඇති සින්ක් වෙතින් SCDC ලේඛන කියවීමට වරක් ඔබන්න. සටහන: කියවීම සබල කිරීමට, ඔබ මෘදුකාංගයේ DEBUG_MODE 1 ලෙස සැකසිය යුතුය. |
USER_LED[0] | RX TMDS ඔරලෝසු PLL අගුලු තත්ත්වය. •0 = අගුළු හරින ලදී • 1 = අගුලු දමා ඇත |
USER_LED[1] | RX transceiver සූදානම් තත්ත්වය. •0 = සූදානම් නැත • 1 = සූදානම් |
USER_LED[2] | RX සබැඳි වේග ඔරලෝසුව PLL, සහ RX වීඩියෝ සහ FRL ඔරලෝසු PLL අගුලු තත්ත්වය. • 0 = RX ඔරලෝසු PLL වලින් එකක් අගුළු හැර ඇත • 1 = RX ඔරලෝසු PLL දෙකම අගුලු දමා ඇත |
USER_LED[3] | RX HDMI මූලික පෙළගැස්ම සහ ඩෙස්ක් අගුළු තත්ත්වය. • 0 = අවම වශයෙන් 1 නාලිකාවක් අගුලු හැර ඇත • 1 = සියලුම නාලිකා අගුලු දමා ඇත |
USER_LED[4] | RX HDMI වීඩියෝ අගුළු තත්ත්වය. • 0 = අගුළු හරින ලදී • 1 = අගුලු දමා ඇත |
USER_LED[5] | TX සබැඳි වේග ඔරලෝසුව PLL, සහ TX වීඩියෝ සහ FRL ඔරලෝසු PLL අගුළු තත්ත්වය. •0 = TX ඔරලෝසු PLL වලින් එකක් අගුළු හැර ඇත • 1 = TX ඔරලෝසු PLL දෙකම අගුලු දමා ඇත |
USER_LED[6] USER_LED[7] | TX transceiver සූදානම් තත්ත්වය. • 0 = සූදානම් නැත • 1 = සූදානම් TX සබැඳි පුහුණු තත්ත්වය. • 0 = අසාර්ථකයි • 1 = සමත් |
2.13 සමාකරණ පරීක්ෂණ බංකුව
සමාකරණ පරීක්ෂණ බංකුව HDMI TX අනුක්රමික පුඩුව RX හරයට අනුකරණය කරයි.
සටහන:
මෙම සමාකරණ පරීක්ෂණ බංකුව Include I2C පරාමිතිය සබල කර ඇති මෝස්තර සඳහා සහය නොදක්වයි.
රූපය 19. HDMI Intel FPGA IP Simulation Testbench Block Diagramවගුව 25. ටෙස්ට් බංකු සංරචක
සංරචකය |
විස්තරය |
වීඩියෝ TPG | වීඩියෝ පරීක්ෂණ රටා උත්පාදක යන්ත්රය (TPG) වීඩියෝ උත්තේජනය සපයයි. |
ශ්රව්ය එස්ampලෙ ජෙනරාල් | ශ්රව්ය එස්ample generator ශ්රව්ය s සපයයිample උත්තේජනය. උත්පාදක යන්ත්රය ශ්රව්ය නාලිකාව හරහා සම්ප්රේෂණය කිරීමට වැඩිවන පරීක්ෂණ දත්ත රටාවක් ජනනය කරයි. |
ඕක්ස් එස්ampලෙ ජෙනරාල් | aux sample generator සහායක s සපයයිample උත්තේජනය. උත්පාදක යන්ත්රය සම්ප්රේෂකයෙන් සම්ප්රේෂණය කිරීමට ස්ථාවර දත්ත ජනනය කරයි. |
CRC පරීක්ෂාව | TX සම්ප්රේෂකය ප්රතිසාධනය කරන ලද ඔරලෝසු සංඛ්යාතය අපේක්ෂිත දත්ත අනුපාතයට ගැළපෙන්නේ දැයි මෙම පරීක්ෂකය සත්යාපනය කරයි. |
ශ්රව්ය දත්ත පරීක්ෂා කිරීම | ශ්රව්ය දත්ත පරීක්ෂාව වර්ධක පරීක්ෂණ දත්ත රටාව නිවැරදිව ලැබී තිබේද සහ විකේතනය කර තිබේද යන්න සංසන්දනය කරයි. |
Aux දත්ත පරීක්ෂා කිරීම | aux දත්ත පරීක්ෂාව මඟින් අපේක්ෂිත aux දත්ත ලැබී තිබේද සහ ග්රාහක පැත්තේ නිවැරදිව විකේතනය කර තිබේද යන්න සංසන්දනය කරයි. |
HDMI සමාකරණ පරීක්ෂණ බංකුව පහත සත්යාපන පරීක්ෂණ සිදු කරයි:
HDMI විශේෂාංගය |
සත්යාපනය |
වීඩියෝ දත්ත | • පරීක්ෂණ බංකුව ආදාන සහ ප්රතිදාන වීඩියෝව මත CRC පරීක්ෂා කිරීම ක්රියාත්මක කරයි. • එය ලැබුණු වීඩියෝ දත්තවල ගණනය කර ඇති CRC වලට එරෙහිව සම්ප්රේෂණය කරන ලද දත්තවල CRC අගය පරීක්ෂා කරයි. • පරීක්ෂණ බංකුව ග්රාහකයෙන් ස්ථායී V-SYNC සංඥා 4ක් හඳුනා ගැනීමෙන් පසුව පරීක්ෂා කිරීම සිදු කරයි. |
සහායක දත්ත | • aux sample generator සම්ප්රේෂකයෙන් සම්ප්රේෂණය කිරීමට ස්ථාවර දත්ත ජනනය කරයි. • ග්රාහක පැත්තේ, උත්පාදක යන්ත්රය අපේක්ෂිත සහායක දත්ත ලබාගෙන නිවැරදිව විකේතනය කර තිබේද යන්න සංසන්දනය කරයි. |
ශ්රව්ය දත්ත | •ශ්රව්ය එස්ample generator ශ්රව්ය නාලිකාව හරහා සම්ප්රේෂණය කිරීම සඳහා වැඩිවන පරීක්ෂණ දත්ත රටාවක් ජනනය කරයි. • ග්රාහක පැත්තේ, ශ්රව්ය දත්ත පරීක්ෂකය වර්ධක පරීක්ෂණ දත්ත රටාව නිවැරදිව ලබාගෙන විකේතනය කර ඇත්ද යන්න පරීක්ෂා කර සංසන්දනය කරයි. |
සාර්ථක අනුකරණයක් පහත පණිවිඩය සමඟ අවසන් වේ:
# SYMBOLS_PER_CLOCK = 2
# VIC = 4
# FRL_RATE = 0
# BPP = 0
# AUDIO_FREQUENCY (kHz) = 48
# AUDIO_CHANNEL = 8
# සිමියුලේෂන් පාස්
වගුව 26. HDMI Intel FPGA IP නිර්මාණය Example සහය දක්වන සිමියුලේටර්
සිමියුලේටරය |
වෙරිලොග් HDL |
වීඑච්ඩීඑල් |
ModelSim - Intel FPGA සංස්කරණය/ ModelSim - Intel FPGA ආරම්භක සංස්කරණය | ඔව් | ඔව් |
VCS/VCS MX | ඔව් | ඔව් |
රිවීරා-PRO | ඔව් | ඔව් |
Xcelium සමාන්තර | ඔව් | නැත |
2.14. සැලසුම් සීමාවන්
ඔබ HDMI 2.1 නිර්මාණය ex ස්ථාපන කිරීමේදී යම් සීමාවන් සලකා බැලිය යුතුයample.
- TX හට TMDS මාදිලියේ ක්රියා කිරීමට නොහැකි වේ. TMDS ප්රකාරයේදී පරීක්ෂා කිරීමට, user_dipsw ස්විචය නැවත passthrough ප්රකාරයට ටොගල් කරන්න.
- Nios II ප්රොසෙසරය වෙනත් ක්රියාවලීන්ගෙන් කිසිදු බාධාවකින් තොරව TX සම්බන්ධක පුහුණුව අවසන් කිරීමට සේවය කළ යුතුය.
2.15 නිදොස් කිරීමේ විශේෂාංග
මෙම නිර්මාණය හිටපුample ඔබට සහාය වීමට ඇතැම් නිදොස් කිරීමේ විශේෂාංග සපයයි.
2.15.1. මෘදුකාංග නිදොස් කිරීමේ පණිවිඩය
ඔබට ධාවන කාල සහාය ලබා දීමට මෘදුකාංගයේ නිදොස් කිරීමේ පණිවිඩය ක්රියාත්මක කළ හැක.
මෘදුකාංගයේ නිදොස් කිරීමේ පණිවිඩය සක්රිය කිරීමට, මෙම පියවර අනුගමනය කරන්න:
- DEBUG_MODE ගෝලීය.h ස්ක්රිප්ට් එකේ 1 ට වෙනස් කරන්න.
- Nios II Command Shell මත script/build_sw.sh ධාවනය කරන්න.
- උත්පාදනය කරන ලද මෘදුකාංගය/tx_control/tx_control.elf නැවත වැඩසටහන්ගත කරන්න file Nios II Command Shell මත විධානය ක්රියාත්මක කිරීමෙන්:
nios2-download -r -g මෘදුකාංගය/tx_control/tx_control.elf - Nios II Command Shell මත Nios II ටර්මිනල් විධානය ක්රියාත්මක කරන්න:
nios2-පර්යන්තය
ඔබ නිදොස් කිරීමේ පණිවිඩය සක්රිය කළ විට, පහත තොරතුරු මුද්රණය වේ:
- TX සහ RX යන දෙකෙහිම TI redriver සිටුවම් ELF ක්රමලේඛනය කිරීමෙන් පසු වරක් කියවා පෙන්වනු ලැබේ file.
- RX EDID වින්යාසය සහ හොට්ප්ලග් ක්රියාවලිය සඳහා තත්ත්ව පණිවිඩය
- TX වෙත සම්බන්ධ සින්ක් මත EDID වෙතින් උපුටා ගන්නා ලද FRL සහාය තොරතුරු සමඟ හෝ රහිතව විභේදනය. මෙම තොරතුරු සෑම TX හොට්ප්ලග් එකක් සඳහාම දර්ශනය වේ.
- TX සබැඳි පුහුණුව අතරතුර TX සබැඳි පුහුණු ක්රියාවලිය සඳහා තත්ත්ව පණිවිඩය.
2.15.2. TX වෙත සම්බන්ධ සින්ක් වෙතින් SCDC තොරතුරු
SCDC තොරතුරු ලබා ගැනීමට ඔබට මෙම විශේෂාංගය භාවිතා කළ හැක.
- Nios II පර්යන්ත විධානය Nios II Command Shell මත ධාවනය කරන්න: nios2-terminal
- Intel Arria 2 FPGA සංවර්ධන කට්ටලය මත user_pb[10] ඔබන්න.
මෘදුකාංගය Nios II පර්යන්තයේ TX වෙත සම්බන්ධ කර ඇති සින්ක් මත SCDC තොරතුරු කියවා පෙන්වයි.
2.15.3. ඔරලෝසු සංඛ්යාත මැනීම
විවිධ ඔරලෝසු සඳහා සංඛ්යාතය පරීක්ෂා කිරීමට මෙම විශේෂාංගය භාවිතා කරන්න.
- hdmi_rx_top සහ hdmi_tx_top හි files, uncomment “//`DEBUG_EN 1 අර්ථ දක්වන්න”.
- එක් එක් ඔරලෝසුවේ ඔරලෝසු සංඛ්යාතය ලබා ගැනීම සඳහා එක් එක් mr_rate_detect අවස්ථාවෙන් refclock_measure සංඥාව Signal Tap Logic Analyzer වෙත එක් කරන්න (10 ms කාලසීමාවකින්).
- Signal Tap Logic Analyzer සමඟින් නිර්මාණය සම්පාදනය කරන්න.
- SOF වැඩසටහන් කරන්න file සහ Signal Tap Logic Analyzer ධාවනය කරන්න.
වගුව 27. ඔරලෝසු
මොඩියුලය | mr_rate_detect අවස්ථාව |
මැනිය යුතු ඔරලෝසුව |
hdmi_rx_top | rx_pll_tmds | RX CDR යොමු ඔරලෝසුව 0 |
rx_clk0_freq | RX transceiver ඔරලෝසුව නාලිකාව 0 වෙතින් | |
rx_vid_clk_freq | RX වීඩියෝ ඔරලෝසුව | |
rx_frl_clk_freq | RX FRL ඔරලෝසුව | |
rx_hsync_freq | ලැබුණු වීඩියෝ රාමුවේ Hsync වාර ගණන | |
hdmi_tx_top | tx_clk0_freq | TX සම්ප්රේෂක ඔරලෝසුව නාලිකාව 0 වෙතින් |
vid_clk_freq | TX වීඩියෝ ඔරලෝසුව | |
frl_clk_freq | TX FRL ඔරලෝසුව | |
tx_hsync_freq | සම්ප්රේෂණය කළ යුතු වීඩියෝ රාමුවේ Hsync සංඛ්යාතය |
2.16. ඔබේ නිර්මාණය උත්ශ්රේණි කිරීම
වගුව 28. HDMI නිර්මාණ Example පෙර Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය සමඟ අනුකූල වීම
නිර්මාණ Example ප්රභේදය | Intel Quartus Prime Pro සංස්කරණයට උත්ශ්රේණි කිරීමේ හැකියාව 20.3 |
HDMI 2.1 Design Example (සහාය FRL = 1) | නැත |
ඕනෑම නොගැලපෙන නිර්මාණයක් සඳහා හිටපුamples, ඔබ පහත සඳහන් දේ කළ යුතුයි:
- නව නිර්මාණයක් උත්පාදනය කරන්න example වත්මන් Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදයේ ඔබගේ පවතින සැලසුමේ එකම වින්යාසය භාවිතා කරයි.
- මුළු නිර්මාණයම සසඳන්න example නාමාවලිය සමඟ නිර්මාණය example පෙර Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය භාවිතයෙන් ජනනය කරන ලදී. සොයාගත් වෙනස්කම් හරහා වරාය.
HDMI 2.0 Design Example (සහාය FRL = 0)
HDMI Intel FPGA IP නිර්මාණය example විසින් RX නාලිකා තුනක් සහ TX නාලිකා හතරකින් සමන්විත එක් HDMI අවස්ථාවක් සමාන්තර පුඩුවක් පෙන්වයි.
වගුව 29. HDMI Intel FPGA IP නිර්මාණය ExampIntel Arria 10 උපාංග සඳහා le
නිර්මාණ Example | දත්ත අනුපාතය | නාලිකා ප්රකාරය | ලූප්බැක් වර්ගය |
Arria 10 HDMI RX-TX Retransmit | < 6,000 Mbps | සිම්ප්ලෙක්ස් | FIFO බෆරය සමඟ සමාන්තරව |
විශේෂාංග
- HDMI සින්ක් සහ ප්රභවය අතර සෘජු HDMI වීඩියෝ ප්රවාහයක් සිදු කිරීමට සැලසුම FIFO බෆර ක්ෂණිකව ලබා දෙයි.
- සැලසුම මුල් නිදොස්කරණය සඳහා LED තත්ත්වය භාවිතා කරයිtage.
- නිර්මාණය RX සහ TX පමණක් විකල්ප සමඟ පැමිණේ.
- RX-TX සම්බන්ධක මොඩියුලය තුළ Dynamic Range සහ Mastering (HDR) InfoFrame ඇතුළත් කිරීම සහ පෙරීම මෙම සැලසුම මගින් පෙන්නුම් කෙරේ.
- TX hot-plug සිදුවීමක් මඟින් ක්රියාරම්භ කරන විට බාහිර HDMI සින්ක් එකක සිට බාහිර HDMI මූලාශ්රය දක්වා EDID passthrough කළමනාකරණය කිරීම මෙම සැලසුම මඟින් පෙන්නුම් කරයි.
- HDMI TX මූලික සංඥා කළමනාකරණය කිරීමට DIP ස්විචය සහ තල්ලු බොත්තම හරහා ධාවන කාල පාලනයට සැලසුම ඉඩ දෙයි:
— DVI හෝ HDMI කේතනය කළ වීඩියෝ රාමුව තේරීමට මාදිලියේ සංඥාව
— info_avi[47], info_vsi[61], සහ audio_info_ai[48] සයිඩ්බෑන්ඩ් හෝ සහායක දත්ත තොට හරහා සහායක පැකට් සම්ප්රේෂණය තේරීමට සංඥා
RX අවස්ථාවට බාහිර වීඩියෝ උත්පාදක යන්ත්රයෙන් වීඩියෝ මූලාශ්රයක් ලැබෙන අතර, දත්ත TX අවස්ථාවට සම්ප්රේෂණය වීමට පෙර loopback FIFO හරහා යයි.
ක්රියාකාරීත්වය සත්යාපනය කිරීමට ඔබ බාහිර වීඩියෝ විශ්ලේෂකයක්, මොනිටරයක් හෝ HDMI සම්බන්ධතාවයක් සහිත රූපවාහිනියක් TX හරයට සම්බන්ධ කිරීමට අවශ්ය වේ.
3.1 HDMI 2.0 RX-TX Retransmit Design Block Diagram
HDMI 2.0 RX-TX නැවත සම්ප්රේෂණය කිරීමේ සැලසුම example HDMI Intel FPGA IP සඳහා සිම්ප්ලෙක්ස් නාලිකා මාදිලියේ සමාන්තර ලූප්බැක් පෙන්නුම් කරයි.
රූපය 20. HDMI RX-TX Retransmit Block Diagram (Intel Quartus Prime Pro සංස්කරණය)රූපය 21. HDMI RX-TX Retransmit Block Diagram (Intel Quartus Prime Standard Edition)
අදාළ තොරතුරු
Arria 10 PLL සමුද්දේශ ඔරලෝසුව සඳහා PLL කැස්කැඩින් හි ජිටර් හෝ නො-කැප වූ ඔරලෝසු මාර්ගය ඔබේ සැලසුම් ඔරලෝසුවලට අමතර අත්දැකීමක් තිබේ නම් විසඳුම් සඳහා මෙම විසඳුම බලන්න.
තිගැස්ම.
3.2 දෘඪාංග සහ මෘදුකාංග අවශ්යතා
ඉන්ටෙල් හිටපු සැලසුම් පරීක්ෂා කිරීමට පහත දෘඪාංග සහ මෘදුකාංග භාවිතා කරයිample.
දෘඪාංග
- Intel Arria 10 GX FPGA සංවර්ධන කට්ටලය
- HDMI මූලාශ්රය (ග්රැෆික් ප්රොසෙසර් ඒකකය (GPU))
- HDMI සින්ක් (මොනිටරය)
- Bitec HDMI FMC 2.0 දියණිය කාඩ්පත (සංශෝධනය 11)
- HDMI කේබල්
සටහන:
ඔබට ඔබේ Bitec HDMI දුව කාඩ්පතේ සංශෝධනය තෝරාගත හැක. ස්ථානීය පරාමිතිය BITEC_DAUGHTER_CARD_REV 4, 6, හෝ 11 ලෙස ඉහළ මට්ටමේ සකසන්න file (a10_hdmi2_demo.v). ඔබ සංශෝධනය වෙනස් කරන විට, Bitec HDMI දියණිය කාඩ්පත් අවශ්යතා අනුව සැලසුම සම්ප්රේෂක නාලිකා හුවමාරු කර ධ්රැවීයතාව ප්රතිලෝම කළ හැක. ඔබ BITEC_DAUGHTER_CARD_REV පරාමිතිය 0 ලෙස සකසන්නේ නම්, සැලසුම සම්ප්රේෂක නාලිකා සහ ධ්රැවීයතාවට කිසිදු වෙනසක් නොකරයි. HDMI 2.1 නිර්මාණය සඳහා examples, Design Ex යටතේample tab, HDMI Daughter Card Revision එක Revision 9, Revision 4 ලෙස සකසන්න, නැතහොත් දුව කාඩ්පතක් නැත. පෙරනිමි අගය සංශෝධන 9 වේ.
මෘදුකාංග
- Intel Quartus Prime අනුවාදය 18.1 සහ පසුව (දෘඪාංග පරීක්ෂණ සඳහා)
- ModelSim – Intel FPGA සංස්කරණය, ModelSim – Intel FPGA ආරම්භක සංස්කරණය, , RivieraPRO, VCS (Verilog HDL පමණි)/VCS MX, හෝ Xcelium සමාන්තර සිමියුලේටරය
3.3 නාමාවලි ව්යුහය
නාමාවලි ජනනය කරන ලද ඒවා අඩංගු වේ fileHDMI Intel FPGA IP නිර්මාණය සඳහා sample.
රූපය 22. නිර්මාණ සඳහා නාමාවලි ව්යුහය Exampleවගුව 30. ජනනය කරන ලද RTL Files
ෆෝල්ඩර | Files |
ජීඑක්ස්බී | • /gxb_rx.qsys (Intel Quartus Prime Standard Edition) • /gxb_rx.ip (Intel Quartus Prime Pro සංස්කරණය) |
• /gxb_rx_reset.qsys (Intel Quartus Prime Standard Edition) • /gxb_rx_reset.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
• /gxb_tx.qsys (Intel Quartus Prime Standard Edition) • /gxb_tx.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
• /gxb_tx_fpll.qsys (Intel Quartus Prime Standard Edition) • /gxb_tx_fpll.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
• /gxb_tx_reset.qsys (Intel Quartus Prime Standard Edition) • /gxb_tx_reset.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
hdmi_rx | •/hdmi_rx.qsys (Intel Quartus Prime Standard Edition) •/hdmi_rx.ip (Intel Quartus Prime Pro සංස්කරණය) |
/hdmi_rx_top.v | |
/mr_clock_sync.v (Intel Quartus Prime Standard Edition) | |
/mr_hdmi_rx_core_top.v (Intel Quartus Prime Standard Edition) | |
/mr_rx_oversample.v (Intel Quartus Prime Standard Edition) | |
/symbol_aligner.v | |
Panasonic.hex (Intel Quartus Prime Pro සංස්කරණය) | |
hdmi_tx | • /hdmi_tx.qsys (Intel Quartus Prime Standard Edition) •/hdmi_tx.ip (Intel Quartus Prime Pro සංස්කරණය) |
/hdmi_tx_top.v | |
/mr_ce.v (Intel Quartus Prime Standard Edition) | |
/mr_hdmi_tx_core_top.v (Intel Quartus Prime Standard Edition) | |
/mr_tx_oversample.v (Intel Quartus Prime Standard Edition) | |
i2c_master
(Intel Quartus Prime Standard Edition) |
/i2c_master_bit_ctrl.v |
/i2c_master_byte_ctrl.v | |
/i2c_master_defines.v | |
/i2c_master_top.v | |
/oc_i2c_master.v | |
/oc_i2c_master_hw.tcl | |
/timescale.v | |
i2c_slave | /edid_ram.qsys (Intel Quartus Prime Standard Edition) |
/Panasonic.hex (Intel Quartus Prime Standard Edition) | |
/i2c_avl_mst_intf_gen.v | |
/i2c_clk_cnt.v | |
/i2c_condt_det.v | |
/i2c_databuffer.v | |
/i2c_rxshifter.v | |
/i2c_slvfsm.v | |
/i2c_spksupp.v | |
/i2c_txout.v | |
/i2c_txshifter.v | |
/i2cslave_to_avlmm_bridge.v | |
ප්ලීස් | • /pll_hdmi.qsys (Intel Quartus Prime Standard Edition) • /pll_hdmi.ip (Intel Quartus Prime Pro සංස්කරණය) |
• /pll_hdmi_reconfig.qsys (Intel Quartus Prime Standard Edition) • /pll_hdmi_reconfig.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
quartus.ini | |
පොදු | • /clock_control.qsys (Intel Quartus Prime Standard Edition) • /clock_control.ip (Intel Quartus Prime Pro සංස්කරණය) |
• /fifo.qsys (Intel Quartus Prime Standard Edition) • /fifo.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
• /output_buf_i2c.qsys (Intel Quartus Prime Standard Edition) •/output_buf_i2c.ip (Intel Quartus Prime Pro සංස්කරණය) |
|
/reset_controller.qsys (Intel Quartus Prime Standard Edition) | |
/clock_crosser.v |
dcfifo_inst.v | |
debouncer.sv (Intel Quartus Prime Pro සංස්කරණය) | |
HDR (HDR) | /altera_hdmi_aux_hdr.v |
/altera_hdmi_aux_snk.v | |
/altera_hdmi_aux_src.v | |
/altera_hdmi_hdr_infoframe.v | |
/avalon_st_mutiplexer.qsys | |
reconfig_mgmt | /mr_compare_pll.v |
/mr_compare_rx.v | |
/mr_rate_detect.v | |
/mr_reconfig_master_pll.v | |
/mr_reconfig_master_rx.v | |
/mr_reconfig_mgmt.v | |
/mr_rom_pll_dprioaddr.v | |
/mr_rom_pll_valuemask_8bpc.v | |
/mr_rom_pll_valuemask_10bpc.v | |
/mr_rom_pll_valuemask_12bpc.v | |
/mr_rom_pll_valuemask_16bpc.v | |
/mr_rom_rx_dprioaddr_bitmask.v | |
/mr_rom_rx_valuemask.v | |
/mr_state_machine.v | |
එස්ඩීසී | /a10_hdmi2.sdc |
/mr_reconfig_mgmt.sdc | |
/jtag.sdc | |
/rxtx_link.sdc | |
/mr_clock_sync.sdc (Intel Quartus Prime Standard Edition) |
වගුව 31. ජනනය කරන ලද සමාකරණය Files
වැඩි විස්තර සඳහා Simulation Testbench කොටස බලන්න.
ෆෝල්ඩර | Files |
ඇල්ඩෙක් | /aldec.do |
/rivierapro_setup.tcl | |
කැඩෙන්ස් | /cds.lib |
/hdl.var | |
<cds_libs ෆෝල්ඩරය> |
උපදේශකයා | /mentor.do |
/msim_setup.tcl | |
සාරාංශ | /vcs/filelist.f |
/vcs/vcs_setup.sh | |
/vcs/vcs_sim.sh | |
/vcsmx/vcsmx_setup.sh | |
/vcsmx/vcsmx_sim.sh | |
/vcsmx/synopsys_sim_setup | |
එක්සිලියම්
(Intel Quartus Prime Pro සංස්කරණය) |
/cds.lib |
/hdl.var | |
/xcelium_setup.sh | |
/xcelium_sim.sh | |
පොදු
(Intel Quartus Prime Pro සංස්කරණය) |
/modelim_files.tcl |
/riviera_files.tcl | |
/vcs_files.tcl | |
/vcsmx_files.tcl | |
/xcelium_files.tcl | |
hdmi_rx | • /hdmi_rx.qsys (Intel Quartus Prime Standard Edition) • /hdmi_rx.ip (Intel Quartus Prime Pro සංස්කරණය) |
/hdmi_rx.sopcinfo (Intel Quartus Prime Standard Edition) | |
/Panasonic.hex (Intel Quartus Prime Pro සංස්කරණය) | |
/symbol_aligner.v (Intel Quartus Prime Pro සංස්කරණය) | |
hdmi_tx | • /hdmi_tx.qsys (Intel Quartus Prime Standard Edition) • /hdmi_tx.ip (Intel Quartus Prime Pro සංස්කරණය) |
/hdmi_tx.sopcinfo (Intel Quartus Prime Standard Edition) |
වගුව 32. ජනනය කරන ලද මෘදුකාංග Files
ෆෝල්ඩර | Files |
tx_control_src සටහන: tx_control ෆෝල්ඩරයේ ද මේවායේ අනුපිටපත් අඩංගු වේ files. |
/intel_fpga_i2c.c (Intel Quartus Prime Pro සංස්කරණය) |
/intel_fpga_i2c.h (Intel Quartus Prime Pro සංස්කරණය) | |
/i2c.c (Intel Quartus Prime Standard Edition) | |
/i2c.h (Intel Quartus Prime Standard Edition) | |
/main.c | |
/xcvr_gpll_rcfg.c /xcvr_gpll_rcfg.h /ti_i2c.c (Intel Quartus Prime Standard Edition) /ti_i2c.h (Intel Quartus Prime Standard Edition) |
3.4. සැලසුම් සංරචක
HDMI Intel FPGA IP නිර්මාණය example සඳහා මෙම සංරචක අවශ්ය වේ.
වගුව 33. HDMI RX ඉහළ සංරචක
මොඩියුලය |
විස්තරය |
HDMI RX Core | IP විසින් Transceiver Native PHY වෙතින් අනුක්රමික දත්ත ලබා ගන්නා අතර දත්ත පෙළගැස්ම, නාලිකා ඩෙස්ක්ව්, TMDS විකේතනය, සහායක දත්ත විකේතනය, වීඩියෝ දත්ත විකේතනය, ශ්රව්ය දත්ත විකේතනය සහ ඩීස්ක්රම්බල් කිරීම සිදු කරයි. |
I2 | I2C යනු Sink Display Data Channel (DDC) සහ Status සහ Data Channel (SCDC) සඳහා භාවිතා කරන අතුරු මුහුණතයි. HDMI මූලාශ්රය වැඩි දියුණු කළ විස්තීරණ සංදර්ශක හඳුනාගැනීමේ දත්ත (E-EDID) දත්ත ව්යුහය කියවීමෙන් සින්ක්හි හැකියාවන් සහ ලක්ෂණ තීරණය කිරීමට DDC භාවිතා කරයි. • E-EDID සඳහා 8-bit I2C වහල් ලිපින 0xA0 සහ 0xA1 වේ. LSB ප්රවේශ වර්ගය දක්වයි: 1 කියවීම සඳහා සහ 0 ලිවීම සඳහා. HPD සිදුවීමක් සිදු වූ විට, I2C වහලා E-EDID දත්ත වලට ප්රතිචාර දක්වන්නේ on-chip RAM එකෙන් කියවීමෙනි. • I2C වහල්-පමණි පාලකය HDMI 2.0 මෙහෙයුම් සඳහා SCDC සඳහා ද සහය දක්වයි. SCDC සඳහා 8-bit I2C වහල් ලිපිනය 0xA8 සහ 0xA9 වේ. HPD සිදුවීමක් සිදු වූ විට, I2C වහලා HDMI RX හරයේ SCDC අතුරුමුහුණත වෙත හෝ ඉන් පිටත ලිවීම හෝ කියවීම සිදු කරයි. සටහන: HDMI 2b අදහස් නොවේ නම් SCDC සඳහා මෙම I2.0C වහල්-පමණි පාලකය අවශ්ය නොවේ. ඔබ සක්රිය කළහොත් I2C ඇතුළත් කරන්න පරාමිතිය, මෙම බ්ලොක් හරය තුළට ඇතුළත් වන අතර මෙම මට්ටමින් නොපෙනේ. |
EDID RAM | සැලසුම RAM 1-port IP හරය භාවිතයෙන් EDID තොරතුරු ගබඩා කරයි. සම්මත ද්වි-වයර් (ඔරලෝසුව සහ දත්ත) අනුක්රමික බස් ප්රොටෝකෝලය (I2C වහල්-පමණක් පාලකය) CEA-861-D අනුකූල E-EDID දත්ත ව්යුහය මාරු කරයි. මෙම EDID RAM E-EDID තොරතුරු ගබඩා කරයි. සටහන: ඔබ සක්රිය කළහොත් EDID RAM ඇතුළත් කරන්න පරාමිතිය, මෙම බ්ලොක් හරය තුළට ඇතුළත් වන අතර මෙම මට්ටමින් නොපෙනේ. |
IOPLL | IOPLL විසින් RX CDR යොමු ඔරලෝසුව, සබැඳි වේග ඔරලෝසුව සහ එන TMDS ඔරලෝසුව සඳහා වීඩියෝ ඔරලෝසුව ජනනය කරයි. • ප්රතිදාන ඔරලෝසුව 0 (CDR යොමු ඔරලෝසුව) • ප්රතිදාන ඔරලෝසුව 1 (සබැඳි වේග ඔරලෝසුව) • ප්රතිදාන ඔරලෝසුව 2 (වීඩියෝ ඔරලෝසුව) සටහන: පෙරනිමි IOPLL වින්යාසය ඕනෑම HDMI විභේදනයක් සඳහා වලංගු නොවේ. IOPLL බලගැන්වීමෙන් පසු සුදුසු සැකසුම් වලට නැවත සකස් කර ඇත. |
සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලකය | Transceiver PHY යළි පිහිටුවීමේ පාලකය RX සම්ප්රේෂකවල විශ්වාසනීය ආරම්භයක් සහතික කරයි. මෙම පාලකයේ යළි පිහිටුවීමේ ආදානය RX ප්රතිසංවිධානය මඟින් ක්රියාරම්භ කරන අතර, එය බ්ලොක් එක තුළ ඇති යළි පිහිටුවීමේ අනුපිළිවෙල අනුව Transceiver Native PHY බ්ලොක් වෙත අනුරූප ප්රතිසම සහ ඩිජිටල් යළි පිහිටුවීමේ සංඥාව ජනනය කරයි. |
RX දේශීය PHY | බාහිර වීඩියෝ මූලාශ්රයකින් අනුක්රමික දත්ත ලබා ගන්නා Hard transceiver block. එය HDMI RX හරය වෙත දත්ත යැවීමට පෙර අනුක්රමික දත්ත සමාන්තර දත්ත බවට පත් කරයි. |
RX Reconfiguration Management | 250 Mbps සිට 6,000 Mbps දක්වා වූ ඕනෑම අත්තනෝමතික සම්බන්ධතා අනුපාතයකට RX සම්ප්රේෂකය ධාවනය කිරීමට HDMI PLL සමඟ අනුපාත හඳුනාගැනීමේ පරිපථ ක්රියාත්මක කරන RX ප්රතිසංවිධාන කළමනාකරණය. පහත 23 පිටුවේ රූප සටහන 63 බලන්න. |
IOPLL නැවත සකස් කිරීම | IOPLL ප්රතිසංවිධාන වාරණ Intel FPGAs හි PLL වල ගතික තත්ය කාලීන ප්රතිසංවිධානය සඳහා පහසුකම් සපයයි. මෙම අවහිරය සම්පූර්ණ FPGA නැවත වින්යාස කිරීමකින් තොරව, ප්රතිදාන ඔරලෝසු සංඛ්යාතය සහ PLL කලාප පළල තථ්ය කාලය තුළ යාවත්කාලීන කරයි. මෙම වාරණ Intel Arria 100 උපාංගවල 10 MHz දී ක්රියාත්මක වේ. IOPLL නැවත වින්යාස කිරීමේ සීමාව හේතුවෙන්, IOPLL නැවත සකස් කිරීමේ IP උත්පාදනය අතරතුර Quartus INI permit_nf_pll_reconfig_out_of_lock=on යොදන්න. Quartus INI යෙදීම සඳහා, quartus.ini හි “permit_nf_pll_reconfig_out_of_lock=on” ඇතුළත් කරන්න file සහ එහි තබන්න file Intel Quartus Prime ව්යාපෘති නාමාවලිය. ඔබ INI සමඟ Quartus Prime මෘදුකාංගයේ IOPLL ප්රතිසංවිධාන අවහිරය (pll_hdmi_reconfig) සංස්කරණය කරන විට ඔබට අනතුරු ඇඟවීමේ පණිවිඩයක් දැකිය යුතුය. සටහන: මෙම Quartus INI නොමැතිව, IOPLL නැවත සකස් කිරීමේදී අගුල නැති වුවහොත් IOPLL නැවත සකස් කිරීම සම්පූර්ණ කළ නොහැක. |
PIO | සමාන්තර ආදාන/ප්රතිදාන (PIO) වාරණ CPU උප පද්ධතියට හෝ ඉන් පිටත පාලනය, තත්ත්වය සහ යළි පිහිටුවීමේ අතුරු මුහුණත් ලෙස ක්රියා කරයි. |
රූපය 23. බහු අනුපාත නැවත සකස් කිරීමේ අනුපිළිවෙල ප්රවාහය
ආදාන දත්ත ප්රවාහය සහ සමුද්දේශ ඔරලෝසු සංඛ්යාතය ලැබෙන විට, හෝ සම්ප්රේෂකය අගුළු හරින විට පාලකයේ බහු-අනුපාත ප්රතිමානකරණ අනුපිළිවෙල ප්රවාහය රූපයෙන් දැක්වේ.වගුව 34. HDMI TX ඉහළ සංරචක
මොඩියුලය |
විස්තරය |
HDMI TX Core | IP හරය ඉහළ මට්ටමේ සිට වීඩියෝ දත්ත ලබා ගන්නා අතර TMDS කේතනය, සහායක දත්ත කේතනය, ශ්රව්ය දත්ත කේතනය, වීඩියෝ දත්ත කේතනය සහ පොරබැදීම සිදු කරයි. |
I2C මාස්ටර් | I2C යනු Sink Display Data Channel (DDC) සහ Status සහ Data Channel (SCDC) සඳහා භාවිතා කරන අතුරු මුහුණතයි. HDMI මූලාශ්රය වැඩි දියුණු කළ විස්තීරණ සංදර්ශක හඳුනාගැනීමේ දත්ත (E-EDID) දත්ත ව්යුහය කියවීමෙන් සින්ක්හි හැකියාවන් සහ ලක්ෂණ තීරණය කිරීමට DDC භාවිතා කරයි. • DDC ලෙස, I2C Master විසින් EDID තොරතුරු HDMI RX Top හි හෝ වීඩියෝ සැකසුම් සඳහා EDID RAM වින්යාස කිරීමට බාහිර සින්ක් වෙතින් EDID කියවයි. • SCDC ලෙස, I2C මාස්ටර් HDMI 2.0b මෙහෙයුම සඳහා SCDC දත්ත ව්යුහය FPGA මූලාශ්රයෙන් බාහිර සින්ක් වෙත මාරු කරයි. උදාහරණයක් ලෙසample, පිටතට යන දත්ත ප්රවාහය 3,400 Mbps ට වඩා වැඩි නම්, Nios II ප්රොසෙසරය සින්ක් SCDC වින්යාස ලේඛනයේ TMDS_BIT_CLOCK_RATIO සහ SCRAMBLER_ENABLE බිටු 2 ට යාවත්කාලීන කිරීමට I1C මාස්ටර්ට අණ කරයි. |
IOPLL | IOPLL මඟින් ලින්ක් වේග ඔරලෝසුව සහ වීඩියෝ ඔරලෝසුව එන TMDS ඔරලෝසුවෙන් සපයයි. • ප්රතිදාන ඔරලෝසුව 1 (සබැඳි වේග ඔරලෝසුව) • ප්රතිදාන ඔරලෝසුව 2 (වීඩියෝ ඔරලෝසුව) සටහන: පෙරනිමි IOPLL වින්යාසය ඕනෑම HDMI විභේදනයක් සඳහා වලංගු නොවේ. IOPLL බලගැන්වීමෙන් පසු සුදුසු සැකසුම් වලට නැවත සකස් කර ඇත. |
සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලකය | Transceiver PHY යළි පිහිටුවීමේ පාලකය TX සම්ප්රේෂකවල විශ්වාසනීය ආරම්භයක් සහතික කරයි. මෙම පාලකයේ යළි පිහිටුවීමේ ආදානය ඉහළ මට්ටමේ සිට ක්රියාත්මක වන අතර, එය බ්ලොක් එක තුළ ඇති යළි පිහිටුවීමේ අනුපිළිවෙල අනුව Transceiver Native PHY බ්ලොක් වෙත අනුරූප ප්රතිසම සහ ඩිජිටල් යළි පිහිටුවීමේ සංඥාව ජනනය කරයි. මෙම බ්ලොක් එකෙන් tx_ready ප්රතිදාන සංඥාව HDMI Intel FPGA IP වෙත නැවත පිහිටුවීමේ සංඥාවක් ලෙසද ක්රියා කරයි, සම්ප්රේෂකය ක්රියාත්මක වන බව සහ හරයෙන් දත්ත ලබා ගැනීමට සූදානම් බව දැක්වීමට. |
සම්ප්රේෂක ස්වදේශික PHY | HDMI TX හරයෙන් සමාන්තර දත්ත ලබාගෙන එය සම්ප්රේෂණය කිරීමෙන් දත්ත අනුක්රමික කරන Hard transceiver block. TX Native PHY සහ transceiver arbiter අතර සම්බන්ධය නිරූපණය කිරීමට TX Native PHY කොටසෙහි නැවත සකස් කිරීමේ අතුරු මුහුණත සබල කර ඇත. TX Native PHY සඳහා නැවත වින්යාස කිරීමක් සිදු නොකෙරේ. සටහන: HDMI TX අන්තර් නාලිකා skew අවශ්යතාවය සපුරාලීම සඳහා, Intel Arria 10 Transceiver Native PHY පරාමිති සංස්කාරකයේ TX නාලිකා බන්ධන මාදිලිය සකසන්න PMA සහ PCS බැඳීම. ඔබ විසින් නිර්දේශ කර ඇති පරිදි සම්ප්රේෂක යළි පිහිටුවීමේ පාලකයෙන් (tx_digitalreset) ඩිජිටල් යළි පිහිටුවීමේ සංඥාවට උපරිම skew (set_max_skew) සීමා අවශ්යතාවය එක් කිරීමටද අවශ්ය වේ. Intel Arria 10 Transceiver PHY පරිශීලක මාර්ගෝපදේශය. |
TX PLL | සම්ප්රේෂක PLL බ්ලොක් එක Transceiver Native PHY බ්ලොක් එකට අනුක්රමික වේගවත් ඔරලෝසුව සපයයි. මෙම HDMI Intel FPGA IP නිර්මාණය සඳහා example, fPLL TX PLL ලෙස භාවිතා වේ. |
IOPLL නැවත සකස් කිරීම | IOPLL ප්රතිසංවිධාන වාරණ Intel FPGAs හි PLL වල ගතික තත්ය කාලීන ප්රතිසංවිධානය සඳහා පහසුකම් සපයයි. මෙම අවහිරය සම්පූර්ණ FPGA නැවත වින්යාස කිරීමකින් තොරව, ප්රතිදාන ඔරලෝසු සංඛ්යාතය සහ PLL කලාප පළල තථ්ය කාලය තුළ යාවත්කාලීන කරයි. මෙම වාරණ Intel Arria 100 උපාංගවල 10 MHz දී ක්රියාත්මක වේ. IOPLL නැවත වින්යාස කිරීමේ සීමාව හේතුවෙන්, IOPLL නැවත සකස් කිරීමේ IP උත්පාදනය අතරතුර Quartus INI permit_nf_pll_reconfig_out_of_lock=on යොදන්න. Quartus INI යෙදීම සඳහා, quartus.ini හි “permit_nf_pll_reconfig_out_of_lock=on” ඇතුළත් කරන්න file සහ එහි තබන්න file Intel Quartus Prime ව්යාපෘති නාමාවලිය. ඔබ INI සමඟ Intel Quartus Prime මෘදුකාංගයේ IOPLL ප්රතිසංවිධාන අවහිරය (pll_hdmi_reconfig) සංස්කරණය කරන විට ඔබට අනතුරු ඇඟවීමේ පණිවිඩයක් දැකිය යුතුය. සටහන: මෙම Quartus INI නොමැතිව, IOPLL නැවත සකස් කිරීමේදී අගුල නැති වුවහොත් IOPLL නැවත සකස් කිරීම සම්පූර්ණ කළ නොහැක. |
PIO | සමාන්තර ආදාන/ප්රතිදාන (PIO) වාරණ CPU උප පද්ධතියට හෝ ඉන් පිටත පාලනය, තත්ත්වය සහ යළි පිහිටුවීමේ අතුරු මුහුණත් ලෙස ක්රියා කරයි. |
වගුව 35. සම්ප්රේෂක දත්ත අනුපාතය සහ ඕවරampඑක් එක් TMDS ඔරලෝසු සංඛ්යාත පරාසය සඳහා ling Factor
TMDS ඔරලෝසු සංඛ්යාතය (MHz) | TMDS බිට් ඔරලෝසු අනුපාතය | ඕවරampලින්ග් සාධකය | සම්ප්රේෂක දත්ත අනුපාතය (Mbps) |
85-150 | 1 | අදාළ නොවේ | 3400-6000 |
100-340 | 0 | අදාළ නොවේ | 1000-3400 |
50-100 | 0 | 5 | 2500-5000 |
35-50 | 0 | 3 | 1050-1500 |
30-35 | 0 | 4 | 1200-1400 |
25-30 | 0 | 5 | 1250-1500 |
වගුව 36. ඉහළ මට්ටමේ පොදු කුට්ටි
මොඩියුලය |
විස්තරය |
Transceiver බේරුම්කරු | මෙම සාමාන්ය ක්රියාකාරී කොටස එකම භෞතික නාලිකාව තුළ ඇති RX හෝ TX සම්ප්රේෂණ යන්ත්ර නැවත සකස් කිරීම අවශ්ය වූ විට සම්ප්රේෂකයන් එකවර නැවත ක්රමාංකනය කිරීමෙන් වළක්වයි. එකම නාලිකාව තුළ RX සහ TX සම්ප්රේෂක ස්වාධීන IP ක්රියාත්මක කිරීම් සඳහා පවරා ඇති යෙදුම් වලට සමගාමී ප්රතික්රමාංකනය බලපෑම් කරයි. මෙම transceiver arbiter යනු Simplex TX සහ simplex RX එකම භෞතික නාලිකාවකට ඒකාබද්ධ කිරීම සඳහා නිර්දේශිත විභේදනයේ දිගුවකි. මෙම සම්ප්රේෂක බේරුම්කරු නාලිකාවක් තුළ ඇති සිම්ප්ලෙක්ස් RX සහ TX සම්ප්රේෂක ඉලක්ක කර Avalon-MM RX සහ TX ප්රතිසංවිධාන ඉල්ලීම් ඒකාබද්ධ කිරීමට සහ බේරුම් කිරීමට සහය වන්නේ සම්ප්රේෂකවල ප්රතිසංවිධාන අතුරුමුහුණත් තොටට ප්රවේශ විය හැක්කේ අනුක්රමිකව පමණි. ට්රාන්ස්සීවර් බේරුම්කරු සහ TX/RX Native PHY/PHY Reset Controller blocks අතර අතුරු මුහුණත සම්බන්ධය මෙම සැලසුමේ හිටපුample මගින් සම්ප්රේෂක බේරුම්කරු භාවිතයෙන් ඕනෑම IP සංයෝජනයක් සඳහා අදාළ වන සාමාන්ය මාදිලියක් පෙන්නුම් කරයි. නාලිකාවක RX හෝ TX සම්ප්රේෂකය පමණක් භාවිතා කරන විට සම්ප්රේෂක බේරුම්කරු අවශ්ය නොවේ. සම්ප්රේෂක බේරුම්කරු එහි Avalon-MM ප්රතිසංවිධාන අතුරුමුහුණත් හරහා ප්රතිසංවිධානයක් ඉල්ලා සිටින්නා හඳුනාගෙන ඊට අනුරූප tx_reconfig_cal_busy හෝ rx_reconfig_cal_busy ගේට්ටු කර ඇති බව සහතික කරයි. HDMI යෙදුම සඳහා, RX පමණක් නැවත සකස් කිරීම ආරම්භ කරයි. Avalon-MM ප්රතිසංවිධාන ඉල්ලීම බේරුම්කරු හරහා යොමු කිරීමෙන්, ප්රතිසංවිධාන ඉල්ලීම RX වෙතින් ආරම්භ වන බව බේරුම්කරු හඳුනා ගනී, එය tx_reconfig_cal_busy ප්රකාශ කිරීමෙන් දොරටු වන අතර rx_reconfig_cal_busy හට තහවුරු කිරීමට ඉඩ සලසයි. ගේටින් TX සම්ප්රේෂකය නොදැනුවත්වම ක්රමාංකන ප්රකාරයට ගෙන යාම වළක්වයි. |
සටහන: HDMI හට RX නැවත වින්යාස කිරීම පමණක් අවශ්ය වන බැවින්, tx_reconfig_mgmt_* සංඥා බැඳී ඇත. එසේම, බේරුම්කරු සහ TX Native PHY බ්ලොක් අතර Avalon-MM අතුරුමුහුණත අවශ්ය නොවේ. සැලසුම් ex හි අතුරු මුහුණතට කුට්ටි පවරා ඇතampTX/RX Native PHY/PHY Reset Controller වෙත generic transceiver arbiter connection විදහා දැක්වීමට le. | |
RX-TX සබැඳිය | • RX සහ TX වීඩියෝ ඔරලෝසු වසම් හරහා DCFIFO හරහා HDMI RX core loop වෙතින් වීඩියෝ දත්ත ප්රතිදානය සහ සමමුහුර්තකරණ සංඥා. • සාමාන්ය පාලන පැකට්ටුව (GCP), InfoFrames (AVI, VSI සහ AI), RX සහ TX සබැඳි වේග ඔරලෝසු වසම් හරහා DCFIFOs හරහා සහායක දත්ත සහ ශ්රව්ය දත්ත ලූපය. • HDMI TX හරයේ සහායක දත්ත තොට මගින් DCFIFO හරහා පසුපීඩනය හරහා ගලා යන සහායක දත්ත පාලනය කරයි. සහායක දත්ත තොටෙහි අසම්පූර්ණ සහායක පැකට්ටුවක් නොමැති බව පසු පීඩනය සහතික කරයි. • මෙම අවහිර කිරීම බාහිර පෙරීමද සිදු කරයි: — HDMI TX core සහායක දත්ත තොට වෙත සම්ප්රේෂණය කිරීමට පෙර සහායක දත්ත ප්රවාහයෙන් ශ්රව්ය දත්ත සහ ශ්රව්ය ඔරලෝසු ප්රතිජනන පැකට්ටුව පෙරහන් කරයි. සටහන: මෙම පෙරීම අක්රිය කිරීමට, user_pb[2] ඔබන්න. නැවත සම්ප්රේෂණය කරන ලද සහායක දත්ත ප්රවාහයේ ශ්රව්ය දත්ත සහ ශ්රව්ය ඔරලෝසු ප්රතිජනන පැකට්ටුවේ අනුපිටපත් නොමැති බව සහතික කිරීමට මෙම පෙරීම සබල කරන්න. - HDMI RX සහායක දත්ත වලින් ඉහළ ගතික පරාසය (HDR) InfoFrame පෙරහන් කර හිටපු එකක් ඇතුල් කරයිample HDR InfoFrame Avalon ST මල්ටිප්ලෙක්සර් හරහා HDMI TX හි සහායක දත්ත වෙත. |
CPU උප පද්ධතිය | CPU උප පද්ධතිය SCDC සහ DDC පාලකයන් ලෙස ක්රියා කරයි, සහ ප්රභව ප්රතිසංවිධාන පාලකය ලෙස ක්රියා කරයි. • මූලාශ්ර SCDC පාලකයේ I2C ප්රධාන පාලකය අඩංගු වේ. I2C ප්රධාන පාලකය HDMI 2.0b මෙහෙයුම සඳහා SCDC දත්ත ව්යුහය FPGA මූලාශ්රයෙන් බාහිර සින්ක් වෙත මාරු කරයි. උදාහරණයක් ලෙසample, පිටතට යන දත්ත ප්රවාහය 6,000 Mbps නම්, Nios II ප්රොසෙසරය සින්ක් TMDS වින්යාස ලේඛනයේ TMDS_BIT_CLOCK_RATIO සහ SCRAMBLER_ENABLE බිටු 2 දක්වා යාවත්කාලීන කිරීමට I1C ප්රධාන පාලකයට අණ කරයි. • එම I2C මාස්ටර් HDMI මූලාශ්රය සහ බාහිර සින්ක් අතර DDC දත්ත ව්යුහය (E-EDID) ද මාරු කරයි. • Nios II CPU HDMI මූලාශ්රය සඳහා ප්රතිමානකරණ පාලකය ලෙස ක්රියා කරයි. TX හට නැවත වින්යාස කිරීම අවශ්යද යන්න තීරණය කිරීමට CPU RX Reconfiguration Management මොඩියුලයෙන් ආවර්තිතා අනුපාත හඳුනාගැනීම මත රඳා පවතී. Avalon-MM slave පරිවර්තකය Nios II ප්රොසෙසරය Avalon-MM මාස්ටර් අතුරුමුහුණත සහ Avalon-MM වහල් අතුරුමුහුණත් අතර බාහිරව ක්ෂණික HDMI මූලාශ්රයේ IOPLL සහ TX Native PHY අතර අතුරු මුහුණත සපයයි. • PLL සහ සම්ප්රේෂක ප්රතිසංවිධානය සහ යළි පිහිටුවීමේ අනුපිළිවෙල අනුක්රමිකව සිදු කරනු ලබනවා හැර, TX සඳහා ප්රතිසංවිධාන අනුක්රමය ප්රවාහය RX හා සමාන වේ. 24 පිටුවේ රූප සටහන 67 බලන්න. |
රූපය 24. නැවත සකස් කිරීමේ අනුපිළිවෙල ප්රවාහය
I2C master සහ HDMI මූලාශ්රය සඳහා පාලනයන් ඇතුළත් Nios II මෘදුකාංග ප්රවාහය රූපයෙන් දැක්වේ.3.5 ගතික පරාසය සහ ප්රගුණ කිරීම (HDR) InfoFrame ඇතුළු කිරීම සහ පෙරීම
HDMI Intel FPGA IP නිර්මාණය example හි RX-TX ලූප්බැක් පද්ධතියක HDR InfoFrame ඇතුළත් කිරීමේ ආදර්ශනයක් ඇතුළත් වේ.
HDMI පිරිවිතර අනුවාදය 2.0b Dynamic Range සහ Mastering InfoFrame HDMI සහායක ප්රවාහය හරහා සම්ප්රේෂණය කිරීමට ඉඩ දෙයි. ප්රදර්ශනයේදී, සහායක දත්ත ඇතුළත් කිරීමේ කොටස HDR ඇතුළත් කිරීමට සහය දක්වයි. ඔබට අවශ්ය වන්නේ මොඩියුලයේ සංඥා ලැයිස්තු වගුවේ දක්වා ඇති පරිදි අපේක්ෂිත HDR InfoFrame පැකට්ටුව සංයුති කිරීම සහ සෑම වීඩියෝ රාමුවකට වරක් HDR InfoFrame ඇතුළත් කිරීම උපලේඛනගත කිරීමට සපයා ඇති AUX ඇතුළත් කිරීමේ පාලන මොඩියුලය භාවිතා කිරීම පමණි.
මෙම example වින්යාසය, පැමිණෙන සහායක ප්රවාහයේ දැනටමත් HDR InfoFrame ඇතුළත් වන අවස්ථා වලදී, ප්රවාහය කරන ලද HDR අන්තර්ගතය පෙරා ඇත. පෙරීම මඟින් ගැටුම්කාරී HDR තොරතුරු රාමු සම්ප්රේෂණය වීම වළක්වන අතර HDR S හි නිශ්චිතව දක්වා ඇති අගයන් පමණක් බව සහතික කරයි.ample දත්ත මොඩියුලය භාවිතා වේ.
Figure 25. RX-TX Dynamic Range සහ Mastering InfoFrame ඇතුළු කිරීම සමඟ සබැඳිය
රූපයේ දැක්වෙන්නේ Dynamic Range සහ Mastering InfoFrame HDMI TX core සහායක ප්රවාහයට ඇතුළු කිරීම ඇතුළුව RX-TX සබැඳියේ බ්ලොක් රූප සටහනයි.
වගුව 37. සහායක දත්ත ඇතුළත් කිරීමේ වාරණ (altera_hdmi_aux_hdr) සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
ඔරලෝසුව සහ යළි පිහිටුවන්න | |||
clk | ආදානය | 1 | ඔරලෝසු ආදානය. මෙම ඔරලෝසුව සම්බන්ධක වේග ඔරලෝසුවට සම්බන්ධ කළ යුතුය. |
යළි පිහිටුවන්න | ආදානය | 1 | ආදානය නැවත සකසන්න. |
සහායක පැකට් උත්පාදක සහ බහු ප්ලෙක්සර් සංඥා | |||
multixer_out_data | ප්රතිදානය | 72 | මල්ටිප්ලෙක්සර් වෙතින් Avalon streaming output. |
multilexer_out_valid | ප්රතිදානය | 1 | |
multixer_out_ready | ප්රතිදානය | 1 | |
multixer_out_startofpacket | ප්රතිදානය | 1 | |
multixer_out_endofpacket | ප්රතිදානය | 1 | |
multixer_out_channel | ප්රතිදානය | 11 | |
multixer_in_data | ආදානය | 72 | Multiplexer හි In1 තොටට Avalon streaming input. HDMI TX වීඩියෝ Vsync. මෙම සංඥාව සම්බන්ධක වේග ඔරලෝසු වසම වෙත සමමුහුර්ත කළ යුතුය. හරය HDR InfoFrame මෙම සංඥාවේ ඉහළ යන මායිමේ සහායක ප්රවාහයට ඇතුළු කරයි. |
multilexer_in_valid | ආදානය | 1 | |
multilexer_in_ready | ආදානය | 1 | |
multilexer_in_startofpacket | ආදානය | 1 | |
multilexer_in_endofpacket hdmi_tx_vsync |
ආදානය ආදානය |
1 1 |
වගුව 38. HDR දත්ත මොඩියුලය (altera_hdmi_hdr_infoframe) සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
hb0 | ප්රතිදානය | 8 | ගතික පරාසයේ ශීර්ෂක බයිට් 0 සහ තොරතුරු රාමුව ප්රගුණ කිරීම: InfoFrame වර්ගයේ කේතය. |
hb1 | ප්රතිදානය | 8 | ගතික පරාසයේ ශීර්ෂක බයිට් 1 සහ තොරතුරු රාමුව ප්රගුණ කිරීම: InfoFrame අනුවාද අංකය. |
hb2 | ප්රතිදානය | 8 | ගතික පරාසයේ ශීර්ෂ බයිට් 2 සහ ප්රගුණ කිරීමේ තොරතුරු රාමුව: තොරතුරු රාමුවේ දිග. |
pb | ආදානය | 224 | Dynamic Range සහ Mastering InfoFrame හි දත්ත බයිටය. |
වගුව 39. ගතික පරාසය සහ ප්රගුණ කිරීම InfoFrame Data Byte Bundle Bit-Fields
බිට්-ෆීල්ඩ් |
අර්ථ දැක්වීම |
ස්ථිතික පාරදත්ත වර්ගය 1 |
7:0 | දත්ත බයිට් 1: {5'h0, EOTF[2:0]} | |
15:8 | දත්ත බයිට් 2: {5'h0, Static_Metadata_Descriptor_ID[2:0]} | |
23:16 | දත්ත බයිට් 3: Static_Metadata_Descriptor | display_primaries_x[0], LSB |
31:24 | දත්ත බයිට් 4: Static_Metadata_Descriptor | display_primaries_x[0], MSB |
39:32 | දත්ත බයිට් 5: Static_Metadata_Descriptor | display_primaries_y[0], LSB |
47:40 | දත්ත බයිට් 6: Static_Metadata_Descriptor | display_primaries_y[0], MSB |
55:48 | දත්ත බයිට් 7: Static_Metadata_Descriptor | display_primaries_x[1], LSB |
63:56 | දත්ත බයිට් 8: Static_Metadata_Descriptor | display_primaries_x[1], MSB |
71:64 | දත්ත බයිට් 9: Static_Metadata_Descriptor | display_primaries_y[1], LSB |
79:72 | දත්ත බයිට් 10: Static_Metadata_Descriptor | display_primaries_y[1], MSB |
87:80 | දත්ත බයිට් 11: Static_Metadata_Descriptor | display_primaries_x[2], LSB |
95:88 | දත්ත බයිට් 12: Static_Metadata_Descriptor | display_primaries_x[2], MSB |
103:96 | දත්ත බයිට් 13: Static_Metadata_Descriptor | display_primaries_y[2], LSB |
111:104 | දත්ත බයිට් 14: Static_Metadata_Descriptor | display_primaries_y[2], MSB |
119:112 | දත්ත බයිට් 15: Static_Metadata_Descriptor | white_point_x, LSB |
127:120 | දත්ත බයිට් 16: Static_Metadata_Descriptor | white_point_x, MSB |
135:128 | දත්ත බයිට් 17: Static_Metadata_Descriptor | white_point_y, LSB |
143:136 | දත්ත බයිට් 18: Static_Metadata_Descriptor | white_point_y, MSB |
151:144 | දත්ත බයිට් 19: Static_Metadata_Descriptor | max_display_mastering_luminance, LSB |
159:152 | දත්ත බයිට් 20: Static_Metadata_Descriptor | max_display_mastering_luminance, MSB |
167:160 | දත්ත බයිට් 21: Static_Metadata_Descriptor | min_display_mastering_luminance, LSB |
175:168 | දත්ත බයිට් 22: Static_Metadata_Descriptor | min_display_mastering_luminance, MSB |
183:176 | දත්ත බයිට් 23: Static_Metadata_Descriptor | උපරිම අන්තර්ගත ආලෝක මට්ටම, LSB |
191:184 | දත්ත බයිට් 24: Static_Metadata_Descriptor | උපරිම අන්තර්ගත ආලෝක මට්ටම, MSB |
199:192 | දත්ත බයිට් 25: Static_Metadata_Descriptor | උපරිම රාමු-සාමාන්ය ආලෝක මට්ටම, LSB |
207:200 | දත්ත බයිට් 26: Static_Metadata_Descriptor | උපරිම රාමු-සාමාන්ය ආලෝක මට්ටම, MSB |
215:208 | වෙන් කර ඇත | |
223:216 | වෙන් කර ඇත |
HDR ඇතුළත් කිරීම සහ පෙරීම අක්රිය කිරීම
HDR ඇතුළු කිරීම සහ පෙරහන අක්රිය කිරීම RX-TX Retransmit නිර්මාණයේ කිසිදු වෙනස් කිරීමකින් තොරව මූලාශ්ර සහායක ප්රවාහයේ දැනටමත් පවතින HDR අන්තර්ගතය නැවත සම්ප්රේෂණය කිරීම සත්යාපනය කිරීමට ඔබට හැකියාව ලැබේ.ample.
HDR InfoFrame ඇතුළු කිරීම සහ පෙරීම අක්රිය කිරීමට:
- rxtx_link.v හි block_ext_hdr_infoframe 1'b0 ලෙස සකසන්න file සහායක ප්රවාහයෙන් HDR InfoFrame පෙරීම වැළැක්වීමට.
- altera_hdmi_aux_hdr.v හි avalon_st_multiplexer අවස්ථාවෙහි multilexer_in0_valid ලෙස සකසන්න file අමතර HDR InfoFrame සෑදීම සහ TX සහායක ප්රවාහයට ඇතුළු කිරීම සඳහා Axiliary Packet Generator වැළැක්වීම සඳහා 1'b0 දක්වා.
3.6 ඔරලෝසු යෝජනා ක්රමය
ඔරලෝසු ක්රමය HDMI Intel FPGA IP නිර්මාණයේ ඔරලෝසු වසම් නිදර්ශනය කරයි.ample.
රූපය 26. HDMI Intel FPGA IP නිර්මාණය Example Clocking Scheme (Intel Quartus Prime Pro සංස්කරණය)රූපය 27. HDMI Intel FPGA IP නිර්මාණය Example Clocking Scheme (Intel Quartus Prime Standard Edition)
වගුව 40. ඔරලෝසු යෝජනා ක්රමය සංඥා
ඔරලෝසුව | මෝස්තරයේ සංඥා නාමය |
විස්තරය |
TX IOPLL/ TX PLL යොමු ඔරලෝසුව 1 | hdmi_clk_in | TX IOPLL සහ TX PLL වෙත යොමු ඔරලෝසුව. ඔරලෝසු සංඛ්යාතය HDMI TX TMDS ඔරලෝසු නාලිකාවෙන් අපේක්ෂිත TMDS ඔරලෝසු සංඛ්යාතයට සමාන වේ. මෙම HDMI Intel FPGA IP නිර්මාණය සඳහා example, මෙම ඔරලෝසුව ආදර්ශන අරමුණ සඳහා RX TMDS ඔරලෝසුවට සම්බන්ධ කර ඇත. ඔබගේ යෙදුමේ, වඩා හොඳ ජ්වලිත කාර්ය සාධනයක් සඳහා වැඩසටහන්ගත කළ හැකි ඔස්කිලේටරයකින් TMDS ඔරලෝසු සංඛ්යාතය සහිත කැප වූ ඔරලෝසුවක් සැපයිය යුතුය. සටහන: ට්රාන්ස්සීවර් RX පින් එකක් TX PLL යොමු ඔරලෝසුවක් ලෙස භාවිතා නොකරන්න. ඔබ HDMI TX refclk එක RX පින් එකක් මත තැබුවහොත් ඔබේ නිර්මාණය නොගැලපේ. |
TX Transceiver Clock Out | tx_clk | සම්ප්රේෂකයෙන් ඔරලෝසුව ප්රතිසාධනය කර ඇති අතර, දත්ත අනුපාතය සහ ඔරලෝසුවකට සංකේත අනුව සංඛ්යාතය වෙනස් වේ. TX transceiver clock out frequency = Transceiver දත්ත අනුපාතය/ (ඔරලෝසුවකට සංකේතය*10) |
TX PLL අනුක්රමික ඔරලෝසුව | tx_bonding_clocks | TX PLL විසින් ජනනය කරන ලද අනුක්රමික වේගවත් ඔරලෝසුව. දත්ත අනුපාතය මත පදනම්ව ඔරලෝසු සංඛ්යාතය සකසා ඇත. |
TX/RX සබැඳි වේග ඔරලෝසුව | ls_clk ගැන | සබැඳි වේග ඔරලෝසුව. සබැඳි වේග ඔරලෝසු සංඛ්යාතය අපේක්ෂිත TMDS ඔරලෝසු සංඛ්යාතය මත රඳා පවතීampling සාධකය, ඔරලෝසුවකට සංකේත, සහ TMDS bit ඔරලෝසු අනුපාතය. |
TMDS බිට් ඔරලෝසු අනුපාතය | සබැඳි වේග ඔරලෝසු සංඛ්යාතය | ||
0 | TMDS ඔරලෝසු සංඛ්යාතය/ ඔරලෝසුවකට සංකේතය | ||
1 | TMDS ඔරලෝසු සංඛ්යාතය *4 / ඔරලෝසුවකට සංකේතය | ||
TX/RX වීඩියෝ ඔරලෝසුව | vid_clk | වීඩියෝ දත්ත ඔරලෝසුව. වීඩියෝ දත්ත ඔරලෝසු සංඛ්යාතය වර්ණ ගැඹුර මත පදනම්ව TX සබැඳි වේග ඔරලෝසුවෙන් ව්යුත්පන්න වේ. | |
TMDS බිට් ඔරලෝසු අනුපාතය | වීඩියෝ දත්ත ඔරලෝසු සංඛ්යාතය | ||
0 | TMDS ඔරලෝසුව/ ඔරලෝසුවකට සංකේතය/ වර්ණ ගැඹුර සාධකය | ||
1 | TMDS ඔරලෝසුව *4 / ඔරලෝසුවකට සංකේතය/ වර්ණ ගැඹුර සාධකය | ||
එක් වර්ණයකට බිටු | වර්ණ ගැඹුර සාධකය | ||
8 | 1 | ||
10 | 1.25 | ||
12 | 1.5 | ||
16 | 2.0 | ||
RX TMDS ඔරලෝසුව | tmds_clk_in | HDMI RX වෙතින් TMDS ඔරලෝසු නාලිකාව සහ IOPLL වෙත යොමු ඔරලෝසුව වෙත සම්බන්ධ වේ. | |
RX CDR විමර්ශන ඔරලෝසුව 0 /TX PLL විමර්ශන ඔරලෝසුව 0 | fr_clk | RX CDR සහ TX PLL වෙත නොමිලේ ධාවනය වන යොමු ඔරලෝසුව. මෙම ඔරලෝසුව බලය ක්රමාංකනය සඳහා අවශ්ය වේ. | |
RX CDR යොමු ඔරලෝසුව 1 | iopll_outclk0 | RX සම්ප්රේෂකයේ RX CDR වෙත යොමු ඔරලෝසුව. | |
දත්ත අනුපාතය | RX යොමු ඔරලෝසු සංඛ්යාතය | ||
දත්ත අනුපාතය <1 Gbps | 5× TMDS ඔරලෝසු සංඛ්යාතය | ||
1 Gbps< දත්ත අනුපාතය
<3.4 Gbps |
TMDS ඔරලෝසු සංඛ්යාතය | ||
දත්ත අනුපාතය >3.4 Gbps | 4× TMDS ඔරලෝසු සංඛ්යාතය | ||
• දත්ත අනුපාතය <1 Gbps: ඕවර සඳහාampසම්ප්රේෂක අවම දත්ත අනුපාත අවශ්යතාවය සපුරාලීමට ling. • දත්ත අනුපාතය >3.4 Gbps: සම්ප්රේෂක දත්ත අනුපාතය ඔරලෝසු අනුපාතය 1/40 ලෙස පවත්වා ගැනීම සඳහා 1/10 ඔරලෝසු අනුපාතයට TMDS බිටු අනුපාතයට වන්දි ගෙවීමට. සටහන: CDR යොමු ඔරලෝසුවක් ලෙස සම්ප්රේෂක RX පින් එකක් භාවිතා නොකරන්න. ඔබ HDMI RX refclk එක RX pin එකක් මත තැබුවහොත් ඔබේ නිර්මාණය නොගැලපේ. |
|||
RX Transceiver Clock Out | rx_clk | සම්ප්රේෂකයෙන් ඔරලෝසුව ප්රතිසාධනය කර ඇති අතර, දත්ත අනුපාතය සහ ඔරලෝසුවකට සංකේත අනුව සංඛ්යාතය වෙනස් වේ.
RX transceiver clock out frequency = Transceiver දත්ත අනුපාතය/ (ඔරලෝසුවකට සංකේතය*10) |
|
කළමනාකරණ ඔරලෝසුව | mgmt_clk | මෙම සංරචක සඳහා නොමිලේ ධාවනය වන 100 MHz ඔරලෝසුවක්: |
• නැවත සකස් කිරීම සඳහා Avalon-MM අතුරුමුහුණත් - සංඛ්යාත පරාසය අවශ්යතාවය 100- 125 MHz අතර වේ. •, සම්ප්රේෂක යළි පිහිටුවීමේ අනුපිළිවෙල සඳහා PHY යළි පිහිටුවීමේ පාලකය - සංඛ්යාත පරාසය අවශ්යතාවය 1-500 MHz අතර වේ. • IOPLL නැවත සකස් කිරීම — උපරිම ඔරලෝසු සංඛ්යාතය 100 MHz වේ. • කළමනාකරණය සඳහා RX නැවත සකස් කිරීම • CPU • I2C මාස්ටර් |
||
I2C ඔරලෝසුව | i2c_clk | 100 MHz ඔරලෝසු ආදානයක් I2C වහල් ඔරලෝසුව, SCDC HDMI RX හරය තුළ ලියාපදිංචි කරයි, සහ EDID RAM. |
අදාළ තොරතුරු
- CDR යොමු ඔරලෝසුව ලෙස Transceiver RX Pin භාවිතා කිරීම
- TX PLL යොමු ඔරලෝසුව ලෙස Transceiver RX Pin භාවිතා කිරීම
3.7 අතුරුමුහුණත් සංඥා
වගු HDMI Intel FPGA IP නිර්මාණය සඳහා සංඥා ලැයිස්තුගත කරයි example.
වගුව 41. ඉහළ මට්ටමේ සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
On-board Oscillator Signal | |||
clk_fpga_b3_p | ආදානය | 1 | මූලික යොමු ඔරලෝසුව සඳහා 100 MHz නොමිලේ ධාවන ඔරලෝසුව |
REFCLK_FMCB_P (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | ට්රාන්ස්සීවර් යොමු ඔරලෝසුව සඳහා 625 MHz නොමිලේ ධාවන ඔරලෝසුව; මෙම ඔරලෝසුව ඕනෑම සංඛ්යාතයක් විය හැක |
පරිශීලක තල්ලු බොත්තම් සහ LED | |||
user_pb | ආදානය | 1 | HDMI Intel FPGA IP සැලසුම් ක්රියාකාරිත්වය පාලනය කිරීමට බොත්තම ඔබන්න |
cpu_resetn | ආදානය | 1 | ගෝලීය යළි පිහිටුවීම |
user_led_g | ප්රතිදානය | 4 | හරිත LED සංදර්ශකය LED කාර්යයන් පිළිබඳ වැඩි විස්තර සඳහා 89 පිටුවේ දෘඪාංග සැකසුම බලන්න. |
user_led_r | ප්රතිදානය | 4 | රතු LED සංදර්ශකය LED කාර්යයන් පිළිබඳ වැඩි විස්තර සඳහා 89 පිටුවේ දෘඪාංග සැකසුම බලන්න. |
HDMI FMC Daughter Card pins on FMC Port B | |||
fmcb_gbtclk_m2c_p_0 | ආදානය | 1 | HDMI RX TMDS ඔරලෝසුව |
fmcb_dp_m2c_p | ආදානය | 3 | HDMI RX රතු, කොළ සහ නිල් දත්ත නාලිකා • Bitec දුව කාඩ්පත් සංශෝධනය 11 — [0]: RX TMDS චැනල් 1 (කොළ) — [1]: RX TMDS චැනල් 2 (රතු) — [2]: RX TMDS නාලිකාව 0 (නිල්) • Bitec දුව කාඩ්පත් සංශෝධනය 4 හෝ 6 — [0]: RX TMDS Channel 1 (කොළ)— ධ්රැවීයතාව ප්රතිලෝම — [1]: RX TMDS නාලිකාව 0 (නිල්)— ධ්රැවීයතාව ප්රතිලෝම — [2]: RX TMDS චැනල් 2 (රතු)— ධ්රැවීයතාව ප්රතිලෝම |
fmcb_dp_c2m_p | ප්රතිදානය | 4 | HDMI TX ඔරලෝසුව, රතු, කොළ සහ නිල් දත්ත නාලිකා • Bitec දුව කාඩ්පත් සංශෝධනය 11 — [0]: TX TMDS චැනල් 2 (රතු) — [1]: TX TMDS චැනල් 1 (කොළ) — [2]: TX TMDS Channel 0 (නිල්) — [3]: TX TMDS ඔරලෝසු නාලිකාව • Bitec දුව කාඩ්පත් සංශෝධනය 4 හෝ 6 — [0]: TX TMDS ඔරලෝසු නාලිකාව — [1]: TX TMDS Channel 0 (නිල්) — [2]: TX TMDS චැනල් 1 (කොළ) — [3]: TX TMDS චැනල් 2 (රතු) |
fmcb_la_rx_p_9 | ආදානය | 1 | HDMI RX +5V බල හඳුනාගැනීම |
fmcb_la_rx_p_8 | පිටතට | 1 | HDMI RX උණුසුම් ප්ලග් හඳුනාගැනීම |
fmcb_la_rx_n_8 | පිටතට | 1 | DDC සහ SCDC සඳහා HDMI RX I2C SDA |
fmcb_la_tx_p_10 | ආදානය | 1 | DDC සහ SCDC සඳහා HDMI RX I2C SCL |
fmcb_la_tx_p_12 | ආදානය | 1 | HDMI TX උණුසුම් ප්ලග් හඳුනාගැනීම |
fmcb_la_tx_n_12 | පිටතට | 1 | DDC සහ SCDC සඳහා HDMI I2C SDA |
fmcb_la_rx_p_10 | පිටතට | 1 | DDC සහ SCDC සඳහා HDMI I2C SCL |
fmcb_la_tx_p_11 | පිටතට | 1 | රීඩ්රයිවර් පාලනය සඳහා HDMI I2C SDA |
fmcb_la_rx_n_9 | පිටතට | 1 | රීඩ්රයිවර් පාලනය සඳහා HDMI I2C SCL |
වගුව 42. HDMI RX ඉහළ මට්ටමේ සංඥා
සංඥාව | දිශාව | පළල |
විස්තරය |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න | |||
mgmt_clk | ආදානය | 1 | පද්ධති ඔරලෝසු ආදානය (100 MHz) |
fr_clk (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | ප්රාථමික සම්ප්රේෂක යොමු ඔරලෝසුව සඳහා නොමිලේ ධාවන ඔරලෝසුව (625 MHz). මෙම ඔරලෝසුව බලය-අප් තත්ත්වය තුළ සම්ප්රේෂක ක්රමාංකනය සඳහා අවශ්ය වේ. මෙම ඔරලෝසුව ඕනෑම සංඛ්යාතයක් විය හැක. |
යළි පිහිටුවන්න | ආදානය | 1 | පද්ධති යළි පිහිටුවීමේ ආදානය |
සංඥාව |
දිශාව | පළල |
විස්තරය |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න | |||
reset_xcvr_powerup (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | සම්ප්රේෂක යළි පිහිටුවීමේ ආදානය. මෙම සංඥාව බල-අප් තත්වයේ යොමු ඔරලෝසු මාරු කිරීමේ ක්රියාවලියේදී (නිදහස් ධාවන ඔරලෝසුවේ සිට TMDS ඔරලෝසුව දක්වා) තහවුරු වේ. |
tmds_clk_in | ආදානය | 1 | HDMI RX TMDS ඔරලෝසුව |
i2c_clk | ආදානය | 1 | DDC සහ SCDC අතුරුමුහුණත සඳහා ඔරලෝසු ආදානය |
vid_clk_out | ප්රතිදානය | 1 | වීඩියෝ ඔරලෝසු ප්රතිදානය |
ls_clk_out | ප්රතිදානය | 1 | සබැඳි වේග ඔරලෝසු ප්රතිදානය |
sys_init යනු කුමක්ද? | ප්රතිදානය | 1 | බලය-අප් වීමේදී පද්ධතිය යළි පිහිටුවීම සඳහා පද්ධති ආරම්භ කිරීම |
RX Transceiver සහ IOPLL සංඥා | |||
rx_serial_data | ආදානය | 3 | HDMI අනුක්රමික දත්ත RX Native PHY වෙත |
gxb_rx_ready | ප්රතිදානය | 1 | RX Native PHY සූදානම් බව පෙන්නුම් කරයි |
gxb_rx_cal_busy_out | ප්රතිදානය | 3 | RX Native PHY ක්රමාංකනය සම්ප්රේෂක බේරුම්කරු වෙත කාර්යබහුලයි |
gxb_rx_cal_busy_in | ආදානය | 3 | ට්රාන්ස්සීවර් බේරුම්කරුගේ සිට RX Native PHY වෙත ක්රමාංකන කාර්ය බහුල සංඥාව |
iopll_locked | ප්රතිදානය | 1 | IOPLL අගුලු දමා ඇති බව දක්වන්න |
gxb_reconfig_write | ආදානය | 3 | සම්ප්රේෂක ප්රතිසංවිධානය Avalon-MM අතුරුමුහුණත RX Native PHY සිට සම්ප්රේෂක බේරුම්කරු දක්වා |
gxb_reconfig_read | ආදානය | 3 | |
gxb_reconfig_address | ආදානය | 30 | |
gxb_reconfig_writeddata | ආදානය | 96 | |
gxb_reconfig_readdata | ප්රතිදානය | 96 | |
gxb_reconfig_waitrequest | ප්රතිදානය | 3 |
RX Reconfiguration Management | |||
rx_reconfig_en | ප්රතිදානය | 1 | RX ප්රතිසංවිධානය මගින් සංඥා සක්රීය කරයි |
මැනීම | ප්රතිදානය | 24 | HDMI RX TMDS ඔරලෝසු සංඛ්යාතය මැනීම (මිලිස් 10 කින්) |
මැනීම_වලංගු | ප්රතිදානය | 1 | මිනුම් සංඥාව වලංගු බව පෙන්නුම් කරයි |
os | ප්රතිදානය | 1 | ඕවරampලින්ග් සාධකය: • 0: ඕවර නැතampලින්ග් • 1: 5× ඕවරampලින්ග් |
reconfig_mgmt_write | ප්රතිදානය | 1 | RX reconfiguration management Avalon memory-mapped interface to transceiver arbiter |
reconfig_mgmt_read | ප්රතිදානය | 1 | |
reconfig_mgmt_address | ප්රතිදානය | 12 |
reconfig_mgmt_writeddata | ප්රතිදානය | 32 | |
reconfig_mgmt_readdata | ආදානය | 32 | |
reconfig_mgmt_waitrequest | ආදානය | 1 |
HDMI RX Core Signals | |||
TMDS_Bit_clock_Ratio | ප්රතිදානය | 1 | SCDC ලියාපදිංචි අතුරුමුහුණත් |
audio_de | ප්රතිදානය | 1 | HDMI RX මූලික ශ්රව්ය අතුරුමුහුණත් වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ සින්ක් අතුරුමුහුණත් කොටස බලන්න. |
ශ්රව්ය_දත්ත | ප්රතිදානය | 256 | |
audio_info_ai | ප්රතිදානය | 48 | |
ශ්රව්ය_එන් | ප්රතිදානය | 20 | |
ශ්රව්ය_CTS | ප්රතිදානය | 20 | |
ශ්රව්ය_ පාරදත්ත | ප්රතිදානය | 165 | |
ශ්රව්ය_ආකෘතිය | ප්රතිදානය | 5 | |
aux_pkt_data | ප්රතිදානය | 72 | HDMI RX මූලික සහායක අතුරුමුහුණත් වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ සින්ක් අතුරුමුහුණත් කොටස බලන්න. |
aux_pkt_addr | ප්රතිදානය | 6 | |
aux_pkt_wr | ප්රතිදානය | 1 | |
aux_data | ප්රතිදානය | 72 | |
aux_sop | ප්රතිදානය | 1 | |
aux_eop | ප්රතිදානය | 1 | |
aux_valid | ප්රතිදානය | 1 | |
aux_error | ප්රතිදානය | 1 | |
ජීසීපී | ප්රතිදානය | 6 | HDMI RX core සයිඩ්බෑන්ඩ් සංඥා වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ සින්ක් අතුරුමුහුණත් කොටස බලන්න. |
info_avi | ප්රතිදානය | 112 | |
තොරතුරු_vsi | ප්රතිදානය | 61 | |
colordepth_mgmt_sync | ප්රතිදානය | 2 | |
vid_data | ප්රතිදානය | N*48 | HDMI RX මූලික වීඩියෝ වරායන් සටහන: එන් = ඔරලෝසුවකට සංකේත වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
vid_vsync | ප්රතිදානය | N | |
vid_hsync | ප්රතිදානය | N | |
vid_de | ප්රතිදානය | N | |
මාදිලිය | ප්රතිදානය | 1 | HDMI RX මූලික පාලනය සහ තත්ව වරායන් සටහන: එන් = ඔරලෝසුවකට සංකේත වෙත යොමු කරන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
ctrl | ප්රතිදානය | N*6 | |
අගුලු දමා ඇත | ප්රතිදානය | 3 | |
vid_lock | ප්රතිදානය | 1 | |
in_5v_power | ආදානය | 1 | HDMI RX 5V හඳුනාගැනීම සහ හොට්ප්ලග් හඳුනාගැනීම වෙත යොමුවන්න සින්ක් අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
hdmi_rx_hpd_n | පිටතට | 1 |
hdmi_rx_i2c_sda | පිටතට | 1 | HDMI RX DDC සහ SCDC අතුරුමුහුණත |
hdmi_rx_i2c_scl | පිටතට | 1 |
RX EDID RAM සංඥා | |||
edid_ram_ප්රවේශය | ආදානය | 1 | HDMI RX EDID RAM ප්රවේශ අතුරුමුහුණත. ඔබට EDID RAM එකෙන් ලිවීමට හෝ කියවීමට අවශ්ය වූ විට edid_ram_access තහවුරු කරන්න, එසේ නොමැතිනම් මෙම සංඥාව අඩුවෙන් තබාගත යුතුය. |
edid_ram_address | ආදානය | 8 | |
edid_ram_write | ආදානය | 1 | |
edid_ram_read | ආදානය | 1 | |
edid_ram_readdata | ප්රතිදානය | 8 | |
edid_ram_writeddata | ආදානය | 8 | |
edid_ram_waitrequest | ප්රතිදානය | 1 |
වගුව 43. HDMI TX ඉහළ මට්ටමේ සංඥා
සංඥාව | දිශාව | පළල | විස්තරය |
ඔරලෝසුව සහ සංඥා යළි පිහිටුවන්න | |||
mgmt_clk | ආදානය | 1 | පද්ධති ඔරලෝසු ආදානය (100 MHz) |
fr_clk (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | ප්රාථමික සම්ප්රේෂක යොමු ඔරලෝසුව සඳහා නොමිලේ ධාවන ඔරලෝසුව (625 MHz). මෙම ඔරලෝසුව බලය-අප් තත්ත්වය තුළ සම්ප්රේෂක ක්රමාංකනය සඳහා අවශ්ය වේ. මෙම ඔරලෝසුව ඕනෑම සංඛ්යාතයක් විය හැක. |
යළි පිහිටුවන්න | ආදානය | 1 | පද්ධති යළි පිහිටුවීමේ ආදානය |
hdmi_clk_in | ආදානය | 1 | TX IOPLL සහ TX PLL වෙත යොමු ඔරලෝසුව. ඔරලෝසු සංඛ්යාතය TMDS ඔරලෝසු සංඛ්යාතයට සමාන වේ. |
vid_clk_out | ප්රතිදානය | 1 | වීඩියෝ ඔරලෝසු ප්රතිදානය |
ls_clk_out | ප්රතිදානය | 1 | සබැඳි වේග ඔරලෝසු ප්රතිදානය |
sys_init යනු කුමක්ද? | ප්රතිදානය | 1 | බලය-අප් වීමේදී පද්ධතිය යළි පිහිටුවීම සඳහා පද්ධති ආරම්භ කිරීම |
reset_xcvr | ආදානය | 1 | TX සම්ප්රේෂකය වෙත යළි පිහිටුවන්න |
reset_pll | ආදානය | 1 | IOPLL සහ TX PLL වෙත යළි පිහිටුවන්න |
reset_pll_reconfig | ප්රතිදානය | 1 | PLL ප්රතිසංවිධානය වෙත යළි පිහිටුවන්න |
TX Transceiver සහ IOPLL සංඥා | |||
tx_serial_data | ප්රතිදානය | 4 | TX Native PHY වෙතින් HDMI අනුක්රමික දත්ත |
gxb_tx_සුදානම් | ප්රතිදානය | 1 | TX Native PHY සූදානම් බව පෙන්නුම් කරයි |
gxb_tx_cal_busy_out | ප්රතිදානය | 4 | TX Native PHY ක්රමාංකනය ට්රාන්ස්සීවර් බේරුම්කරු වෙත කාර්ය බහුල සංඥාව |
gxb_tx_cal_busy_in | ආදානය | 4 | ට්රාන්ස්සීවර් බේරුම්කරු සිට TX Native PHY වෙත ක්රමාංකන කාර්ය බහුල සංඥාව |
TX Transceiver සහ IOPLL සංඥා | |||
iopll_locked | ප්රතිදානය | 1 | IOPLL අගුලු දමා ඇති බව දක්වන්න |
txpll_locked | ප්රතිදානය | 1 | TX PLL අගුලු දමා ඇති බව දක්වන්න |
gxb_reconfig_write | ආදානය | 4 | ට්රාන්ස්සීවර් ප්රතිසංවිධානය Avalon මතක සිතියම්ගත අතුරු මුහුණත TX Native PHY සිට සම්ප්රේෂක බේරුම්කරු දක්වා |
gxb_reconfig_read | ආදානය | 4 | |
gxb_reconfig_address | ආදානය | 40 | |
gxb_reconfig_writeddata | ආදානය | 128 | |
gxb_reconfig_readdata | ප්රතිදානය | 128 | |
gxb_reconfig_waitrequest | ප්රතිදානය | 4 |
TX IOPLL සහ TX PLL නැවත සකස් කිරීමේ සංඥා | |||
pll_reconfig_write/ tx_pll_reconfig_write | ආදානය | 1 | TX IOPLL/TX PLL නැවත සකස් කිරීම Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
pll_reconfig_read/ tx_pll_reconfig_read | ආදානය | 1 | |
pll_reconfig_address/ tx_pll_reconfig_address | ආදානය | 10 | |
pll_reconfig_writedata/ tx_pll_reconfig_writedata | ආදානය | 32 | |
pll_reconfig_readdata/ tx_pll_reconfig_readdata | ප්රතිදානය | 32 | |
pll_reconfig_waitrequest/ tx_pll_reconfig_waitrequest | ප්රතිදානය | 1 | |
os | ආදානය | 2 | ඕවරampලින්ග් සාධකය: • 0: ඕවර නැතampලින්ග් • 1: 3× ඕවරampලින්ග් • 2: 4× ඕවරampලින්ග් • 3: 5× ඕවරampලින්ග් |
මැනීම | ආදානය | 24 | සම්ප්රේෂණ වීඩියෝ විභේදනයේ TMDS ඔරලෝසු සංඛ්යාතය දක්වයි. |
HDMI TX Core Signals | |||
ctrl | ආදානය | 6*N | HDMI TX මූලික පාලන අතුරුමුහුණත් සටහන: එන් = ඔරලෝසුවකට සංකේත හි මූලාශ්ර අතුරුමුහුණත් කොටස වෙත යොමු වන්න HDMI වැඩි විස්තර සඳහා Intel FPGA IP පරිශීලක මාර්ගෝපදේශය. |
මාදිලිය | ආදානය | 1 | |
TMDS_Bit_clock_Ratio | ආදානය | 1 | SCDC රෙජිස්ටර් අතුරුමුහුණත්
වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ මූලාශ්ර අතුරුමුහුණත් අංශය වෙත යොමු වන්න. |
Scrambler_Enable | ආදානය | 1 | |
audio_de | ආදානය | 1 | HDMI TX මූලික ශ්රව්ය අතුරුමුහුණත්
වෙත යොමු කරන්න මූලාශ්ර අතුරුමුහුණත් කොටසේ HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය වැඩි විස්තර සඳහා. |
ශ්රව්ය_නිශ්ශබ්ද කරන්න | ආදානය | 1 | |
ශ්රව්ය_දත්ත | ආදානය | 256 | |
දිගටම… |
HDMI TX Core Signals | |||
audio_info_ai | ආදානය | 49 | |
ශ්රව්ය_එන් | ආදානය | 22 | |
ශ්රව්ය_CTS | ආදානය | 22 | |
ශ්රව්ය_ පාරදත්ත | ආදානය | 166 | |
ශ්රව්ය_ආකෘතිය | ආදානය | 5 | |
i2c_master_write | ආදානය | 1 | TX I2C මාස්ටර් Avalon මතක සිතියම්ගත කළ අතුරුමුහුණත TX හරය තුළ I2C මාස්ටර් වෙත. සටහන: මෙම සංඥා ලබා ගත හැක්කේ ඔබ ක්රියාත්මක කරන විට පමණි I2C ඇතුළත් කරන්න පරාමිතිය. |
i2c_master_read | ආදානය | 1 | |
i2c_master_address | ආදානය | 4 | |
i2c_master_writeddata | ආදානය | 32 | |
i2c_master_readdata | ප්රතිදානය | 32 | |
aux_සුදානම් | ප්රතිදානය | 1 | HDMI TX මූලික සහායක අතුරුමුහුණත්
වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ මූලාශ්ර අතුරුමුහුණත් අංශය වෙත යොමු වන්න. |
aux_data | ආදානය | 72 | |
aux_sop | ආදානය | 1 | |
aux_eop | ආදානය | 1 | |
aux_valid | ආදානය | 1 | |
ජීසීපී | ආදානය | 6 | HDMI TX core සයිඩ්බෑන්ඩ් සංඥා වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ මූලාශ්ර අතුරුමුහුණත් අංශය වෙත යොමු වන්න. |
info_avi | ආදානය | 113 | |
තොරතුරු_vsi | ආදානය | 62 | |
vid_data | ආදානය | N*48 | HDMI TX මූලික වීඩියෝ වරායන් සටහන: N = ඔරලෝසුවකට සංකේත වැඩි විස්තර සඳහා HDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයේ මූලාශ්ර අතුරුමුහුණත් අංශය වෙත යොමු වන්න. |
vid_vsync | ආදානය | N | |
vid_hsync | ආදානය | N | |
vid_de | ආදානය | N |
I2C සහ Hot Plug සංඥා හඳුනාගැනීම | |||
nios_tx_i2c_sda_in (Intel Quartus Prime Pro සංස්කරණය) සටහන: ඔබ සක්රිය කරන විට I2C ඇතුළත් කරන්න පරාමිතිය, මෙම සංඥාව TX හරය තුළ තබා ඇති අතර මෙම මට්ටමේ දී දෘශ්යමාන නොවේ. |
ප්රතිදානය | 1 | I2C Master Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
nios_tx_i2c_scl_in (Intel Quartus Prime Pro සංස්කරණය) සටහන: ඔබ සක්රිය කරන විට I2C ඇතුළත් කරන්න පරාමිතිය, මෙම සංඥාව TX හරය තුළ තබා ඇති අතර මෙම මට්ටමේ දී දෘශ්යමාන නොවේ. |
ප්රතිදානය | 1 | |
nios_tx_i2c_sda_oe (Intel Quartus Prime Pro සංස්කරණය) සටහන: ඔබ සක්රිය කරන විට I2C ඇතුළත් කරන්න පරාමිතිය, මෙම සංඥාව TX හරය තුළ තබා ඇති අතර මෙම මට්ටමේ දී දෘශ්යමාන නොවේ. |
ආදානය | 1 | |
දිගටම… |
I2C සහ Hot Plug සංඥා හඳුනාගැනීම | |||
nios_tx_i2c_scl_oe (Intel Quartus Prime Pro සංස්කරණය) සටහන: ඔබ සක්රිය කරන විට I2C ඇතුළත් කරන්න පරාමිතිය, මෙම සංඥාව TX හරය තුළ තබා ඇති අතර මෙම මට්ටමේ දී දෘශ්යමාන නොවේ. |
ආදානය | 1 | |
nios_ti_i2c_sda_in (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
nios_ti_i2c_scl_in (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
nios_ti_i2c_sda_oe (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
nios_ti_i2c_scl_oe (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
hdmi_tx_i2c_sda | පිටතට | 1 | HDMI TX DDC සහ SCDC අතුරුමුහුණත් |
hdmi_tx_i2c_scl | පිටතට | 1 | |
hdmi_ti_i2c_sda (Intel Quartus Prime Pro සංස්කරණය) | පිටතට | 1 | Bitec Daughter Card Revision 2 TI11 පාලනය සඳහා I181C අතුරුමුහුණත |
hdmi_tx_ti_i2c_sda (Intel Quartus Prime Standard Edition) | පිටතට | 1 | |
hdmi_ti_i2c_scl (Intel Quartus Prime Pro සංස්කරණය) | පිටතට | 1 | |
hdmi_tx_ti_i2c_scl (Intel Quartus Prime Standard Edition) | පිටතට | 1 | |
tx_i2c_avalon_waitrequest | ප්රතිදානය | 1 | Avalon මතක සිතියම්ගත I2C master හි අතුරුමුහුණත් |
tx_i2c_avalon_address (Intel Quartus Prime Standard Edition) | ආදානය | 3 | |
tx_i2c_avalon_writedata (Intel Quartus Prime Standard Edition) | ආදානය | 8 | |
tx_i2c_avalon_readdata (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 8 | |
tx_i2c_avalon_chipselect (Intel Quartus Prime Standard Edition) | ආදානය | 1 | |
tx_i2c_avalon_write (Intel Quartus Prime Standard Edition) | ආදානය | 1 | |
tx_i2c_irq (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 1 | |
tx_ti_i2c_avalon_waitrequest
(Intel Quartus Prime Standard Edition) |
ප්රතිදානය | 1 | |
tx_ti_i2c_avalon_address (Intel Quartus Prime Standard Edition) | ආදානය | 3 | |
tx_ti_i2c_avalon_writedata (Intel Quartus Prime Standard Edition) | ආදානය | 8 | |
tx_ti_i2c_avalon_readdata (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 8 | |
දිගටම… |
I2C සහ Hot Plug සංඥා හඳුනාගැනීම | |||
tx_ti_i2c_avalon_chipselect (Intel Quartus Prime Standard Edition) | ආදානය | 1 | |
tx_ti_i2c_avalon_write (Intel Quartus Prime Standard Edition) | ආදානය | 1 | |
tx_ti_i2c_irq (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 1 | |
hdmi_tx_hpd_n | ආදානය | 1 | HDMI TX හොට්ප්ලග් අතුරුමුහුණත් හඳුනාගැනීම |
tx_hpd_ack | ආදානය | 1 | |
tx_hpd_req | ප්රතිදානය | 1 |
වගුව 44. සම්ප්රේෂක බේරුම්කරු සංඥා
සංඥාව | දිශාව | පළල | විස්තරය |
clk | ආදානය | 1 | නැවත සකස් කිරීමේ ඔරලෝසුව. මෙම ඔරලෝසුව නැවත සකස් කිරීමේ කළමනාකරණ කොටස් සමඟ එකම ඔරලෝසුව බෙදාගත යුතුය. |
යළි පිහිටුවන්න | ආදානය | 1 | සංඥාව නැවත සකසන්න. මෙම යළි පිහිටුවීම ප්රතිනිර්මාණය කළමණාකරණ කොටස් සමඟ එකම යළි පිහිටුවීම බෙදාගත යුතුය. |
rx_rcfg_en | ආදානය | 1 | RX ප්රතිසංවිධානය සංඥා සක්රීය කරයි |
tx_rcfg_en | ආදානය | 1 | TX ප්රතිසංවිධානය සංඥා සක්රීය කරයි |
rx_rcfg_ch | ආදානය | 2 | RX හරය මත නැවත සකස් කළ යුත්තේ කුමන නාලිකාවද යන්න දක්වයි. මෙම සංඥාව සැමවිටම ස්ථිරව පැවතිය යුතුය. |
tx_rcfg_ch | ආදානය | 2 | TX හරය මත නැවත සකස් කළ යුත්තේ කුමන නාලිකාවද යන්න දක්වයි. මෙම සංඥාව සැමවිටම ස්ථිරව පැවතිය යුතුය. |
rx_reconfig_mgmt_write | ආදානය | 1 | RX ප්රතිසංවිධාන කළමණාකරණයෙන් Avalon-MM අතුරුමුහුණත් නැවත සකස් කිරීම |
rx_reconfig_mgmt_read | ආදානය | 1 | |
rx_reconfig_mgmt_address | ආදානය | 10 | |
rx_reconfig_mgmt_writeddata | ආදානය | 32 | |
rx_reconfig_mgmt_readdata | ප්රතිදානය | 32 | |
rx_reconfig_mgmt_waitrequest | ප්රතිදානය | 1 | |
tx_reconfig_mgmt_write | ආදානය | 1 | Avalon-MM අතුරුමුහුණත් TX නැවත වින්යාස කළමණාකරණයෙන් නැවත සකස් කිරීම |
tx_reconfig_mgmt_read | ආදානය | 1 | |
tx_reconfig_mgmt_address | ආදානය | 10 | |
tx_reconfig_mgmt_writeddata | ආදානය | 32 | |
tx_reconfig_mgmt_readdata | ප්රතිදානය | 32 | |
tx_reconfig_mgmt_waitrequest | ප්රතිදානය | 1 | |
reconfig_write | ප්රතිදානය | 1 | සම්ප්රේෂකය වෙත Avalon-MM අතුරුමුහුණත් නැවත සකස් කිරීම |
reconfig_read | ප්රතිදානය | 1 | |
දිගටම… |
සංඥාව | දිශාව | පළල | විස්තරය |
reconfig_address | ප්රතිදානය | 10 | |
reconfig_writedata | ප්රතිදානය | 32 | |
rx_reconfig_readdata | ආදානය | 32 | |
rx_reconfig_waitrequest | ආදානය | 1 | |
tx_reconfig_readdata | ආදානය | 1 | |
tx_reconfig_waitrequest | ආදානය | 1 | |
rx_cal_busy | ආදානය | 1 | RX සම්ප්රේෂකයෙන් ක්රමාංකන තත්ව සංඥාව |
tx_cal_busy | ආදානය | 1 | TX සම්ප්රේෂකයෙන් ක්රමාංකන තත්ව සංඥාව |
rx_reconfig_cal_busy | ප්රතිදානය | 1 | RX සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලනයට ක්රමාංකන තත්ව සංඥාව |
tx_reconfig_cal_busy | ප්රතිදානය | 1 | TX සම්ප්රේෂක PHY යළි පිහිටුවීමේ පාලනයෙන් ක්රමාංකන තත්ව සංඥාව |
වගුව 45. RX-TX සම්බන්ධක සංඥා
සංඥාව | දිශාව | පළල | විස්තරය |
යළි පිහිටුවන්න | ආදානය | 1 | වීඩියෝ/ශ්රව්ය/උපකාරක/පැතිබෑන්ඩ් FIFO බෆරය වෙත යළි සකසන්න. |
hdmi_tx_ls_clk | ආදානය | 1 | HDMI TX සබැඳි වේග ඔරලෝසුව |
hdmi_rx_ls_clk | ආදානය | 1 | HDMI RX සබැඳි වේග ඔරලෝසුව |
hdmi_tx_vid_clk | ආදානය | 1 | HDMI TX වීඩියෝ ඔරලෝසුව |
hdmi_rx_vid_clk | ආදානය | 1 | HDMI RX වීඩියෝ ඔරලෝසුව |
hdmi_rx_locked | ආදානය | 3 | HDMI RX අගුලු දැමූ තත්ත්වය පෙන්නුම් කරයි |
hdmi_rx_de | ආදානය | N | HDMI RX වීඩියෝ අතුරුමුහුණත් සටහන: එන් = ඔරලෝසුවකට සංකේත |
hdmi_rx_hsync | ආදානය | N | |
hdmi_rx_vsync | ආදානය | N | |
hdmi_rx_data | ආදානය | එන් * 48 | |
rx_audio_format | ආදානය | 5 | HDMI RX ශ්රව්ය අතුරුමුහුණත් |
rx_audio_metadata | ආදානය | 165 | |
rx_audio_info_ai | ආදානය | 48 | |
rx_audio_CTS | ආදානය | 20 | |
rx_audio_N | ආදානය | 20 | |
rx_audio_de | ආදානය | 1 | |
rx_audio_data | ආදානය | 256 | |
rx_gcp | ආදානය | 6 | HDMI RX පැතිබෑන්ඩ් අතුරුමුහුණත් |
rx_info_avi | ආදානය | 112 | |
rx_info_vsi | ආදානය | 61 | |
දිගටම… |
සංඥාව | දිශාව | පළල | විස්තරය |
rx_aux_eop | ආදානය | 1 | HDMI RX සහායක අතුරුමුහුණත් |
rx_aux_sop | ආදානය | 1 | |
rx_aux_valid | ආදානය | 1 | |
rx_aux_data | ආදානය | 72 | |
hdmi_tx_de | ප්රතිදානය | N | HDMI TX වීඩියෝ අතුරුමුහුණත්
සටහන: එන් = ඔරලෝසුවකට සංකේත |
hdmi_tx_hsync | ප්රතිදානය | N | |
hdmi_tx_vsync | ප්රතිදානය | N | |
hdmi_tx_data | ප්රතිදානය | එන් * 48 | |
tx_audio_format | ප්රතිදානය | 5 | HDMI TX ශ්රව්ය අතුරුමුහුණත් |
tx_audio_metadata | ප්රතිදානය | 165 | |
tx_audio_info_ai | ප්රතිදානය | 48 | |
tx_audio_CTS | ප්රතිදානය | 20 | |
tx_audio_N | ප්රතිදානය | 20 | |
tx_audio_de | ප්රතිදානය | 1 | |
tx_audio_data | ප්රතිදානය | 256 | |
tx_gcp | ප්රතිදානය | 6 | HDMI TX පැතිබෑන්ඩ් අතුරුමුහුණත් |
tx_info_avi | ප්රතිදානය | 112 | |
tx_info_vsi | ප්රතිදානය | 61 | |
tx_aux_eop | ප්රතිදානය | 1 | HDMI TX සහායක අතුරුමුහුණත් |
tx_aux_sop | ප්රතිදානය | 1 | |
tx_aux_valid | ප්රතිදානය | 1 | |
tx_aux_data | ප්රතිදානය | 72 | |
tx_aux_සුදානම් | ප්රතිදානය | 1 |
වගුව 46. වේදිකා නිර්මාණකරු පද්ධති සංඥා
සංඥාව | දිශාව | පළල | විස්තරය |
cpu_clk (Intel Quartus Prime Standard Edition) | ආදානය | 1 | CPU ඔරලෝසුව |
clock_bridge_0_in_clk_clk (Intel Quartus Prime Pro සංස්කරණය) | |||
cpu_clk_reset_n (Intel Quartus Prime Standard Edition) | ආදානය | 1 | CPU යළි පිහිටුවීම |
reset_bridge_0_reset_reset_n (Intel Quartus Prime Pro සංස්කරණය) | |||
tmds_bit_clock_ratio_pio_external_connectio n_export | ආදානය | 1 | TMDS බිට් ඔරලෝසු අනුපාතය |
මිනුම්_පියෝ_බාහිර_සම්බන්ධතා_අපනයනය | ආදානය | 24 | අපේක්ෂිත TMDS ඔරලෝසු සංඛ්යාතය |
දිගටම… |
සංඥාව | දිශාව | පළල | විස්තරය |
මැනීම_වලංගු_පියෝ_බාහිර_සම්බන්ධතා_අපනයනය t | ආදානය | 1 | PIO මැනීම වලංගු බව පෙන්නුම් කරයි |
i2c_master_i2c_serial_sda_in (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | I2C මාස්ටර් අතුරුමුහුණත් |
i2c_master_i2c_serial_scl_in (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
i2c_master_i2c_serial_sda_oe (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
i2c_master_i2c_serial_scl_oe (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
i2c_master_ti_i2c_serial_sda_in (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
i2c_master_ti_i2c_serial_scl_in (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
i2c_master_ti_i2c_serial_sda_oe (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
i2c_master_ti_i2c_serial_scl_oe (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_address (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 3 | DDC සහ SCDC සඳහා I2C Master Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_write (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_readdata (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 32 | |
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_writedata (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 32 | |
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_waitrequest (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_chipselect (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
oc_i2c_master_ti_avalon_anti_slave_address (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 3 | Bitec දුව කාඩ්පත් සංශෝධනය සඳහා I2C Master Avalon මතක සිතියම්ගත අතුරුමුහුණත් 11, T1181 පාලනය |
oc_i2c_master_ti_avalon_anti_slave_write (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 1 | |
oc_i2c_master_ti_avalon_anti_slave_readdata (Intel Quartus Prime Standard Edition) | ආදානය | 32 | |
oc_i2c_master_ti_avalon_anti_slave_writed at a (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 32 | |
oc_i2c_master_ti_avalon_anti_slave_waitrequ est (Intel Quartus Prime Standard Edition) | ආදානය | 1 | |
oc_i2c_master_ti_avalon_anti_slave_chipsele ct (Intel Quartus Prime Standard Edition) | ප්රතිදානය | 1 | |
දිගටම… |
සංඥාව | දිශාව | පළල | විස්තරය |
edid_ram_access_pio_external_connection_exp ort | ප්රතිදානය | 1 | EDID RAM ප්රවේශ අතුරුමුහුණත්. ඔබට RX මුදුනේ ඇති EDID RAM වෙත ලිවීමට හෝ කියවීමට අවශ්ය වූ විට edid_ram_access_pio_ external_connection_ අපනයනය තහවුරු කරන්න. Platform Designer හි EDID RAM ප්රවේශ Avalon-MM slave ඉහළ මට්ටමේ RX මොඩියුලවල EDID RAM අතුරුමුහුණතට සම්බන්ධ කරන්න. |
edid_ram_slave_translator_address | ප්රතිදානය | 8 | |
edid_ram_slave_translator_write | ප්රතිදානය | 1 | |
edid_ram_slave_translator_read | ප්රතිදානය | 1 | |
edid_ram_slave_translator_readdata | ආදානය | 8 | |
edid_ram_slave_translator_writeddata | ප්රතිදානය | 8 | |
edid_ram_slave_translator_waitrequest | ආදානය | 1 | |
powerup_cal_done_export (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | RX PMA Reconfiguration Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
rx_pma_cal_busy_export (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
rx_pma_ch_export (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 2 | |
rx_pma_rcfg_mgmt_address (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 12 | |
rx_pma_rcfg_mgmt_write (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
rx_pma_rcfg_mgmt_read (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
rx_pma_rcfg_mgmt_readdata (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 32 | |
rx_pma_rcfg_mgmt_writedata (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 32 | |
rx_pma_rcfg_mgmt_waitrequest (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
rx_pma_waitrequest_export (Intel Quartus Prime Pro සංස්කරණය) | ආදානය | 1 | |
rx_rcfg_en_export (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
rx_rst_xcvr_export (Intel Quartus Prime Pro සංස්කරණය) | ප්රතිදානය | 1 | |
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_waitrequest | ආදානය | 1 | TX PLL Reconfiguration Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_writeddata | ප්රතිදානය | 32 | |
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_address | ප්රතිදානය | 10 | |
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_write | ප්රතිදානය | 1 | |
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_read | ප්රතිදානය | 1 | |
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_readdata | ආදානය | 32 | |
දිගටම… |
සංඥාව | දිශාව | පළල | විස්තරය |
tx_pll_waitrequest_pio_external_connection_ අපනයනය | ආදානය | 1 | TX PLL පොරොත්තු ඉල්ලීම |
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_address | ප්රතිදානය | 12 | TX PMA Reconfiguration Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_write | ප්රතිදානය | 1 | |
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_read | ප්රතිදානය | 1 | |
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_readdata | ආදානය | 32 | |
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_writedata | ප්රතිදානය | 32 | |
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_waitrequest | ආදානය | 1 | |
tx_pma_waitrequest_pio_external_connection_ අපනයනය | ආදානය | 1 | TX PMA පොරොත්තු ඉල්ලීම |
tx_pma_cal_busy_pio_external_connection_exp ort | ආදානය | 1 | TX PMA නැවත ක්රමාංකනය කාර්ය බහුලයි |
tx_pma_ch_export | ප්රතිදානය | 2 | TX PMA නාලිකා |
tx_rcfg_en_pio_external_connection_export | ප්රතිදානය | 1 | TX PMA ප්රතිසංවිධානය සබල කරන්න |
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_writeddata | ප්රතිදානය | 32 | TX IOPLL නැවත සකස් කිරීම Avalon මතක සිතියම්ගත අතුරුමුහුණත් |
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_readdata | ආදානය | 32 | |
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_waitrequest | ආදානය | 1 | |
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_address | ප්රතිදානය | 9 | |
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_write | ප්රතිදානය | 1 | |
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_read | ප්රතිදානය | 1 | |
tx_os_pio_external_connection_export | ප්රතිදානය | 2 | ඕවරampලින්ග් සාධකය: • 0: ඕවර නැතampලින්ග් • 1: 3× ඕවරampලින්ග් • 2: 4× ඕවරampලින්ග් • 3: 5× ඕවරampලින්ග් |
tx_rst_pll_pio_external_connection_export | ප්රතිදානය | 1 | IOPLL සහ TX PLL වෙත යළි පිහිටුවන්න |
tx_rst_xcvr_pio_external_connection_export | ප්රතිදානය | 1 | TX Native PHY වෙත යළි පිහිටුවන්න |
wd_timer_resetrequest_reset | ප්රතිදානය | 1 | වොච්ඩෝග් ටයිමර් යළි පිහිටුවීම |
color_depth_pio_external_connection_export | ආදානය | 2 | වර්ණ ගැඹුර |
tx_hpd_ack_pio_external_connection_export | ප්රතිදානය | 1 | TX hotplug සඳහා අතට සෙලවීම හඳුනා ගන්න |
tx_hpd_req_pio_external_connection_export | ආදානය | 1 |
3.8 RTL පරාමිතීන් සැලසුම් කරන්න
සැලසුම් ex අභිරුචිකරණය කිරීමට HDMI TX සහ RX Top RTL පරාමිති භාවිතා කරන්නample.
නිර්මාණ පරාමිතීන් බොහොමයක් Design Ex හි ඇතampHDMI Intel FPGA IP පරාමිති සංස්කාරකයේ ටැබ් එක. ඔබට තවමත් හිටපු මෝස්තරය වෙනස් කළ හැකියample ඔබව සැකසුම් කරයි
RTL පරාමිති හරහා පරාමිති සංස්කාරකයේ සාදා ඇත.
වගුව 47. HDMI RX ඉහළ පරාමිතීන්
පරාමිතිය | වටිනාකම | විස්තරය |
SUPPORT_DEEP_COLOR | • 0: ගැඹුරු වර්ණයක් නැත • 1: ගැඹුරු වර්ණය |
හරයට ගැඹුරු වර්ණ ආකෘති සංකේතනය කළ හැකිද යන්න තීරණය කරයි. |
SUPPORT_AUXILIARY | • 0: AUX නැත • 1: AUX |
සහායක නාලිකා කේතනය ඇතුළත් වේද යන්න තීරණය කරයි. |
SYMBOLS_PER_CLOCK | 8 | Intel Arria 8 උපාංග සඳහා ඔරලෝසුවකට සංකේත 10ක් සඳහා සහය දක්වයි. |
SUPPORT_AUDIO | • 0: ශ්රව්ය නැත • 1: ශ්රව්ය |
හරයට ශ්රව්ය කේතනය කළ හැකිද යන්න තීරණය කරයි. |
EDID_RAM_ADDR_WIDTH (Intel Quartus Prime Standard Edition) | 8 (පෙරනිමි අගය) | EDID RAM ප්රමාණයේ ලොග් පාදය 2. |
BITEC_DAUGHTER_CARD_REV | • 0: කිසිදු Bitec HDMI දියණියක කාඩ්පතක් ඉලක්ක කර නොගැනීම • 4: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනයට සහය දක්වයි 4 • 6: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය ඉලක්ක කර ගැනීම 6 •11: Bitec HDMI දුව කාඩ්පත් සංශෝධනය ඉලක්ක කිරීම 11 (පෙරනිමිය) |
භාවිතා කරන ලද Bitec HDMI දුව කාඩ්පතේ සංශෝධනය සඳහන් කරයි. ඔබ සංශෝධනය වෙනස් කරන විට, සැලසුම මගින් සම්ප්රේෂක නාලිකා මාරු කර Bitec HDMI දියණිය කාඩ්පත් අවශ්යතා අනුව ධ්රැවීයතාව ප්රතිලෝම කළ හැක. ඔබ BITEC_DAUGHTER_CARD_REV පරාමිතිය 0 ලෙස සකසන්නේ නම්, සැලසුම සම්ප්රේෂක නාලිකා සහ ධ්රැවීයතාවට කිසිදු වෙනසක් නොකරයි. |
POLARITY_INVERSION | • 0: ප්රතිලෝම ධ්රැවීයතාව • 1: ධ්රැවීයතාව ප්රතිලෝම නොකරන්න |
ආදාන දත්තවල එක් එක් බිටු අගය පෙරළීමට මෙම පරාමිතිය 1 ලෙස සකසන්න. මෙම පරාමිතිය 1 ලෙස සැකසීම RX සම්ප්රේෂකයේ rx_polinv තොටට 4'b1111 පවරයි. |
වගුව 48. HDMI TX ඉහළ පරාමිතීන්
පරාමිතිය | වටිනාකම | විස්තරය |
USE_FPLL | 1 | FPLL TX PLL ලෙස Intel Cyclone® 10 GX උපාංග සඳහා පමණක් සහය දක්වයි. සෑම විටම මෙම පරාමිතිය 1 ලෙස සකසන්න. |
SUPPORT_DEEP_COLOR | • 0: ගැඹුරු වර්ණයක් නැත • 1: ගැඹුරු වර්ණය |
හරයට ගැඹුරු වර්ණ ආකෘති සංකේතනය කළ හැකිද යන්න තීරණය කරයි. |
SUPPORT_AUXILIARY | • 0: AUX නැත • 1: AUX |
සහායක නාලිකා කේතනය ඇතුළත් වේද යන්න තීරණය කරයි. |
SYMBOLS_PER_CLOCK | 8 | Intel Arria 8 උපාංග සඳහා ඔරලෝසුවකට සංකේත 10ක් සඳහා සහය දක්වයි. |
දිගටම… |
පරාමිතිය | වටිනාකම | විස්තරය |
SUPPORT_AUDIO | • 0: ශ්රව්ය නැත • 1: ශ්රව්ය |
හරයට ශ්රව්ය කේතනය කළ හැකිද යන්න තීරණය කරයි. |
BITEC_DAUGHTER_CARD_REV | • 0: කිසිදු Bitec HDMI දියණියක කාඩ්පතක් ඉලක්ක කර නොගැනීම • 4: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනයට සහය දක්වයි 4 • 6: Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය ඉලක්ක කර ගැනීම 6 • 11: ඉලක්ක කිරීම Bitec HDMI දියණිය කාඩ්පත් සංශෝධනය 11 (පෙරනිමිය) |
භාවිතා කරන ලද Bitec HDMI දුව කාඩ්පතේ සංශෝධනය සඳහන් කරයි. ඔබ සංශෝධනය වෙනස් කරන විට, සැලසුම මගින් සම්ප්රේෂක නාලිකා මාරු කර Bitec HDMI දියණිය කාඩ්පත් අවශ්යතා අනුව ධ්රැවීයතාව ප්රතිලෝම කළ හැක. ඔබ BITEC_DAUGHTER_CARD_REV පරාමිතිය 0 ලෙස සකසන්නේ නම්, සැලසුම සම්ප්රේෂක නාලිකා සහ ධ්රැවීයතාවට කිසිදු වෙනසක් නොකරයි. |
POLARITY_INVERSION | • 0: ප්රතිලෝම ධ්රැවීයතාව • 1: ධ්රැවීයතාව ප්රතිලෝම නොකරන්න |
ආදාන දත්තවල එක් එක් බිටු අගය පෙරළීමට මෙම පරාමිතිය 1 ලෙස සකසන්න. මෙම පරාමිතිය 1 ලෙස සැකසීම TX සම්ප්රේෂකයේ tx_polinv තොටට 4'b1111 පවරයි. |
3.9. දෘඪාංග සැකසුම
HDMI Intel FPGA IP නිර්මාණය example HDMI 2.0b හැකියාව ඇති අතර සම්මත HDMI වීඩියෝ ප්රවාහයක් සඳහා loopthrough demonstration එකක් කරයි.
දෘඪාංග පරීක්ෂණය ක්රියාත්මක කිරීමට, HDMI අතුරුමුහුණත සහිත ග්රැෆික් කාඩ්පතක් වැනි HDMI-සක්රීය උපාංගයක් Transceiver Native PHY RX බ්ලොක් එකට සහ HDMI සින්ක් වෙත සම්බන්ධ කරන්න.
ආදානය.
- HDMI සින්ක් වරාය සම්මත වීඩියෝ ප්රවාහයකට විකේතනය කර ඔරලෝසු ප්රතිසාධන හරය වෙත යවයි.
- HDMI RX හරය DCFIFO හරහා HDMI TX හරයට සමාන්තරව නැවත ලූප් කිරීමට වීඩියෝ, සහායක සහ ශ්රව්ය දත්ත විකේතනය කරයි.
- FMC දියණිය කාඩ්පතේ HDMI මූලාශ්ර තොට රූපය මොනිටරයකට සම්ප්රේෂණය කරයි.
සටහන:
ඔබට වෙනත් Intel FPGA සංවර්ධන පුවරුවක් භාවිතා කිරීමට අවශ්ය නම්, ඔබ උපාංග පැවරුම් සහ පින් පැවරුම් වෙනස් කළ යුතුය. ට්රාන්ස්සීවර් ඇනලොග් සැකසුම Intel Arria 10 FPGA සංවර්ධන කට්ටලය සහ Bitec HDMI 2.0 දියණිය කාඩ්පත සඳහා පරීක්ෂා කෙරේ. ඔබට ඔබේම පුවරුව සඳහා සැකසුම් වෙනස් කළ හැකිය.
වගුව 49. ඔන්-බෝඩ් තල්ලු බොත්තම සහ පරිශීලක LED කාර්යයන්
තල්ලු බොත්තම / LED | කාර්යය |
cpu_resetn | පද්ධති යළි පිහිටුවීම සිදු කිරීමට වරක් ඔබන්න. |
user_pb[0] | HPD සංඥාව සම්මත HDMI මූලාශ්රයට ටොගල් කිරීමට වරක් ඔබන්න. |
user_pb[1] | • DVI කේතනය කළ සංඥා යැවීමට TX හරයට උපදෙස් දීමට ඔබා අල්ලාගෙන සිටින්න. • HDMI කේතනය කළ සංඥාව යැවීමට නිදහස් කරන්න. |
user_pb[2] | • සයිඩ්බෑන්ඩ් සංඥා වලින් InfoFrames යැවීම නැවැත්වීමට TX හරයට උපදෙස් දීමට ඔබා අල්ලාගෙන සිටින්න. • සයිඩ්බෑන්ඩ් සංඥා වලින් InfoFrames යැවීම නැවත ආරම්භ කිරීමට නිදහස් කරන්න. |
USER_LED[0] | RX HDMI PLL අගුළු තත්ත්වය. • 0 = අගුළු හරින ලදී • 1 = අගුලු දමා ඇත |
USER_LED[1] | RX transceiver සූදානම් තත්ත්වය. |
දිගටම… |
තල්ලු බොත්තම / LED | කාර්යය |
• 0 = සූදානම් නැත • 1 = සූදානම් |
|
USER_LED[2] | RX HDMI හර අගුළු තත්ත්වය. • 0 = අවම වශයෙන් 1 නාලිකාවක් අගුළු හැර ඇත • 1 = සියලුම නාලිකා 3 අගුලු දමා ඇත |
USER_LED[3] | RX ඕවරampලින්ග් තත්ත්වය. • 0 = ඕවර නොවනampled (දත්ත අනුපාතය > Intel Arria 1,000 උපාංගයේ 10 Mbps) • 1 = ඕවරampled (Intel Arria 100 උපාංගයේ දත්ත අනුපාතය < 10 Mbps) |
USER_LED[4] | TX HDMI PLL අගුළු තත්ත්වය. • 0 = අගුළු හරින ලදී • 1 = අගුලු දමා ඇත |
USER_LED[5] | TX transceiver සූදානම් තත්ත්වය. • 0 = සූදානම් නැත • 1 = සූදානම් |
USER_LED[6] | TX transceiver PLL අගුළු තත්ත්වය. • 0 = අගුළු හරින ලදී • 1 = අගුලු දමා ඇත |
USER_LED[7] | TX ඕවරampලින්ග් තත්ත්වය. • 0 = ඕවර නොවනampled (දත්ත අනුපාතය > Intel Arria 1,000 උපාංගයේ 10 Mbps) • 1 = ඕවරampled (Intel Arria 1,000 උපාංගයේ දත්ත අනුපාතය < 10 Mbps) |
3.10 සමාකරණ පරීක්ෂණ බංකුව
සමාකරණ පරීක්ෂණ බංකුව HDMI TX අනුක්රමික පුඩුව RX හරයට අනුකරණය කරයි.
සටහන:
මෙම සමාකරණ පරීක්ෂණ බංකුව Include I2C පරාමිතිය සබල කර ඇති මෝස්තර සඳහා සහය නොදක්වයි.
3. HDMI 2.0 Design Example (සහාය FRL = 0)
683156 | 2022.12.27
රූපය 28. HDMI Intel FPGA IP සිමියුලේෂන් ටෙස්ට්බෙන්ච් බ්ලොක් රූප සටහන
වගුව 50. ටෙස්ට් බංකු සංරචක
සංරචකය | විස්තරය |
වීඩියෝ TPG | වීඩියෝ පරීක්ෂණ රටා උත්පාදක යන්ත්රය (TPG) වීඩියෝ උත්තේජනය සපයයි. |
ශ්රව්ය එස්ampලෙ ජෙනරාල් | ශ්රව්ය එස්ample generator ශ්රව්ය s සපයයිample උත්තේජනය. උත්පාදක යන්ත්රය ශ්රව්ය නාලිකාව හරහා සම්ප්රේෂණය කිරීමට වැඩිවන පරීක්ෂණ දත්ත රටාවක් ජනනය කරයි. |
ඕක්ස් එස්ampලෙ ජෙනරාල් | aux sample generator සහායක s සපයයිample උත්තේජනය. උත්පාදක යන්ත්රය සම්ප්රේෂකයෙන් සම්ප්රේෂණය කිරීමට ස්ථාවර දත්ත ජනනය කරයි. |
CRC පරීක්ෂාව | TX සම්ප්රේෂකය ප්රතිසාධනය කරන ලද ඔරලෝසු සංඛ්යාතය අපේක්ෂිත දත්ත අනුපාතයට ගැළපෙන්නේ දැයි මෙම පරීක්ෂකය සත්යාපනය කරයි. |
ශ්රව්ය දත්ත පරීක්ෂා කිරීම | ශ්රව්ය දත්ත පරීක්ෂාව වර්ධක පරීක්ෂණ දත්ත රටාව නිවැරදිව ලැබී තිබේද සහ විකේතනය කර තිබේද යන්න සංසන්දනය කරයි. |
Aux දත්ත පරීක්ෂා කිරීම | aux දත්ත පරීක්ෂාව මඟින් අපේක්ෂිත aux දත්ත ලැබී තිබේද සහ ග්රාහක පැත්තේ නිවැරදිව විකේතනය කර තිබේද යන්න සංසන්දනය කරයි. |
HDMI සමාකරණ පරීක්ෂණ බංකුව පහත සත්යාපන පරීක්ෂණ සිදු කරයි:
HDMI විශේෂාංගය | සත්යාපනය |
වීඩියෝ දත්ත | • පරීක්ෂණ බංකුව ආදාන සහ ප්රතිදාන වීඩියෝව මත CRC පරීක්ෂා කිරීම ක්රියාත්මක කරයි. • එය ලැබුණු වීඩියෝ දත්තවල ගණනය කර ඇති CRC වලට එරෙහිව සම්ප්රේෂණය කරන ලද දත්තවල CRC අගය පරීක්ෂා කරයි. • පරීක්ෂණ බංකුව ග්රාහකයෙන් ස්ථායී V-SYNC සංඥා 4ක් හඳුනා ගැනීමෙන් පසුව පරීක්ෂා කිරීම සිදු කරයි. |
සහායක දත්ත | • aux sample generator සම්ප්රේෂකයෙන් සම්ප්රේෂණය කිරීමට ස්ථාවර දත්ත ජනනය කරයි. • ග්රාහක පැත්තේ, උත්පාදක යන්ත්රය අපේක්ෂිත සහායක දත්ත ලබාගෙන නිවැරදිව විකේතනය කර තිබේද යන්න සංසන්දනය කරයි. |
ශ්රව්ය දත්ත | • ශ්රව්ය එස්ample generator ශ්රව්ය නාලිකාව හරහා සම්ප්රේෂණය කිරීම සඳහා වැඩිවන පරීක්ෂණ දත්ත රටාවක් ජනනය කරයි. • ග්රාහක පැත්තේ, ශ්රව්ය දත්ත පරීක්ෂකය වර්ධක පරීක්ෂණ දත්ත රටාව නිවැරදිව ලබාගෙන විකේතනය කර ඇත්ද යන්න පරීක්ෂා කර සංසන්දනය කරයි. |
සාර්ථක අනුකරණයක් පහත පණිවිඩය සමඟ අවසන් වේ:
# SYMBOLS_PER_CLOCK = 2
# VIC = 4
# FRL_RATE = 0
# BPP = 0
# AUDIO_FREQUENCY (kHz) = 48
# AUDIO_CHANNEL = 8
# සිමියුලේෂන් පාස්
වගුව 51. HDMI Intel FPGA IP නිර්මාණය Example සහය දක්වන සිමියුලේටර්
සිමියුලේටරය | වෙරිලොග් HDL | වීඑච්ඩීඑල් |
ModelSim - Intel FPGA සංස්කරණය/ ModelSim - Intel FPGA ආරම්භක සංස්කරණය | ඔව් | ඔව් |
VCS/VCS MX | ඔව් | ඔව් |
රිවීරා-PRO | ඔව් | ඔව් |
Xcelium සමාන්තර | ඔව් | නැත |
3.11. ඔබේ නිර්මාණය උත්ශ්රේණි කිරීම
වගුව 52. HDMI නිර්මාණ Example පෙර Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය සමඟ අනුකූල වීම
නිර්මාණ Example ප්රභේදය | Intel Quartus Prime Pro සංස්කරණයට උත්ශ්රේණි කිරීමේ හැකියාව 20.3 |
HDMI 2.0 Design Example (සහාය FRL = 0) | නැත |
ඕනෑම නොගැලපෙන නිර්මාණයක් සඳහා හිටපුamples, ඔබ පහත සඳහන් දේ කළ යුතුයි:
- නව නිර්මාණයක් උත්පාදනය කරන්න example වත්මන් Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදයේ ඔබගේ පවතින සැලසුමේ එකම වින්යාසය භාවිතා කරයි.
- මුළු නිර්මාණයම සසඳන්න example නාමාවලිය සමඟ නිර්මාණය example පෙර Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග අනුවාදය භාවිතයෙන් ජනනය කරන ලදී. සොයාගත් වෙනස්කම් හරහා වරාය.
HDCP Over HDMI 2.0/2.1 Design Example
HDCP හරහා HDMI දෘඪාංග නිර්මාණය exampHDCP විශේෂාංගයේ ක්රියාකාරීත්වය ඇගයීමට le ඔබට උපකාර කරන අතර ඔබේ Intel Arria 10 මෝස්තරවල විශේෂාංගය භාවිතා කිරීමට ඔබට හැකියාව ලැබේ.
සටහන:
HDCP විශේෂාංගය Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ ඇතුළත් නොවේ. HDCP විශේෂාංගයට ප්රවේශ වීමට, Intel අමතන්න https://www.intel.com/content/www/us/en/broadcast/products/programmable/applications/connectivity-solutions.html.
4.1 අධි කලාප පළල ඩිජිටල් අන්තර්ගත ආරක්ෂණය (HDCP)
High-bandwidth Digital Content Protection (HDCP) යනු සංදර්ශකය වෙත මූලාශ්රය අතර ආරක්ෂිත සම්බන්ධතාවයක් ඇති කිරීම සඳහා ඩිජිටල් හිමිකම් ආරක්ෂණ ආකාරයකි.
Intel විසින් ඩිජිටල් අන්තර්ගත ආරක්ෂණ LLC සමූහය විසින් බලපත්ර ලබා ඇති මුල් තාක්ෂණය නිර්මාණය කරන ලදී. HDCP යනු සම්ප්රේෂකය සහ ග්රාහකය අතර ශ්රව්ය/දෘෂ්ය ප්රවාහය සංකේතනය කර නීති විරෝධී පිටපත් කිරීමෙන් එය ආරක්ෂා කරන පිටපත් ආරක්ෂණ ක්රමයකි.
HDCP විශේෂාංග HDCP පිරිවිතර අනුවාදය 1.4 සහ HDCP පිරිවිතර අනුවාදය 2.3 අනුගත වේ.
HDCP 1.4 සහ HDCP 2.3 IPs සංකේතාත්මක IP වලින් පිටත සිට ප්රවේශ විය හැකි රහස්ය අගයන් (පුද්ගලික යතුර සහ සැසි යතුර වැනි) නොමැතිව දෘඪාංග මූලික තර්කය තුළ සියලුම ගණනය කිරීම් සිදු කරයි.
වගුව 53. HDCP IP කාර්යයන්
HDCP IP | කාර්යයන් |
HDCP 1.4 IP | • සත්යාපන හුවමාරුව - ප්රධාන යතුර (කි.මී.) ගණනය කිරීම - අහඹු An පරම්පරාව - සැසි යතුර (Ks), M0 සහ R0 ගණනය කිරීම. • පුනරාවර්තකය සමඟ සත්යාපනය - V සහ V' ගණනය කිරීම සහ සත්යාපනය • සබැඳි අඛණ්ඩතාව තහවුරු කිරීම - රාමු යතුර (Ki), Mi සහ Ri ගණනය කිරීම. |
දිගටම… |
ඉන්ටෙල් සංස්ථාව. සියලුම හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල කාර්ය සාධනය වර්තමාන පිරිවිතරයන්ට අනුව Intel හි සම්මත වගකීම් සහතිකයට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම නිෂ්පාදනයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ.
*වෙනත් නම් සහ වෙළඳ නාම අන් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
ISO
9001:2015
ලියාපදිංචි කර ඇත
HDCP IP | කාර්යයන් |
• hdcpBlockCipher, hdcpStreamCipher, hdcpRekeyCipher, සහ hdcpRngCipher ඇතුළු සියලුම කේතාංක මාතයන් • මුල් සංකේතාංකන තත්ත්ව සංඥා කිරීම (DVI) සහ වැඩි දියුණු කළ සංකේතාංකන තත්ව සංඥා කිරීම (HDMI) • සත්ය අහඹු සංඛ්යා උත්පාදක යන්ත්රය (TRNG) — දෘඪාංග පදනම් වූ, සම්පූර්ණ ඩිජිටල් ක්රියාත්මක කිරීම සහ නිර්ණය නොවන අහඹු සංඛ්යා උත්පාදක යන්ත්රය |
|
HDCP 2.3 IP | • ප්රධාන යතුර (km), සැසි යතුර (ks) සහ nonce (rn, riv) උත්පාදනය — NIST.SP800-90A අහඹු සංඛ්යා උත්පාදනයට අනුකූල වේ • සත්යාපනය සහ යතුරු හුවමාරුව — NIST.SP800-90A සසම්භාවී සංඛ්යා උත්පාදනයට අනුකූල වන rtx සහ rrx සඳහා අහඹු සංඛ්යා උත්පාදනය කිරීම - DCP පොදු යතුර (kpubdcp) භාවිතයෙන් ග්රාහක සහතිකයේ (certrx) අත්සන් සත්යාපනය — බිටු 3072 RSASSA-PKCS#1 v1.5 — RSAES-OAEP (PKCS#1 v2.1) Master Key (km) සංකේතනය කිරීම සහ විකේතනය කිරීම - AES-CTR මාදිලිය භාවිතයෙන් kd (dkey0, dkey1) ව්යුත්පන්න කිරීම - H සහ H' ගණනය කිරීම සහ සත්යාපනය — Ekh (km) සහ km (යුගල කිරීම) ගණනය කිරීම • පුනරාවර්තකය සමඟ සත්යාපනය - V සහ V' ගණනය කිරීම සහ සත්යාපනය - M සහ M' ගණනය කිරීම සහ සත්යාපනය • පද්ධති පුනර්ජනනීයත්වය (SRM) - kpubdcp භාවිතයෙන් SRM අත්සන තහවුරු කිරීම — බිටු 3072 RSASSA-PKCS#1 v1.5 • සැසි යතුරු හුවමාරුව • Edkey(ks) සහ riv නිපදවීම සහ ගණනය කිරීම. • AES-CTR මාදිලිය භාවිතයෙන් dkey2 ව්යුත්පන්න කිරීම • ස්ථානීය පරීක්ෂාව - L සහ L' ගණනය කිරීම සහ සත්යාපනය - නොන්ස් පරම්පරාව (rn) • දත්ත ප්රවාහ කළමනාකරණය - AES-CTR මාදිලිය පදනම් කරගත් යතුරු ප්රවාහ උත්පාදනය • අසමමිතික ක්රිප්ටෝ ඇල්ගොරිතම — මාපාංක දිග 1024 (kpubrx) සහ 3072 (kpubdcp) බිටු සහිත RSA — RSA-CRT (චීන ඉතිරි ප්රමේයය) මාපාංක දිග බිටු 512 (kprivrx) සහ ඝාතීය දිග බිටු 512 (kprivrx) • පහත් මට්ටමේ ගුප්ත ලේඛන කාර්යය - සමමිතික ක්රිප්ටෝ ඇල්ගොරිතම • බිටු 128 ක යතුරු දිගක් සහිත AES-CTR මාදිලිය - Hash, MGF සහ HMAC ඇල්ගොරිතම • එච්ඒ256 • HMAC-SHA256 • MGF1-SHA256 - සත්ය අහඹු සංඛ්යා උත්පාදක යන්ත්රය (TRNG) • NIST.SP800-90A අනුකූල වේ • දෘඪාංග පදනම් වූ, සම්පූර්ණ ඩිජිටල් ක්රියාත්මක කිරීම සහ නිර්ණය නොවන අහඹු සංඛ්යා උත්පාදක යන්ත්රය |
4.1.1. HDCP හරහා HDMI නිර්මාණ Example ගෘහ නිර්මාණ ශිල්පය
HDMI හෝ වෙනත් HDCP-ආරක්ෂිත ඩිජිටල් අතුරුමුහුණත් හරහා සම්බන්ධිත උපාංග අතර දත්ත සම්ප්රේෂණය වන බැවින් HDCP විශේෂාංගය දත්ත ආරක්ෂා කරයි.
HDCP-ආරක්ෂිත පද්ධතිවලට උපාංග වර්ග තුනක් ඇතුළත් වේ:
4. HDCP Over HDMI 2.0/2.1 Design Example
683156 | 2022.12.27
• මූලාශ්ර (TX)
• සින්ක් (RX)
• පුනරාවර්තන
මෙම නිර්මාණය හිටපුample විසින් HDCP පද්ධතිය පුනරාවර්තන උපාංගයක් තුළ නිරූපණය කරයි, එහිදී එය දත්ත පිළිගෙන, විකේතනය කරයි, පසුව දත්ත නැවත සංකේතනය කරයි, සහ අවසානයේ දත්ත නැවත සම්ප්රේෂණය කරයි. පුනරාවර්තන වල HDMI ආදාන සහ ප්රතිදාන දෙකම ඇත. එය HDMI සින්ක් සහ මූලාශ්රය අතර සෘජු HDMI වීඩියෝ ප්රවාහයක් සිදු කිරීමට FIFO බෆර ක්ෂණිකව ලබා දෙයි. එය වීඩියෝ සහ රූප සැකසුම් (VIP) Suite IP මධ්යයන් සමඟ FIFO බෆර ප්රතිස්ථාපනය කිරීමෙන් වීඩියෝ ඉහළ විභේදන ආකෘතියකට පරිවර්තනය කිරීම වැනි සමහර සංඥා සැකසුම් සිදු කළ හැක.
රූපය 29. HDCP හරහා HDMI නිර්මාණ Example බ්ලොක් රූප සටහන
නිර්මාණයේ ගෘහ නිර්මාණ ශිල්පය පිළිබඳ පහත විස්තරample HDCP හරහා HDMI නිර්මාණයට අනුරූප වේ example වාරණ රූප සටහන. FRL = 1 සහය දක්වන විට හෝ
සහාය HDCP යතුරු කළමනාකරණය = 1, නිර්මාණය example ධුරාවලිය 29 පිටුවේ රූප සටහන 95 ට වඩා තරමක් වෙනස් නමුත් යටින් පවතින HDCP කාර්යයන් පවතී
එකම.
- HDCP1x සහ HDCP2x යනු HDMI Intel FPGA IP පරාමිති සංස්කාරකය හරහා ලබා ගත හැකි IP වේ. ඔබ පරාමිති සංස්කාරකයේ HDMI IP වින්යාස කරන විට, ඔබට HDCP1x හෝ HDCP2x හෝ IP දෙකම උප පද්ධතියේ කොටසක් ලෙස සක්රිය කර ඇතුළත් කළ හැක. HDCP IP දෙකම සක්රීය කර ඇති අතර, HDMI IP HDCP2x සහ HDCP1x IPs පසුපසට සම්බන්ධ කර ඇති කඳුරැල්ල ස්ථලකය තුළම වින්යාස කරයි.
• HDMI TX හි HDCP egress අතුරුමුහුණත සංකේතනය නොකළ ශ්රව්ය වීඩියෝ දත්ත යවයි.
• සංකේතනය නොකළ දත්ත සක්රිය HDCP බ්ලොක් එක මගින් සංකේතනය කර සබැඳිය හරහා සම්ප්රේෂණය කිරීම සඳහා HDCP Ingress අතුරුමුහුණත හරහා නැවත HDMI TX වෙත යවනු ලැබේ.
• CPU උප පද්ධතිය සත්යාපන ප්රධාන පාලකය ලෙස ඕනෑම අවස්ථාවක HDCP TX IP වලින් එකක් පමණක් සක්රිය බවත් අනෙක් එක නිෂ්ක්රීය බවත් සහතික කරයි.
• ඒ හා සමානව, HDCP RX බාහිර HDCP TX එකකින් සබැඳිය හරහා ලැබෙන දත්ත විකේතනය කරයි. - ඔබ HDCP IPs ඩිජිටල් අන්තර්ගත ආරක්ෂණ (DCP) නිකුත් කරන ලද නිෂ්පාදන යතුරු සමඟ වැඩසටහන්ගත කළ යුතුය. පහත යතුරු පූරණය කරන්න:
වගුව 54. DCP නිකුත් කරන ලද නිෂ්පාදන යතුරු
HDCP ටීඑක්ස්/ආර්එක්ස් යතුරු HDCP2x TX බයිට් 16: ගෝලීය නියත (lc128) RX • බයිට් 16 (TX හා සමාන): ගෝලීය නියත (lc128)
• බයිට් 320: RSA පුද්ගලික යතුර (kprivrx)
• බයිට් 522: RSA පොදු යතුරු සහතිකය (certrx)HDCP1x TX • බයිට් 5: TX යතුරු තේරීම් දෛශිකය (Aksv)
• බයිට් 280: TX පුද්ගලික උපාංග යතුරු (Akeys)RX • බයිට් 5: RX යතුරු තේරීම් දෛශිකය (Bksv)
• බයිට් 280: RX පුද්ගලික උපාංග යතුරු (Bkeys)නිර්මාණය හිටපුample ප්රධාන මතකයන් සරල ද්විත්ව වරාය, ද්විත්ව ඔරලෝසු සමමුහුර්ත RAM ලෙස ක්රියාත්මක කරයි. HDCP2x TX වැනි කුඩා යතුරු ප්රමාණය සඳහා, සාමාන්ය තාර්කික රෙජිස්ටර් භාවිතයෙන් IP යතුරු මතකය ක්රියාත්මක කරයි.
සටහන: Intel විසින් HDCP නිෂ්පාදන යතුරු සැලසුම් ex සමඟ ලබා නොදේampඕනෑම තත්වයක් යටතේ le හෝ Intel FPGA IPs. HDCP IPs භාවිතා කිරීමට හෝ නිර්මාණ example, ඔබ HDCP හදාගන්නෙකු බවට පත් විය යුතු අතර ඩිජිටල් අන්තර්ගත ආරක්ෂණ LLC (DCP) වෙතින් නිෂ්පාදන යතුරු කෙලින්ම ලබා ගත යුතුය.
නිර්මාණය ධාවනය කිරීමට example, ඔබ යතුරු මතකය සංස්කරණය කරන්න fileනිෂ්පාදන යතුරු ඇතුළත් කිරීමට හෝ බාහිර ගබඩා උපාංගයකින් නිෂ්පාදන යතුරු ආරක්ෂිතව කියවීමට සහ ධාවන වේලාවේදී ප්රධාන මතකයන් වෙත ඒවා ලිවීමට තාර්කික කොටස් ක්රියාත්මක කිරීමට සම්පාදනය කරන අවස්ථාවේදී s. - ඔබට 2 MHz දක්වා ඕනෑම සංඛ්යාතයකින් HDCP200x IP හි ක්රියාත්මක කරන ලද ගුප්ත ලේඛන ශ්රිතයන් ඔරලෝසු කළ හැක. මෙම ඔරලෝසුවේ සංඛ්යාතය කෙතරම් ඉක්මනින් තීරණය කරයි
HDCP2x සත්යාපනය ක්රියාත්මක වේ. ඔබට Nios II ප්රොසෙසරය සඳහා භාවිතා කරන 100 MHz ඔරලෝසුව බෙදා ගැනීමට තෝරා ගත හැකි නමුත් 200 MHz ඔරලෝසුවක් භාවිතා කිරීමට සාපේක්ෂව සත්යාපන ප්රමාදය දෙගුණයක් වනු ඇත. - HDCP TX සහ HDCP RX අතර හුවමාරු විය යුතු අගයන් HDCP- හි HDMI DDC අතුරුමුහුණත (I2 C අනුක්රමික අතුරුමුහුණත) හරහා සන්නිවේදනය කෙරේ.
ආරක්ෂිත අතුරු මුහුණත. HDCP RX එය සහාය දක්වන සෑම සබැඳියක් සඳහාම I2C බසයේ තාර්කික උපාංගයක් ඉදිරිපත් කළ යුතුය. 2x0 උපාංග ලිපිනය සහිත HDCP වරාය සඳහා I74C slave අනුපිටපත් කර ඇත. එය HDCP2x සහ HDCP1x RX IP දෙකෙහිම HDCP ලියාපදිංචි වරාය (Avalon-MM) ධාවනය කරයි. - HDMI TX RX වෙතින් EDID කියවීමට සහ HDMI 2.0 මෙහෙයුම සඳහා අවශ්ය SCDC දත්ත RX වෙත මාරු කිරීමට IC මාස්ටර් භාවිතා කරයි. Nios II ප්රොසෙසරය මඟින් ධාවනය වන එම I2C මාස්ටර් TX සහ RX අතර HDCP පණිවිඩ මාරු කිරීමට ද භාවිතා කරයි. I2C මාස්ටර් CPU උප පද්ධතිය තුළ තැන්පත් කර ඇත.
- Nios II ප්රොසෙසරය සත්යාපන ප්රොටෝකෝලයේ ප්රධානියා ලෙස ක්රියා කරන අතර HDCP2x සහ HDCP1x TX දෙකෙහිම පාලන සහ තත්ව ලේඛන (Avalon-MM) ධාවනය කරයි.
IPs. මෘදුකාංග රියදුරන් සහතික අත්සන සත්යාපනය, ප්රධාන යතුරු හුවමාරුව, ප්රාදේශීය පරීක්ෂාව, සැසි යතුරු හුවමාරුව, යුගල කිරීම, සම්බන්ධක අඛණ්ඩතා පරීක්ෂාව (HDCP1x) සහ ස්ථල විද්යාව තොරතුරු ප්රචාරණය සහ ප්රවාහ කළමනාකරණ තොරතුරු ප්රචාරණය වැනි පුනරාවර්තක සමඟ සත්යාපනය ඇතුළු සත්යාපන ප්රොටෝකෝල රාජ්ය යන්ත්රය ක්රියාත්මක කරයි. මෘදුකාංග ධාවක සත්යාපන ප්රොටෝකෝලයට අවශ්ය කිසිදු ගුප්ත ලේඛන කාර්යයන් ක්රියාත්මක නොකරයි. ඒ වෙනුවට, HDCP IP දෘඪාංගය රහස්ය අගයන් වෙත ප්රවේශ විය නොහැකි බව සහතික කරමින් සියලුම ගුප්ත ලේඛන කාර්යයන් ක්රියාත්මක කරයි.
7. සත්ය පුනරාවර්තක ආදර්ශනයක දී ස්ථල විද්යා තොරතුරු උඩුගං බලා ප්රචාරණය කිරීම අවශ්ය වේ, Nios II ප්රොසෙසරය HDCP2x සහ HDCP1x RX IP දෙකෙහිම පුනරාවර්තන පණිවිඩ වරාය (Avalon-MM) ධාවනය කරයි. Nios II ප්රොසෙසරය සම්බන්ධිත පහළට HDCP හැකියාව නොමැති බව හෝ පහළට සම්බන්ධ වී නොමැති විට RX REPEATER බිට් එක 0 දක්වා ඉවත් කරයි. පහළ සම්බන්ධතාවයකින් තොරව, RX පද්ධතිය දැන් පුනරාවර්තකයක් වෙනුවට අවසාන ලක්ෂ්ය ග්රාහකයකි. ප්රතිලෝමව, Nios II ප්රොසෙසරය HDCP-හැකි බව හඳුනාගැනීමෙන් RX REPEATER bit එක 1 ට සකසයි.
4.2 Nios II ප්රොසෙසර මෘදුකාංග ප්රවාහය
Nios II මෘදුකාංග ප්රවාහ සටහනට HDMI යෙදුම මත HDCP සත්යාපන පාලන ඇතුළත් වේ.
රූපය 30. Nios II ප්රොසෙසර මෘදුකාංග ගැලීම් සටහන
- Nios II මෘදුකාංගය HDMI TX PLL, TX transceiver PHY, I2C master සහ බාහිර TI retimer ආරම්භ කර නැවත සකසයි.
- වීඩියෝ විභේදනය වෙනස් වී තිබේද යන්න සහ TX නැවත සකස් කිරීම අවශ්යද යන්න තීරණය කිරීමට Nios II මෘදුකාංගය RX අනුපාත හඳුනාගැනීමේ පරිපථයෙන් කාලානුරූපී අනුපාත හඳුනාගැනීමේ වලංගු සංඥා විමසයි. TX hot-plug සිදුවීමක් සිදුවී ඇත්ද යන්න තීරණය කිරීම සඳහා මෘදුකාංගය TX hot-plug හඳුනාගැනීමේ සංඥාව ද විමසයි.
- RX අනුපාත හඳුනාගැනීමේ පරිපථයෙන් වලංගු සංඥාවක් ලැබුණු විට, Nios II මෘදුකාංගය HDMI RX වෙතින් SCDC සහ ඔරලෝසු ගැඹුර අගයන් කියවා HDMI TX PLL සහ සම්ප්රේෂක PHY ප්රතිසංවිධානය අවශ්යද යන්න තීරණය කිරීම සඳහා අනාවරණය කරගත් අනුපාතය මත පදනම්ව ඔරලෝසු සංඛ්යාත කලාපය ලබා ගනී. TX නැවත වින්යාස කිරීම අවශ්ය නම්, Nios II මෘදුකාංගය I2C master හට SCDC අගය බාහිර RX වෙත යැවීමට අණ කරයි. ඉන්පසු එය HDMI TX PLL සහ TX සම්ප්රේෂකය නැවත සකස් කිරීමට අණ කරයි
PHY, පසුව උපාංග නැවත ක්රමාංකනය, සහ අනුපිළිවෙල යළි පිහිටුවන්න. අනුපාතය වෙනස් නොවන්නේ නම්, TX නැවත සකස් කිරීම හෝ HDCP නැවත සත්යාපනය අවශ්ය නොවේ. - TX hot-plug සිදුවීමක් සිදු වූ විට, Nios II මෘදුකාංගය I2C මාස්ටර්ට SCDC අගය බාහිර RX වෙත යැවීමට අණ කරයි, පසුව RX වෙතින් EDID කියවන්න.
සහ අභ්යන්තර EDID RAM යාවත්කාලීන කරන්න. මෘදුකාංගය පසුව EDID තොරතුරු උඩු ප්රවාහයට ප්රචාරණය කරයි. - Nios II මෘදුකාංගය HDCP ක්රියාකාරකම ආරම්භ කරන්නේ I2C මාස්ටර්ට බාහිර RX වෙතින් ඕෆ්සෙට් 0x50 කියවීමට අණ කිරීම මගින් පහළට HDCP හැකියාව තිබේද යන්න හඳුනාගැනීම සඳහා හෝ
එසේ නොමැතිනම්:
• ලබා දුන් HDCP2Version අගය 1 නම්, පහළට HDCP2xcapable වේ.
• සම්පූර්ණ 0x50 කියවීම්වල ආපසු ලැබෙන අගය 0 නම්, පහළට HDCP1x හැකියාව ඇත.
• සම්පූර්ණ 0x50 කියවීම්වල ආපසු ලබා දෙන අගය 1 නම්, පහළට HDCP හැකියාව හෝ අක්රිය නොවේ.
• පහළ ප්රවාහය කලින් HDCP-හැකිය හෝ අක්රියව නොතිබුණත් දැනට HDCP-හැකිය නම්, මෘදුකාංගය RX දැන් පුනරාවර්තකයක් බව දැක්වීමට රිපීටරයේ උඩු ප්රවාහයේ (RX) REPEATER බිට් එක 1 ට සකසයි.
• පහළ ප්රවාහය කලින් HDCP-හැකිය නමුත් දැනට HDCP හැකියාව හෝ අක්රිය නොවේ නම්, RX දැන් අන්ත ලක්ෂ්ය ග්රාහකයක් බව දැක්වීමට මෘදුකාංගය REPEATER bit එක 0 දක්වා සකසයි. - මෘදුකාංගය HDCP2x සත්යාපන ප්රොටෝකෝලය ආරම්භ කරන අතර එයට RX සහතික අත්සන සත්යාපනය, ප්රධාන යතුරු හුවමාරුව, ප්රාදේශීය පරීක්ෂාව, සැසි යතුරු හුවමාරුව, යුගල කිරීම, ස්ථලක තොරතුරු ප්රචාරණය වැනි පුනරාවර්තක සමඟ සත්යාපනය ඇතුළත් වේ.
- සත්යාපිත තත්වයේ සිටින විට, Nios II මෘදුකාංගය I2C master හට බාහිර RX වෙතින් RxStatus ලේඛනය ඡන්ද විමසීමට අණ කරන අතර, මෘදුකාංගය REAUTH_REQ බිට් එක සකසා ඇති බව හඳුනා ගන්නේ නම්, එය නැවත සත්යාපනය ආරම්භ කර TX සංකේතනය අක්රිය කරයි.
- පහළ ප්රවාහය පුනරාවර්තකයක් වන විට සහ RxStatus ලේඛනයේ READY bit එක 1 ලෙස සකසා ඇති විට, මෙය සාමාන්යයෙන් පෙන්නුම් කරන්නේ පහළ ස්ථලකය වෙනස් වී ඇති බවයි. එබැවින්, Nios II මෘදුකාංගය I2C මාස්ටර්ට ReceiverID_List එක පහල සිට කියවා ලැයිස්තුව සත්යාපනය කරන ලෙස අණ කරයි. ලැයිස්තුව වලංගු නම් සහ ස්ථලක දෝෂයක් අනාවරණය නොකළේ නම්, මෘදුකාංගය අන්තර්ගත ප්රවාහ කළමනාකරණ මොඩියුලය වෙත යයි. එසේ නොමැතිනම්, එය නැවත සත්යාපනය ආරම්භ කර TX සංකේතනය අක්රීය කරයි.
- Nios II මෘදුකාංගය ReceiverID_List සහ RxInfo අගයන් සකස් කර, රිපීටර් upstream (RX) හි Avalon-MM Repeater Message port වෙත ලියයි. RX පසුව ලැයිස්තුව බාහිර TX (upstream) වෙත ප්රචාරණය කරයි.
- මෙම අවස්ථාවේදී සත්යාපනය සම්පූර්ණයි. මෘදුකාංගය TX සංකේතනය සක්රීය කරයි.
- මෘදුකාංගය HDCP1x සත්යාපන ප්රොටෝකෝලය ආරම්භ කරයි, එයට යතුරු හුවමාරුව සහ පුනරාවර්තන සමඟ සත්යාපනය ඇතුළත් වේ.
- Nios II මෘදුකාංගය බාහිර RX (පහළට) සහ HDCP1x TX වෙතින් පිළිවෙළින් Ri' සහ Ri කියවීම සහ සංසන්දනය කිරීම මගින් සබැඳි අඛණ්ඩතාව පරීක්ෂා කිරීම සිදු කරයි. අගයන් නම්
නොගැලපේ, මෙය සමමුහුර්තකරණය නැතිවීම පෙන්නුම් කරන අතර මෘදුකාංගය නැවත සත්යාපනය ආරම්භ කර TX සංකේතනය අක්රීය කරයි. - පහළ ප්රවාහය පුනරාවර්තකයක් නම් සහ Bcaps ලේඛනයේ READY බිට් එක 1 ලෙස සකසා ඇත්නම්, මෙය සාමාන්යයෙන් පෙන්නුම් කරන්නේ පහළ ස්ථලකය වෙනස් වී ඇති බවයි. එබැවින්, Nios II මෘදුකාංගය I2C මාස්ටර්ට KSV ලැයිස්තු අගය පහළ සිට කියවා ලැයිස්තුව සත්යාපනය කරන ලෙස අණ කරයි. ලැයිස්තුව වලංගු නම් සහ ස්ථලක දෝෂයක් අනාවරණය කර නොගන්නේ නම්, මෘදුකාංගය KSV ලැයිස්තුව සහ Bstatus අගය සකස් කර රිපීටර් upstream (RX) හි Avalon-MM Repeater Message port වෙත ලියයි. RX පසුව ලැයිස්තුව බාහිර TX (upstream) වෙත ප්රචාරණය කරයි. එසේ නොමැතිනම්, එය නැවත සත්යාපනය ආරම්භ කර TX සංකේතනය අක්රීය කරයි.
4.3 සැලසුම් ඇවිදීම
HDMI නිර්මාණය හරහා HDCP පිහිටුවීම සහ ධාවනය කිරීමample තත්පර පහකින් සමන්විත වේtages.
- දෘඩාංග සකසන්න.
- නිර්මාණය උත්පාදනය කරන්න.
- HDCP යතුරු මතකය සංස්කරණය කරන්න fileඔබගේ HDCP නිෂ්පාදන යතුරු ඇතුළත් කිරීමට s.
a. සරල HDCP නිෂ්පාදන යතුරු FPGA හි ගබඩා කරන්න (සහාය HDCP යතුරු කළමනාකරණය = 0)
ආ. සංකේතාත්මක HDCP නිෂ්පාදන යතුරු බාහිර ෆ්ලෑෂ් මතකයේ හෝ EEPROM හි ගබඩා කරන්න (සහාය HDCP යතුරු කළමනාකරණය = 1) - නිර්මාණය සම්පාදනය කරන්න.
- View ප්රතිඵල.
4.3.1. දෘඪාංග සකසන්න
පළමු එස්tagදෘඪාංග සැකසීම සඳහා නිදර්ශනයේ ඊ.
FRL = 0 සහාය දක්වන විට, නිරූපණය සඳහා දෘඩාංග සැකසීමට මෙම පියවර අනුගමනය කරන්න:
- Bitec HDMI 2.0 FMC දියණිය කාඩ්පත (සංශෝධන 11) FMC වරාය B හි Arria 10 GX සංවර්ධන කට්ටලයට සම්බන්ධ කරන්න.
- USB කේබලයක් භාවිතයෙන් Arria 10 GX සංවර්ධන කට්ටලය ඔබේ පරිගණකයට සම්බන්ධ කරන්න.
- HDMI ප්රතිදානය සහිත ග්රැෆික් කාඩ්පතක් වැනි HDCP-සක්රීය HDMI උපාංගයකට Bitec HDMI 2.0 FMC දියණිය කාඩ්පතේ ඇති HDMI RX සම්බන්ධකයෙන් HDMI කේබලයක් සම්බන්ධ කරන්න.
- Bitec HDMI 2.0 FMC දියණිය කාඩ්පතේ ඇති HDMI TX සම්බන්ධකයෙන් HDMI ආදානය සහිත රූපවාහිනියක් වැනි HDCP-සක්රීය HDMI උපාංගයකට තවත් HDMI කේබලයක් සම්බන්ධ කරන්න.
FRL = 1 සහය දක්වන විට, දෘඩාංග සැකසීමට මෙම පියවර අනුගමනය කරන්න නිරූපණය:
- Bitec HDMI 2.1 FMC දියණිය කාඩ්පත (සංශෝධන 9) FMC වරාය B හි Arria 10 GX සංවර්ධන කට්ටලයට සම්බන්ධ කරන්න.
- USB කේබලයක් භාවිතයෙන් Arria 10 GX සංවර්ධන කට්ටලය ඔබේ පරිගණකයට සම්බන්ධ කරන්න.
- Quantum Data 2.1 3G Generator වැනි HDCP-සක්රීය HDMI 2.1 මූලාශ්රයකට Bitec HDMI 2.1 FMC දියණිය කාඩ්පතේ HDMI RX සම්බන්ධකයෙන් HDMI 980 කාණ්ඩයේ 48 කේබල් සම්බන්ධ කරන්න.
- Bitec HDMI 2.1 FMC දුව කාඩ්පතේ ඇති HDMI TX සම්බන්ධකයෙන් තවත් HDMI 3 Category 2.1 කේබල් එකක් HDCP-සක්රීය HDMI 2.1 සින්ක් එකකට සම්බන්ධ කරන්න.
Quantum Data 980 48G විශ්ලේෂකය.
4.3.2. නිර්මාණය උත්පාදනය කරන්න
දෘඩාංග සැකසීමෙන් පසු, ඔබ විසින් නිර්මාණය උත්පාදනය කළ යුතුය.
ඔබ ආරම්භ කිරීමට පෙර, Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ HDCP විශේෂාංගය ස්ථාපනය කිරීමට වග බලා ගන්න.
- මෙවලම් ➤ IP නාමාවලිය ක්ලික් කර, ඉලක්ක උපාංග පවුල ලෙස Intel Arria 10 තෝරන්න.
සටහන: HDCP නිර්මාණය example සහය දක්වන්නේ Intel Arria 10 සහ Intel Stratix® 10 උපාංග සඳහා පමණි. - IP නාමාවලියෙහි, HDMI Intel FPGA IP සොයාගෙන ද්වි-ක්ලික් කරන්න. නව IP විචලනය කවුළුව දිස්වේ.
- ඔබගේ අභිරුචි IP විචලනය සඳහා ඉහළ මට්ටමේ නමක් සඳහන් කරන්න. පරාමිති සංස්කාරකය IP විචල්ය සැකසුම් a හි සුරකියි file නම් කර ඇත .qsys හෝ .ip.
- හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
- IP පටිත්තෙහි, TX සහ RX යන දෙකටම අවශ්ය පරාමිති වින්යාස කරන්න.
- HDCP නිර්මාණය උත්පාදනය කිරීමට සහාය HDCP 1.4 හෝ සහාය HDCP 2.3 පරාමිතිය සක්රිය කරන්න.ample.
- ඔබට HDCP නිෂ්පාදන යතුර බාහිර ෆ්ලෑෂ් මතකයේ හෝ EEPROM තුළ සංකේතාත්මක ආකෘතියකින් ගබඩා කිරීමට අවශ්ය නම් සහාය HDCP යතුරු කළමනාකරණ පරාමිතිය ක්රියාත්මක කරන්න. එසේ නොමැතිනම්, HDCP නිෂ්පාදන යතුර සරල ආකෘතියෙන් FPGA තුළ ගබඩා කිරීමට සහාය HDCP යතුරු කළමනාකරණ පරාමිතිය අක්රිය කරන්න.
- නිර්මාණ Example tab, Arria 10 HDMI RX-TX Retransmit තෝරන්න.
- දෘඩාංග නිර්මාණය උත්පාදනය කිරීමට සංශ්ලේෂණය තෝරන්න example.
- උත්පාදනය සඳහා File ආකෘතිය, Verilog හෝ VHDL තෝරන්න.
- ඉලක්ක සංවර්ධන කට්ටලය සඳහා, Arria 10 GX FPGA සංවර්ධන කට්ටලය තෝරන්න. ඔබ සංවර්ධන කට්ටලය තෝරා ගන්නේ නම්, ඉලක්ක උපාංගය (4 වන පියවරේදී තෝරා ඇත) සංවර්ධන කට්ටලයේ උපාංගයට ගැලපෙන ලෙස වෙනස් වේ. Arria 10 GX FPGA සංවර්ධන කට්ටලය සඳහා, පෙරනිමි උපාංගය 10AX115S2F45I1SG වේ.
- උත්පාදනය Ex ක්ලික් කරන්නampව්යාපෘතිය උත්පාදනය කිරීම සඳහා le සැලසුම් කිරීම files සහ මෘදුකාංග Executable සහ Linking Format (ELF) වැඩසටහන්කරණය file.
4.3.3. HDCP නිෂ්පාදන යතුරු ඇතුළත් කරන්න
4.3.3.1. සරල HDCP නිෂ්පාදන යතුරු FPGA හි ගබඩා කරන්න (සහාය HDCP යතුර කළමනාකරණය = 0)
සැලසුම උත්පාදනය කිරීමෙන් පසුව, HDCP යතුරු මතකය සංස්කරණය කරන්න fileඔබේ නිෂ්පාදන යතුරු ඇතුළත් කිරීමට s.
නිෂ්පාදන යතුරු ඇතුළත් කිරීමට, මෙම පියවර අනුගමනය කරන්න.
- පහත යතුරු මතකය සොයා ගන්න fileහි ඇත /rtl/hdcp/ බහලුම:
• hdcp2x_tx_kmem.v
• hdcp2x_rx_kmem.v
• hdcp1x_tx_kmem.v
• hdcp1x_rx_kmem.v - hdcp2x_rx_kmem.v විවෘත කරන්න file සහ හිටපු හි පෙන්වා ඇති පරිදි ලබන්නාගේ පොදු සහතිකය සහ RX පුද්ගලික යතුර සහ ගෝලීය ස්ථාවර සඳහා පූර්ව නිශ්චිත ෆැක්සිමිල් යතුර R1 සොයා ගන්න.ampපහතින්.
රූපය 31. ලබන්නා මහජන සහතිකය සඳහා Facsimile යතුර R1 වයර් අරාව
රූපය 32. RX පුද්ගලික යතුර සහ ගෝලීය ස්ථාවර සඳහා Facsimile Key R1 හි වයර් අරාව
- නිෂ්පාදන යතුරු සඳහා ස්ථාන දරන්නා සොයාගෙන විශාල එන්ඩියන් ආකෘතියෙන් අදාළ වයර් අරාව තුළ ඔබේම නිෂ්පාදන යතුරු සමඟ ප්රතිස්ථාපනය කරන්න.
රූපය 33. HDCP නිෂ්පාදන යතුරු වල වයර් අරාව (ප්ලේස්හෝල්ඩර්)
- අනෙකුත් සියලුම යතුරු මතකය සඳහා පියවර 3 නැවත කරන්න files. ඔබ ඔබේ නිෂ්පාදන යතුරු සියලු යතුරු මතකයට ඇතුළත් කර අවසන් වූ විට files, USE_FACSIMILE පරාමිතිය නිර්මාණයේදී 0 ලෙස සකසා ඇති බව සහතික කර ගන්නample ඉහළ මට්ටමේ file (a10_hdmi2_demo.v)
4.3.3.1.1. DCP යතුරෙන් HDCP යතුරු සිතියම්ගත කිරීම Files
පහත කොටස් DCP යතුරේ ගබඩා කර ඇති HDCP නිෂ්පාදන යතුරු සිතියම්ගත කිරීම විස්තර කරයි fileHDCP kmem හි වයර් අරාව තුළට s files.
4.3.3.1.2. hdcp1x_tx_kmem.v සහ hdcp1x_rx_kmem.v files
hdcp1x_tx_kmem.v සහ hdcp1x_rx_kmem.v සඳහා files
- මේ දෙක files එකම ආකෘතිය බෙදා ගනී.
- නිවැරදි HDCP1 TX DCP යතුර හඳුනා ගැනීමට file hdcp1x_tx_kmem.v සඳහා, පළමු බයිට් 4 සහතික කර ගන්න file "0x01, 0x00, 0x00, 0x00" වේ.
- නිවැරදි HDCP1 RX DCP යතුර හඳුනා ගැනීමට file hdcp1x_rx_kmem.v සඳහා, පළමු බයිට් 4 සහතික කර ගන්න file "0x02, 0x00, 0x00, 0x00" වේ.
- DCP යතුරේ යතුරු files කුඩා-එන්ඩියන් ආකෘතියෙන් ඇත. kmem හි භාවිතා කිරීමට files, ඔබ ඒවා big-endian බවට පරිවර්තනය කළ යුතුය.
රූපය 34. HDCP1 TX DCP යතුරෙන් බයිට් සිතියම්ගත කිරීම file hdcp1x_tx_kmem.v වෙත
සටහන:
බයිට් අංකය පහත ආකෘතියෙන් පෙන්වයි:
- යතුරු ප්රමාණය බයිට් වලින් * යතුරු අංකය + වත්මන් පේළියේ බයිට් අංකය + නියත ඕෆ්සෙට් + පේළි ප්රමාණය බයිට් වලින් * පේළි අංකය.
- 308*n මඟින් සෑම යතුරු කට්ටලයක්ම බයිට් 308 ක් ඇති බව පෙන්නුම් කරයි.
- 7*y යන්නෙන් පෙන්නුම් කරන්නේ සෑම පේළියකටම බයිට් 7ක් ඇති බවයි.
රූපය 35. HDCP1 TX DCP යතුර file කුණු අගයන් පිරවීම
රූපය 36. hdcp1x_tx_kmem.v හි වයර් අරා
Examphdcp1x_tx_kmem.v හි le සහ එහි වයර් අරා හිටපු එකට සිතියම් ගත කරන ආකාරයampHDCP1 TX DCP යතුරේ le file 35 පිටුවේ රූප සටහන 105 හි.
4.3.3.1.3. hdcp2x_rx_kmem.v file
hdcp2x_rx_kmem.v සඳහා file
- නිවැරදි HDCP2 RX DCP යතුර හඳුනා ගැනීමට file hdcp2x_rx_kmem.v සඳහා, පළමු බයිට් 4 සහතික කර ගන්න file "0x00, 0x00, 0x00, 0x02" වේ.
- DCP යතුරේ යතුරු files කුඩා-එන්ඩියන් ආකෘතියෙන් ඇත.
රූපය 37. HDCP2 RX DCP යතුරෙන් බයිට් සිතියම්ගත කිරීම file hdcp2x_rx_kmem.v වෙත
පහත රූපයේ දැක්වෙන්නේ HDCP2 RX DCP යතුරෙන් නියම බයිට් සිතියම්කරණයයි file hdcp2x_rx_kmem.v වෙත.
සටහන:
බයිට් අංකය පහත ආකෘතියෙන් පෙන්වයි:
- යතුරු ප්රමාණය බයිට් වලින් * යතුරු අංකය + වත්මන් පේළියේ බයිට් අංකය + නියත ඕෆ්සෙට් + පේළි ප්රමාණය බයිට් වලින් * පේළි අංකය.
- 862*n මඟින් සෑම යතුරු කට්ටලයක්ම බයිට් 862 ක් ඇති බව පෙන්නුම් කරයි.
- 16*y යන්නෙන් දැක්වෙන්නේ සෑම පේළියකටම බයිට් 16ක් ඇති බවයි. cert_rx_prod හි ව්යතිරේකයක් ඇත එහිදී ROW 32 හි ඇත්තේ බයිට් 10 ක් පමණි.
රූපය 38. HDCP2 RX DCP යතුර file කුණු අගයන් පිරවීම
රූපය 39. hdcp2x_rx_kmem.v හි වයර් අරා
මෙම රූපය hdcp2x_rx_kmem.v (cert_rx_prod, kprivrx_qinv_prod, සහ lc128_prod) සඳහා වූ වයර් අරා හිටපු සිතියමට පෙන්වයි.ampHDCP2 RX DCP යතුරේ le file in
38 පිටුවේ 108 රූපය.
4.3.3.1.4. hdcp2x_tx_kmem.v file
hdcp2x_tx_kmem.v සඳහා file:
- නිවැරදි HDCP2 TX DCP යතුර හඳුනා ගැනීමට file hdcp2x_tx_kmem.v සඳහා, පළමු බයිට් 4 සහතික කර ගන්න file "0x00, 0x00, 0x00, 0x01" වේ.
- DCP යතුරේ යතුරු files කුඩා-එන්ඩියන් ආකෘතියෙන් ඇත.
- විකල්පයක් ලෙස, ඔබට hdcp128x_rx_kmem.v වෙතින් lc2_prod කෙලින්ම hdcp2x_tx_kmem.v වෙත යෙදිය හැක. යතුරු එකම අගයන් බෙදා ගනී.
රූපය 40. hdcp2x_tx_kmem.v හි වයර් අරාව
මෙම රූපය HDCP2 TX DCP යතුරෙන් නියම බයිට් සිතියම්කරණය පෙන්වයි file hdcp2x_tx_kmem.v වෙත.
4.3.3.2. සංකේතාත්මක HDCP නිෂ්පාදන යතුරු බාහිර ෆ්ලෑෂ් මතකයේ හෝ ගබඩා කරන්න EEPROM (සහාය HDCP යතුරු කළමනාකරණය = 1)
රූපය 41. ඉහළ මට්ටමview HDCP යතුරු කළමනාකරණය
ආධාරක HDCP යතුරු කළමනාකරණ පරාමිතිය සක්රිය කර ඇති විට, ඔබ Intel සපයන යතුරු සංකේතන මෘදුකාංග උපයෝගීතාව (KEYENC) සහ ප්රධාන ක්රමලේඛක සැලසුම භාවිතයෙන් HDCP නිෂ්පාදන යතුරු සංකේතනය පාලනය කරයි. ඔබ HDCP නිෂ්පාදන යතුරු සහ බිටු 128 HDCP ආරක්ෂණ යතුරක් සැපයිය යුතුය. HDCP ආරක්ෂණ යතුර
HDCP නිෂ්පාදන යතුර සංකේතනය කර යතුර බාහිර ෆ්ලෑෂ් මතකයේ ගබඩා කරයි (උදාample, EEPROM) HDMI දියණිය කාඩ්පත මත.
ආධාරක HDCP යතුරු කළමනාකරණ පරාමිතිය ක්රියාත්මක කරන්න සහ HDCP IP මධ්යයේ යතුරු විකේතන විශේෂාංගය (KEYDEC) ලබා ගත හැක. එකම HDCP ආරක්ෂාව
සැකසුම් එන්ජින් සඳහා ධාවන වේලාවේදී HDCP නිෂ්පාදන යතුරු ලබා ගැනීමට KEYDEC හි යතුර භාවිතා කළ යුතුය. KEYENC සහ KEYDEC සහාය Atmel AT24CS32 32-Kbit අනුක්රමික EEPROM, Atmel AT24C16A 16-Kbit අනුක්රමික EEPROM සහ අවම වශයෙන් 2-Kbit rom ප්රමාණය සහිත අනුකූල I16C EEPROM උපාංග.
සටහන:
- HDMI 2.0 FMC දුව කාඩ්පත් සංශෝධනය 11 සඳහා, දියණිය කාඩ්පතේ EEPROM Atmel AT24CS32 බවට වග බලා ගන්න. Bitec HDMI 2.0 FMC දුව කාඩ්පත් සංශෝධනය 11 හි භාවිතා වන EEPROM හි විවිධ ප්රමාණ දෙකක් ඇත.
- ඔබ මීට පෙර HDCP නිෂ්පාදන යතුරු සංකේතනය කිරීමට KEYENC භාවිතා කර 21.2 හෝ ඊට පෙර අනුවාදයේ HDCP යතුරු කළමනාකරණය සඳහා සහය සක්රීය කර ඇත්නම්, ඔබ KEYENC මෘදුකාංග උපයෝගීතාව භාවිතයෙන් HDCP නිෂ්පාදන යතුරු නැවත සංකේතනය කර 21.3 අනුවාදයෙන් HDCP IP නැවත උත්පාදනය කළ යුතුය.
ඉදිරියට.
4.3.3.2.1. Intel KEYENC
KEYENC යනු ඔබ සපයන බිටු 128 HDCP ආරක්ෂණ යතුරකින් HDCP නිෂ්පාදන යතුරු සංකේතනය කිරීමට Intel භාවිතා කරන විධාන රේඛා මෘදුකාංග උපයෝගිතාවයකි. KEYENC සංකේතනය කළ HDCP නිෂ්පාදන යතුරු හෙක්ස් හෝ බින් හෝ හෙඩර් වලින් ප්රතිදානය කරයි file ආකෘතිය. KEYENC ද mif ජනනය කරයි file ඔබ සපයා ඇති බිටු 128 HDCP ආරක්ෂණ යතුර අඩංගු වේ. KEYDEC
mif අවශ්ය වේ file.
පද්ධති අවශ්යතා:
- Windows 86 OS සහිත x64 10-bit යන්ත්රය
- Visual Studio 2019(x64) සඳහා Visual C++ නැවත බෙදා හැරිය හැකි පැකේජය
සටහන:
ඔබ VS 2019 සඳහා Microsoft Visual C++ ස්ථාපනය කළ යුතුය. Windows ➤ Control Panel ➤ Programs සහ Features වෙතින් Visual C++ නැවත බෙදා හැරිය හැකි දැයි ඔබට පරීක්ෂා කළ හැක. Microsoft Visual C++ ස්ථාපනය කර ඇත්නම්, ඔබට Visual C++ xxxx දැකිය හැක
නැවත බෙදා හැරිය හැකි (x64). එසේ නොමැතිනම්, ඔබට Visual C++ බාගත කර ස්ථාපනය කළ හැකිය
Microsoft වෙතින් නැවත බෙදා හැරිය හැක webඅඩවිය. බාගත කිරීමේ සබැඳිය සඳහා අදාළ තොරතුරු බලන්න.
වගුව 55. KEYENC විධාන රේඛා විකල්ප
විධාන රේඛා විකල්ප | තර්කය/විස්තරය |
-k | <HDCP protection key file> පෙළ file ෂඩාස්රාකාරයේ බිටු 128 HDCP ආරක්ෂණ යතුර පමණක් අඩංගු වේ. උදාample: f0f1f2f3f4f5f6f7f8f9fafbfcfdfeff |
-hdcp1tx | <HDCP 1.4 TX production keys file> HDCP 1.4 සම්ප්රේෂක නිෂ්පාදන යතුරු file DCP වෙතින් (.bin file) |
-hdcp1rx | <HDCP 1.4 RX production keys file> HDCP 1.4 ග්රාහක නිෂ්පාදන යතුරු file DCP වෙතින් (.bin file) |
-hdcp2tx | <HDCP 2.3 TX production keys file> HDCP 2.3 සම්ප්රේෂක නිෂ්පාදන යතුරු file DCP වෙතින් (.bin file) |
-hdcp2rx | <HDCP 2.3 RX production keys file> HDCP 2.3 ග්රාහක නිෂ්පාදන යතුරු file DCP වෙතින් (.bin file) |
-hdcp1txkeys | තෝරාගත් ආදානය (.bin) සඳහා යතුරු පරාසය සඳහන් කරන්න files -hdcp1txkeys|hdcp1rxkeys|hdcp2rxkeys nm කොහෙද n = යතුරු ආරම්භය (1 හෝ >1) m = යතුරු අවසානය (n හෝ >n) උදාampලෙ: HDCP 1 TX, HDCP 1000 RX සහ HCDP වලින් යතුරු 1.4 සිට 1.4 දක්වා තෝරන්න 2.3 RX නිෂ්පාදන යතුරු file. “-hdcp1txkeys 1-1000 -hdcp1rxkeys 1-1000 -hdcp2rxkeys 1-1000” |
-hdcp1rxkeys | |
-hdcp2rxkeys | |
දිගටම… |
විධාන රේඛා විකල්ප | තර්කය/විස්තරය |
සටහන: 1. ඔබ කිසිදු HDCP නිෂ්පාදන යතුරු භාවිතා නොකරන්නේ නම් file, ඔබට HDCP යතුරු පරාසය අවශ්ය නොවේ. ඔබ විධාන රේඛාවේ තර්කය භාවිතා නොකරන්නේ නම්, පෙරනිමි යතුරු පරාසය 0 වේ. 2. ඔබට HDCP නිෂ්පාදන යතුරු සඳහා යතුරුවල විවිධ දර්ශකයද තෝරාගත හැක file. කෙසේ වෙතත්, තෝරාගත් විකල්ප සමඟ යතුරු ගණන ගැළපිය යුතුය. Example: විවිධ යතුරු 100 තෝරන්න HDCP 100 TX නිෂ්පාදන යතුරු වලින් පළමු යතුරු 1.4 තෝරන්න file "-hdcp1txkeys 1-100" HDCP 300 RX නිෂ්පාදන යතුරු සඳහා යතුරු 400 සිට 1.4 දක්වා තෝරන්න file "-hdcp1rxkeys 300-400" HDCP 600 RX නිෂ්පාදන යතුරු සඳහා යතුරු 700 සිට 2.3 දක්වා තෝරන්න file "-hdcp2rxkeys 600-700" |
|
-o | ප්රතිදානය file ආකෘතිය . පෙරනිමිය හෙක්ස් වේ file. ද්විමය තුළ සංකේතාත්මක HDCP නිෂ්පාදන යතුරු ජනනය කරන්න file ආකෘතිය: -o bin hex හි සංකේතාත්මක HDCP නිෂ්පාදන යතුරු ජනනය කරන්න file ආකෘතිය: -o hex ශීර්ෂයේ සංකේතාත්මක HDCP නිෂ්පාදන යතුරු ජනනය කරන්න file ආකෘතිය: - ඔහ් |
- චෙක්පත් යතුරු | ආදානයේ ඇති යතුරු ගණන මුද්රණය කරන්න fileඑස්. උදාampලෙ: |
keyenc.exe -hdcp1tx file> -hdcp1rx <HDCP 1.4 RX production keys file> -hdcp2tx file> -hdcp2rx file> - චෙක්පත් යතුරු |
|
සටහන: ඉහත සඳහන් පරිදි විධාන රේඛාවේ අවසානයේ පරාමිති -චෙක්-කීස් භාවිතා කරන්නample. | |
- අනුවාදය | KEYENC අනුවාද අංකය මුද්රණය කරන්න |
ඔබට සංකේතනය කිරීමට HDCP 1.4 සහ/හෝ HDCP 2.3 නිෂ්පාදන යතුරු තෝරාගත හැක. උදාහරණයක් ලෙසample, සංකේතනය කිරීමට HDCP 2.3 RX නිෂ්පාදන යතුරු පමණක් භාවිතා කිරීමට, -hdcp2rx පමණක් භාවිතා කරන්න
<HDCP 2.3 RX production keys file> -hdcp2rxkeys විධාන රේඛා පරාමිතීන් තුළ.
වගුව 56. KEYENC පොදු දෝෂ පණිවිඩ මාර්ගෝපදේශය
දෝෂ පණිවිඩය | මාර්ගෝපදේශය |
දෝෂය: HDCP ආරක්ෂණ යතුර file අතුරුදහන් | විධාන රේඛා පරාමිතිය අතුරුදහන් -k file> |
දෝෂය: යතුර හෙක්ස් ඉලක්කම් 32 විය යුතුය (උදා f0f1f2f3f4f5f6f7f8f9fafbfcfdfeff) | HDCP ආරක්ෂණ යතුර file ෂඩාස්රාකාර ඉලක්කම් 32 කින් HDCP ආරක්ෂණ යතුර පමණක් අඩංගු විය යුතුය. |
දෝෂය: කරුණාකර යතුරු පරාසය සඳහන් කරන්න | ලබා දී ඇති ආදාන HDCP නිෂ්පාදන යතුරු සඳහා යතුරු පරාසය සඳහන් කර නොමැත file. |
දෝෂය: වලංගු නොවන යතුරු පරාසයක් | -hdcp1txkeys හෝ -hdcp1rxkeys හෝ -hdcp2rxkeys සඳහා නියම කර ඇති යතුරු පරාසය නිවැරදි නොවේ. |
දෝෂය: නිර්මාණය කළ නොහැකFileනම> | ධාවනය වන keyenc.exe වෙතින් ෆෝල්ඩර අවසරය පරීක්ෂා කරන්න. |
දෝෂය: -hdcp1txkeys ආදානය වලංගු නොවේ | HDCP 1.4 TX නිෂ්පාදන යතුරු සඳහා ආදාන යතුරු පරාසයේ ආකෘතිය වලංගු නොවේ. නිවැරදි ආකෘතිය “-hdcp1txkeys nm” මෙහි n >= 1, m >= n |
දෝෂය: -hdcp1rxkeys ආදානය වලංගු නොවේ | HDCP 1.4 RX නිෂ්පාදන යතුරු සඳහා ආදාන යතුරු පරාසයේ ආකෘතිය වලංගු නොවේ. නිවැරදි ආකෘතිය “-hdcp1rxkeys nm” මෙහි n >= 1, m >= n |
දෝෂය: -hdcp2rxkeys ආදානය වලංගු නොවේ | HDCP 2.3 RX නිෂ්පාදන යතුරු සඳහා ආදාන යතුරු පරාසයේ ආකෘතිය වලංගු නොවේ. නිවැරදි ආකෘතිය “-hdcp2rxkeys nm” මෙහි n >= 1, m >= n |
දිගටම… |
දෝෂ පණිවිඩය | මාර්ගෝපදේශය |
දෝෂය: වලංගු නැත file <fileනම> | වලංගු නොවන HDCP නිෂ්පාදන යතුරු file. |
දෝෂය: file -o විකල්පය සඳහා අතුරුදහන් ටයිප් කරන්න | -o සඳහා විධාන රේඛා පරාමිතිය අතුරුදහන් . |
දෝෂය: වලංගු නැත fileනම -fileනම> | <fileනම> වලංගු නැත, කරුණාකර වලංගු එක භාවිතා කරන්න fileවිශේෂ අක්ෂර නොමැති නම. |
තනි EEPROM සඳහා තනි යතුර සංකේතනය කරන්න
HDCP 1.4 TX, HDCP 1.4 RX, HDCP 2.3 TX සහ HDCP 2.3 RX හි තනි යතුර සංකේතනය කිරීමට Windows විධාන විමසුමෙන් පහත විධාන රේඛාව ධාවනය කරන්න. file ශීර්ෂයේ ආකෘතිය file තනි EEPROM සඳහා:
keyenc.exe -k file> -hdcp1tx file> -hdcp1rx file> -hdcp2tx file> -hdcp2rx file> -hdcp1txkeys 1-1 -hdcp1rxkeys 1-1 -hdcp2rxkeys 1-1 -oh
N EEPROM සඳහා N යතුරු සංකේතනය කරන්න
ප්රතිදානය සමඟ HDCP 1 TX, HDCP 1.4 RX, HDCP 1.4 TX සහ HDCP 2.3 RX හි N යතුරු (යතුර 2.3 සිට ආරම්භ වන) සංකේතනය කිරීමට Windows විධාන විමසුමෙන් පහත විධාන රේඛාව ක්රියාත්මක කරන්න file hex ආකෘතිය file N EEPROM සඳහා:
keyenc.exe -k file> -hdcp1tx file> -hdcp1rx file> -hdcp2tx file> -hdcp2rx file> -hdcp1txkeys 1 -hdcp1rxkeys 1- -hdcp2rxkeys 1- -o hex මෙහි N >= 1 සහ සියලු විකල්ප සඳහා ගැළපිය යුතුය.
අදාළ තොරතුරු
Visual Studio 2019 සඳහා Microsoft Visual C++
බාගත කිරීම සඳහා Microsoft Visual C++ x86 නැවත බෙදා හැරිය හැකි පැකේජය (vc_redist.x86.exe) සපයයි. සබැඳිය වෙනස් වුවහොත්, මයික්රොසොෆ්ට් සෙවුම් යන්ත්රයෙන් “දෘෂ්ය C++ නැවත බෙදා හැරිය හැකි” සෙවීමට Intel නිර්දේශ කරයි.
4.3.3.2.2. ප්රධාන වැඩසටහන්කරු
සංකේතාත්මක HDCP නිෂ්පාදන යතුරු EEPROM වෙත ක්රමලේඛනය කිරීමට, මෙම පියවර අනුගමනය කරන්න:
- ප්රධාන ක්රමලේඛක සැලසුම පිටපත් කරන්න fileපහත මාර්ගයෙන් ඔබගේ වැඩ කරන නාමාවලිය වෙත s: /hdcp2x/hw_demo/key_programmer/
- මෘදුකාංග ශීර්ෂය පිටපත් කරන්න file (hdcp_key .h) මෘදුකාංගය/key_programmer_src/ බහලුම වෙත KEYENC මෘදුකාංග උපයෝගිතා (113 පිටුවේ තනි EEPROM සඳහා තනි යතුර සංකේතනය කරන්න) සහ එය hdcp_key.h ලෙස නැවත නම් කරන්න.
- ./runall.tcl ධාවනය කරන්න. මෙම ස්ක්රිප්ට් පහත විධානයන් ක්රියාත්මක කරයි:
• IP නාමාවලිය ජනනය කරන්න files
• Platform Designer පද්ධතිය ජනනය කරන්න
• Intel Quartus Prime ව්යාපෘතියක් සාදන්න
• මෘදුකාංග වැඩබිමක් සාදා මෘදුකාංගය ගොඩ නගන්න
• සම්පූර්ණ සම්පාදනයක් සිදු කරන්න - මෘදුකාංග වස්තුව බාගන්න File සංකේතනය කරන ලද HDCP නිෂ්පාදන යතුරු EEPROM මත ක්රමලේඛනය කිරීමට FPGA වෙත (.sof) යොමු කරන්න.
Stratix 10 HDMI RX-TX Retransmit නිර්මාණය example සහාය HDCP 2.3 සහ සහාය HDCP 1.4 පරාමිති සක්රිය කර, HDCP ආරක්ෂණ යතුර ඇතුළත් කිරීමට පහත පියවර අනුගමනය කරන්න.
- mif පිටපත් කරන්න file (hdcp_kmem.mif) KEYENC මෘදුකාංග උපයෝගීතාවයෙන් (113 පිටුවේ තනි EEPROM සඳහා තනි යතුර සංකේතනය කරන්න) /quartus/hdcp/ බහලුම.
4.3.4. නිර්මාණය සම්පාදනය කරන්න
ඔබ FPGA හි ඔබේම සරල HDCP නිෂ්පාදන යතුරු ඇතුළත් කිරීමෙන් හෝ EEPROM වෙත සංකේතනය කළ HDCP නිෂ්පාදන යතුරු වැඩසටහන්ගත කිරීමෙන් පසුව, ඔබට දැන් සැලසුම සම්පාදනය කළ හැක.
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය දියත් කර විවෘත කරන්න /quartus/a10_hdmi2_demo.qpf.
- සැකසීම ➤ Start Compilation ක්ලික් කරන්න.
4.3.5. View ප්රතිඵල
ප්රදර්ශනය අවසානයේ, ඔබට හැකි වනු ඇත view HDCPenabled HDMI බාහිර සින්ක් මත ප්රතිඵල.
වෙත view ප්රදර්ශනයේ ප්රතිඵල, පහත පියවර අනුගමනය කරන්න:
- Intel FPGA පුවරුව බල ගන්වන්න.
- නාමාවලිය වෙනස් කරන්න / ක්වාටස් /.
- මෘදුකාංග වස්තුව බාගත කිරීම සඳහා Nios II විධාන කවචයේ පහත විධානය ටයිප් කරන්න. File (.sof) FPGA වෙත. nios2-configure-sof output_files/ .sof
- HDCP-සක්රීය HDMI බාහිර මූලාශ්රය සහ සින්ක් (ඔබ එසේ කර නොමැති නම්) බල ගන්වන්න. HDMI බාහිර සින්ක් ඔබගේ HDMI බාහිර මූලාශ්රයේ ප්රතිදානය පෙන්වයි.
4.3.5.1. තල්ලු බොත්තම් සහ LED කාර්යයන්
ඔබගේ ප්රදර්ශනය පාලනය කිරීමට පුවරුවේ ඇති තල්ලු බොත්තම් සහ LED කාර්යයන් භාවිතා කරන්න.
වගුව 57. තල්ලු බොත්තම සහ LED දර්ශක (සහාය FRL = 0)
තල්ලු බොත්තම / LED | කාර්යයන් |
cpu_resetn | පද්ධති යළි පිහිටුවීම සිදු කිරීමට වරක් ඔබන්න. |
user_pb[0] | HPD සංඥාව සම්මත HDMI මූලාශ්රයට ටොගල් කිරීමට වරක් ඔබන්න. |
user_pb[1] | • DVI කේතනය කළ සංඥා යැවීමට TX හරයට උපදෙස් දීමට ඔබා අල්ලාගෙන සිටින්න. • HDMI කේතනය කළ සංඥාව යැවීමට නිදහස් කරන්න. • එන වීඩියෝව 8 bpc RGB වර්ණ අවකාශයක ඇති බවට වග බලා ගන්න. |
user_pb[2] | • සයිඩ්බෑන්ඩ් සංඥා වලින් InfoFrames යැවීම නැවැත්වීමට TX හරයට උපදෙස් දීමට ඔබා අල්ලාගෙන සිටින්න. • සයිඩ්බෑන්ඩ් සංඥා වලින් InfoFrames යැවීම නැවත ආරම්භ කිරීමට නිදහස් කරන්න. |
user_led[0] | RX HDMI PLL අගුළු තත්ත්වය. • 0: අගුලු හරින ලදී • 1: අගුලු දමා ඇත |
user_led[1] | RX HDMI හර අගුළු තත්ත්වය • 0: අවම වශයෙන් 1 නාලිකාවක් අගුලු හරින ලදී • 1: සියලුම නාලිකා 3 අගුලු දමා ඇත |
user_led[2] | RX HDCP1x IP විකේතන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
user_led[3] | RX HDCP2x IP විකේතන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
user_led[4] | TX HDMI PLL අගුළු තත්ත්වය. • 0: අගුලු හරින ලදී • 1: අගුලු දමා ඇත |
user_led[5] | TX transceiver PLL අගුළු තත්ත්වය. • 0: අගුලු හරින ලදී • 1: අගුලු දමා ඇත |
user_led[6] | TX HDCP1x IP සංකේතාංකන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
user_led[7] | TX HDCP2x IP සංකේතාංකන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
වගුව 58. තල්ලු බොත්තම සහ LED දර්ශක (සහාය FRL = 1)
තල්ලු බොත්තම / LED | කාර්යයන් |
cpu_resetn | පද්ධති යළි පිහිටුවීම සිදු කිරීමට වරක් ඔබන්න. |
user_dipsw | පාස්ත්රෝ ප්රකාරය ටොගල් කිරීමට පරිශීලක-නිර්වචනය කළ DIP ස්විචය. • OFF (පෙරනිමි ස්ථානය) = Passthrough FPGA හි HDMI RX බාහිර සින්ක් වෙතින් EDID ලබාගෙන එය සම්බන්ධ කර ඇති බාහිර මූලාශ්රය වෙත ඉදිරිපත් කරයි. • ON = ඔබට Nios II පර්යන්තයෙන් RX උපරිම FRL අනුපාතය පාලනය කළ හැක. උපරිම FRL අනුපාත අගය හැසිරවීමෙන් විධානය RX EDID වෙනස් කරයි. වෙත යොමු කරන්න විවිධ FRL මිල ගණන් යටතේ නිර්මාණය ධාවනය කිරීම විවිධ FRL ගාස්තු සැකසීම පිළිබඳ වැඩි විස්තර සඳහා 33 පිටුවේ. |
දිගටම… |
තල්ලු බොත්තම / LED | කාර්යයන් |
user_pb[0] | HPD සංඥාව සම්මත HDMI මූලාශ්රයට ටොගල් කිරීමට වරක් ඔබන්න. |
user_pb[1] | වෙන් කර ඇත. |
user_pb[2] | Bitec HDMI 2.1 FMC දුව කාඩ්පතේ TX වෙත සම්බන්ධ කර ඇති සින්ක් වෙතින් SCDC ලේඛන කියවීමට වරක් ඔබන්න. සටහන: කියවීම සබල කිරීමට, ඔබ මෘදුකාංගයේ DEBUG_MODE 1 ලෙස සැකසිය යුතුය. |
user_led_g[0] | RX FRL ඔරලෝසු PLL අගුලු තත්ත්වය. • 0: අගුලු හරින ලදී • 1: අගුලු දමා ඇත |
user_led_g[1] | RX HDMI වීඩියෝ අගුළු තත්ත්වය. • 0: අගුලු හරින ලදී • 1: අගුලු දමා ඇත |
user_led_g[2] | RX HDCP1x IP විකේතන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
user_led_g[3] | RX HDCP2x IP විකේතන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
user_led_g[4] | TX FRL ඔරලෝසු PLL අගුලු තත්ත්වය. • 0: අගුලු හරින ලදී • 1: අගුලු දමා ඇත |
user_led_g[5] | TX HDMI වීඩියෝ අගුළු තත්ත්වය. • 0 = අගුළු හරින ලදී • 1 = අගුලු දමා ඇත |
user_led_g[6] | TX HDCP1x IP සංකේතාංකන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
user_led_g[7] | TX HDCP2x IP සංකේතාංකන තත්ත්වය. • 0: අක්රිය • 1: ක්රියාකාරී |
4.4 FPGA නිර්මාණය තුළ එබ්බවූ සංකේතාංකන යතුර ආරක්ෂා කිරීම
බොහෝ FPGA සැලසුම් සංකේතනය ක්රියාත්මක කරන අතර බොහෝ විට FPGA බිට්ස්ට්රීම් තුළ රහස් යතුරු කාවැද්දීමට අවශ්ය වේ. Intel Stratix 10 සහ Intel Agilex වැනි නව උපාංග පවුල්වල, මෙම රහස් යතුරු ආරක්ෂිතව සැපයීමට සහ කළමනාකරණය කිරීමට හැකි Secure Device Manager block එකක් ඇත. මෙම විශේෂාංග නොපවතින විට, ඔබට ඕනෑම එබ්බවූ රහස් පරිශීලක යතුරු ඇතුළුව FPGA බිට්ස්ට්රීම් හි අන්තර්ගතය සංකේතනය සමඟ සුරක්ෂිත කළ හැක.
පරිශීලක යතුරු ඔබේ සැලසුම් පරිසරය තුළ ආරක්ෂිතව තබා ගත යුතු අතර, ස්වයංක්රීය ආරක්ෂිත ක්රියාවලියක් භාවිතයෙන් නිර්මාණයට ඉතා මැනවින් එක් කළ යුතුය. පහත පියවර මඟින් ඔබට එවැනි ක්රියාවලියක් Intel Quartus Prime මෙවලම් සමඟ ක්රියාත්මක කළ හැකි ආකාරය පෙන්වයි.
- අනාරක්ෂිත පරිසරයක් තුළ Intel Quartus Prime හි HDL සංවර්ධනය කර ප්රශස්ත කරන්න.
- සැලසුම ආරක්ෂිත පරිසරයකට මාරු කර රහස් යතුර යාවත්කාලීන කිරීමට ස්වයංක්රීය ක්රියාවලියක් ක්රියාත්මක කරන්න. ඔන්-චිප් මතකය ප්රධාන අගය කාවැද්දුවා. යතුර යාවත්කාලීන කරන විට, මතකය ආරම්භ කිරීම file (.mif) වෙනස් විය හැකි අතර “quartus_cdb –update_mif” එකලස් කිරීමේ ප්රවාහය නැවත සම්පාදනය නොකර HDCP ආරක්ෂණ යතුර වෙනස් කළ හැක. මෙම පියවර ඉතා ඉක්මනින් ක්රියාත්මක වන අතර මුල් කාලය ආරක්ෂා කරයි.
- ඉන්ටෙල් ක්වාටස් ප්රයිම් බිට්ස්ට්රීම් පසුව එන්ක්රිප්ට් කරන ලද බිට්ස්ට්රීම් එක අවසන් පරීක්ෂණය සහ යෙදවීම සඳහා ආරක්ෂිත නොවන පරිසරයට මාරු කිරීමට පෙර FPGA යතුර සමඟ සංකේතනය කරයි.
FPGA වෙතින් රහස් යතුර නැවත ලබා ගත හැකි සියලුම දෝශ නිරාකරණ ප්රවේශයන් අක්රිය කිරීම නිර්දේශ කෙරේ. J අක්රිය කිරීමෙන් ඔබට දෝශ නිරාකරණ හැකියාවන් සම්පූර්ණයෙන්ම අක්රිය කළ හැකිය.TAG port, හෝ තෝරා බේරා අක්රිය කර නැවතview පද්ධතිය තුළ මතක සංස්කාරකය හෝ සංඥා ටැප් වැනි කිසිදු දෝශ නිරාකරණ විශේෂාංගයකට යතුර නැවත ලබා ගත නොහැකි බව. FPGA ආරක්ෂක විශේෂාංග භාවිතා කිරීම පිළිබඳ වැඩිදුර තොරතුරු සඳහා AN 556: Intel FPGAs හි නිර්මාණ ආරක්ෂක විශේෂාංග භාවිතා කිරීම බලන්න, FPGA බිට්ස්ට්රීම් සංකේතනය කරන්නේ කෙසේද සහ J අක්රීය කිරීම වැනි ආරක්ෂක විකල්ප වින්යාස කරන්නේ කෙසේද යන්න පිළිබඳ නිශ්චිත පියවර ඇතුළුව.TAG ප්රවේශය.
සටහන:
MIF ගබඩාවේ ඇති රහස් යතුරේ වෙනත් යතුරක් සමඟ අපැහැදිලි හෝ සංකේතනය කිරීමේ අතිරේක පියවර ඔබට සලකා බැලිය හැක.
අදාළ තොරතුරු
AN 556: Intel FPGAs හි සැලසුම් ආරක්ෂණ විශේෂාංග භාවිතා කිරීම
4.5. ආරක්ෂක සලකා බැලීම්
HDCP විශේෂාංගය භාවිතා කරන විට, පහත ආරක්ෂක සලකා බැලීම් ගැන සැලකිලිමත් වන්න.
- පුනරාවර්තන පද්ධතියක් සැලසුම් කිරීමේදී, ඔබ පහත සඳහන් කොන්දේසි යටතේ ලැබුණු වීඩියෝව TX IP වෙත ඇතුළු වීම අවහිර කළ යුතුය:
— ලැබුණු වීඩියෝව HDCP-සංකේතනය කර තිබේ නම් (එනම් RX IP වෙතින් සංකේතාංකන තත්ත්වය hdcp1_enabled හෝ hdcp2_enabled තහවුරු කර ඇත) සහ සම්ප්රේෂණය කරන ලද වීඩියෝව HDCP-සංකේතනය කර නොමැති නම් (එනම් සංකේතාංකන තත්ත්වය hdcp1_enabled හෝ hdcp2_enabled IP වෙතින් නොවේ).
— ලැබුණු වීඩියෝව HDCP TYPE 1 (එනම් RX IP වෙතින් streamid_type ප්රකාශ කර ඇත) සහ සම්ප්රේෂණය කරන ලද වීඩියෝව HDCP 1.4 සංකේතනය කර ඇත්නම් (එනම් TX IP වෙතින් hdcp1_enabled enabled සංකේතනය කර ඇත) - ඔබ ඔබේ HDCP නිෂ්පාදන යතුරු සහ ඕනෑම පරිශීලක සංකේතාංකන යතුරු වල රහස්යභාවය සහ අඛණ්ඩතාව පවත්වා ගත යුතුය.
- Intel ඔබට ඕනෑම Intel Quartus Prime ව්යාපෘති සහ සැලසුම් ප්රභවයක් සංවර්ධනය කිරීමට තරයේ නිර්දේශ කරයි fileයතුරු ආරක්ෂා කිරීම සඳහා ආරක්ෂිත පරිගණක පරිසරයක් තුළ සංකේතාංකන යතුරු අඩංගු s.
- ඕනෑම කාවැද්දූ සංකේතාංකන යතුරු ඇතුළුව, අනවසර පිටපත් කිරීම, ප්රතිලෝම ඉංජිනේරුකරණය සහ t ඇතුළුව සැලසුම ආරක්ෂා කිරීම සඳහා FPGAs හි සැලසුම් ආරක්ෂණ විශේෂාංග භාවිතා කරන ලෙස Intel ඔබට තරයේ නිර්දේශ කරයි.ampering.
අදාළ තොරතුරු
AN 556: Intel FPGAs හි සැලසුම් ආරක්ෂණ විශේෂාංග භාවිතා කිරීම
4.6 දෝශ නිරාකරණ මාර්ගෝපදේශ
මෙම කොටසෙහි දෝශ නිරාකරණය සඳහා භාවිතා කළ හැකි ප්රයෝජනවත් HDCP තත්ත්ව සංඥා සහ මෘදුකාංග පරාමිතීන් විස්තර කෙරේ. නිර්මාණ හිටපු ධාවනය ගැන නිතර අසන ප්රශ්න (FAQ) ද එහි අඩංගු වේample.
4.6.1. HDCP තත්ත්ව සංඥා
HDCP IP cores වල ක්රියාකාරී තත්ත්වය හඳුනා ගැනීමට ප්රයෝජනවත් වන සංඥා කිහිපයක් තිබේ. මෙම සංඥා සැලසුම් ex හි ඇතample ඉහළ මට්ටමේ සහ යතුරු පුවරුවේ LED වලට බැඳී ඇත:
සංඥා නම | කාර්යය |
hdcp1_enabled_rx | RX HDCP1x IP විකේතන තත්ත්වය 0: අක්රියයි 1: සක්රියයි |
hdcp2_enabled_rx | RX HDCP2x IP විකේතන තත්ත්වය 0: අක්රියයි 1: සක්රියයි |
hdcp1_enabled_tx | TX HDCP1x IP සංකේතාංකන තත්ත්වය 0: අක්රියයි 1: සක්රියයි |
hdcp2_enabled_tx | TX HDCP2x IP සංකේතාංකන තත්ත්වය 0: අක්රියයි 1: සක්රියයි |
අදාළ LED ස්ථානගත කිරීම් සඳහා 57 පිටුවේ 115 සහ 58 පිටුවේ 115 වගුව බලන්න.
මෙම සංඥා වල සක්රිය තත්ත්වය පෙන්නුම් කරන්නේ HDCP IP සත්යාපනය කර ඇති අතර සංකේතනය කළ වීඩියෝ ප්රවාහය ලැබීම/යවන බවයි. එක් එක් දිශාව සඳහා, HDCP1x හෝ HDCP2x පමණි
සංකේතනය/විකේතන තත්ව සංඥා සක්රියයි. උදාහරණයක් ලෙසample, hdcp1_enabled_rx හෝ hdcp2_enabled_rx සක්රිය නම්, RX පැත්තේ HDCP සක්රීය කර බාහිර වීඩියෝ මූලාශ්රයෙන් සංකේතනය කළ වීඩියෝ ප්රවාහය විකේතනය කරයි.
4.6.2. HDCP මෘදුකාංග පරාමිතීන් වෙනස් කිරීම
HDCP නිදොස් කිරීමේ ක්රියාවලිය පහසු කිරීම සඳහා, ඔබට hdcp.c හි පරාමිති වෙනස් කළ හැක.
පහත වගුව වින්යාසගත කළ හැකි පරාමිති ලැයිස්තුව සහ ඒවායේ ක්රියාකාරකම් සාරාංශ කරයි.
පරාමිතිය | කාර්යය |
SUPPORT_HDCP1X | TX පැත්තේ HDCP 1.4 සබල කරන්න |
SUPPORT_HDCP2X | TX පැත්තේ HDCP 2.3 සබල කරන්න |
DEBUG_MODE_HDCP | TX HDCP සඳහා නිදොස් කිරීමේ පණිවිඩ සබල කරන්න |
REPEATER_MODE | HDCP නිර්මාණය සඳහා රිපීටර් මාදිලිය සබල කරන්නample |
පරාමිතීන් වෙනස් කිරීම සඳහා, hdcp.c හි අවශ්ය අගයන් වෙත අගයන් වෙනස් කරන්න. සම්පාදනය ආරම්භ කිරීමට පෙර, build_sw_hdcp.sh හි පහත වෙනස කරන්න:
- වෙනස් කරන ලද මෘදුකාංගය වැළැක්වීම සඳහා පහත පේළිය සොයාගෙන එය අදහස් දක්වන්න file මුල් පිටපත මගින් ප්රතිස්ථාපනය වේ files Intel Quartus Prime මෘදුකාංග ස්ථාපන මාර්ගයෙන්.
- යාවත්කාලීන කළ මෘදුකාංගය සම්පාදනය කිරීමට “./build_sw_hdcp.sh” ධාවනය කරන්න.
- ජනනය කරන ලද .elf file ක්රම දෙකකින් නිර්මාණයට ඇතුළත් කළ හැකිය:
a. “nios2-download -g ධාවනය කරන්න file නම>". නිසි ක්රියාකාරීත්වය සහතික කිරීම සඳහා බාගත කිරීමේ ක්රියාවලිය අවසන් වූ පසු පද්ධතිය නැවත සකසන්න.
ආ. මතක ආරම්භය යාවත්කාලීන කිරීමට “quartus_cdb –-update_mif” ධාවනය කරන්න files. නව .sof උත්පාදනය කිරීමට එකලස් කරන්නා ධාවනය කරන්න file යාවත්කාලීන මෘදුකාංග ඇතුළත් වේ.
4.6.3. නිතර අසන ප්රශ්න (FAQ)
වගුව 59. අසමත් වීමේ රෝග ලක්ෂණ සහ මාර්ගෝපදේශ
අංකය | අසාර්ථක වීමේ රෝග ලක්ෂණය | මාර්ගෝපදේශය |
1. | RX සංකේතනය කළ වීඩියෝවක් ලබා ගනී, නමුත් TX නිල් හෝ කළු වර්ණයෙන් ස්ථිතික වීඩියෝවක් යවයි. | මෙයට හේතුව බාහිර සින්ක් සමඟ TX සත්යාපනය අසාර්ථක වීමයි. උඩු ප්රවාහයෙන් එන වීඩියෝව සංකේතනය කර ඇත්නම් HDCP-හැකි පුනරාවර්තකයක් සංකේතනය නොකළ ආකෘතියෙන් වීඩියෝව සම්ප්රේෂණය නොකළ යුතුය. මෙය සාක්ෂාත් කර ගැනීම සඳහා, RX HDCP විකේතන තත්ත්ව සංඥාව සක්රියව පවතින අතරතුර TX HDCP සංකේතාංකන තත්ත්ව සංඥාව අක්රිය වූ විට පිටතට යන වීඩියෝව නිල් හෝ කළු වර්ණයෙන් ස්ථිතික වීඩියෝවක් ප්රතිස්ථාපනය කරයි. නිශ්චිත මාර්ගෝපදේශ සඳහා, බලන්න ආරක්ෂක සලකා බැලීම් 117 පිටුවේ. කෙසේ වෙතත්, මෙම හැසිරීම HDCP නිර්මාණය සක්රීය කිරීමේදී නිදොස් කිරීමේ ක්රියාවලිය වළක්වනු ඇත. පහත දැක්වෙන්නේ design ex හි වීඩියෝ අවහිර කිරීම අක්රිය කිරීමේ ක්රමයයිampලෙ: 1. නිර්මාණ ex හි ඉහළ මට්ටමේ පහත වරාය සම්බන්ධතාවය සොයා ගන්නample. මෙම වරාය hdmi_tx_top මොඩියුලයට අයත් වේ. 2. වරාය සම්බන්ධතාවය පහත පේළියට වෙනස් කරන්න: |
2. | TX HDCP සංකේතාංකන තත්ත්ව සංඥාව සක්රිය නමුත් හිම පිංතූරය පහළ සින්ක් හි සංදර්ශණය වේ. | මෙයට හේතුව ඩවුන්ස්ට්රීම් සින්ක් මඟින් පිටතට යන සංකේතනය කළ වීඩියෝව නිවැරදිව විකේතනය නොකිරීමයි. ඔබ ගෝලීය නියතය (LC128) TX HDCP IP වෙත සපයන බවට වග බලා ගන්න. අගය නිෂ්පාදන අගය සහ නිවැරදි විය යුතුය. |
3. | TX HDCP සංකේතාංකන තත්ත්ව සංඥාව අස්ථායී හෝ සෑම විටම අක්රිය වේ. | මෙයට හේතු වී ඇත්තේ පහළ සින්ක් සමඟ TX සත්යාපනය අසාර්ථක වීමයි. නිදොස් කිරීමේ ක්රියාවලිය පහසු කිරීම සඳහා, ඔබට සක්රීය කළ හැක DEBUG_MODE_HDCP hdcp.c හි පරාමිතිය වෙත යොමු කරන්න HDCP මෘදුකාංග පරාමිතීන් වෙනස් කිරීම 118 පිටුවේ මාර්ගෝපදේශ මත. පහත දැක්වෙන 3a-3c අසාර්ථක TX සත්යාපනයට හේතු විය හැක. |
3a. | මෘදුකාංග දෝශ නිරාකරණ ලොගය “HDCP 1.4 පහළ ධාරාවෙන් (Rx) සහාය නොදක්වයි” යන පණිවිඩය මුද්රණය කරයි. | පණිවිඩයේ දැක්වෙන්නේ පහළ සින්ක් HDCP 2.3 සහ HDCP 1.4 යන දෙකටම සහය නොදක්වයි. පහළ සින්ක් HDCP 2.3 හෝ HDCP 1.4 සඳහා සහය දක්වන බවට වග බලා ගන්න. |
3b. | TX සත්යාපනය අතරමග අසාර්ථක වේ. | මෙය අත්සන සත්යාපනය, ප්රාදේශීය පරීක්ෂාව වැනි TX සත්යාපනයේ ඕනෑම කොටසක් අසාර්ථක විය හැකි බැවිනි. පහළ සින්ක් නිෂ්පාදන යතුර භාවිතා කරන නමුත් ෆැක්සිමිල් යතුර භාවිතා කරන බවට වග බලා ගන්න. |
3c. | මෘදුකාංග දෝශ නිරාකරණ ලොගය “නැවත සත්යාපනය” මුද්රණය කරයි | ලැබුණු වීඩියෝව නිවැරදිව විකේතනය කර නැති නිසා පහළ සින්ක් එක නැවත සත්යාපනය ඉල්ලා ඇති බව මෙම පණිවිඩය දක්වයි. ඔබ ගෝලීය නියතය (LC128) TX HDCP IP වෙත සපයන බවට වග බලා ගන්න. අගය නිෂ්පාදන අගය විය යුතු අතර අගය නිවැරදි විය යුතුය. |
දිගටම… |
අංකය | අසාර්ථක වීමේ රෝග ලක්ෂණය | මාර්ගෝපදේශය |
HDCP සත්යාපනය සම්පූර්ණ කිරීමෙන් පසුව අවශ්ය වේ. | ||
4. | RX HDCP විකේතන තත්ත්ව සංඥාව upstream source HDCP සක්රීය කර ඇතත් අක්රියයි. | මෙයින් පෙන්නුම් කරන්නේ RX HDCP IP සත්යාපිත තත්වය ලබාගෙන නොමැති බවයි. පෙරනිමියෙන්, ද REPEATER_MODE පරාමිතිය නිර්මාණයේ ex සක්රීය කර ඇතample. නම් REPEATER_MODE සක්රීය කර ඇත, TX HDCP IP සත්යාපනය කර ඇති බවට වග බලා ගන්න.
විට REPEATER_MODE පරාමිතිය සක්රීය කර ඇත, TX HDCP-හැකි සින්ක් එකකට සම්බන්ධ කර ඇත්නම් RX HDCP IP පුනරාවර්තකයක් ලෙස සත්යාපනය කිරීමට උත්සාහ කරයි. TX HDCP IP පහළ සින්ක් සමඟ සත්යාපනය සම්පූර්ණ කර RECEIVERID_LIST RX HDCP IP වෙත ලබා දෙන තෙක් බලා සිටින අතරතුර සත්යාපනය අතරමග නතර වේ. HDCP පිරිවිතරයේ අර්ථ දක්වා ඇති පරිදි කල් ඉකුත්වීම තත්පර 2 කි. මෙම කාල පරිච්ෙඡ්දය තුළ TX HDCP IP හට සත්යාපනය සම්පූර්ණ කිරීමට නොහැකි වුවහොත්, උඩුගත මූලාශ්රය සත්යාපනය අසාර්ථක ලෙස සලකන අතර HDCP පිරිවිතරයේ දක්වා ඇති පරිදි නැවත සත්යාපනය ආරම්භ කරයි. |
සටහන: • යොමු කරන්න HDCP මෘදුකාංග පරාමිතීන් වෙනස් කිරීම අක්රිය කිරීමේ ක්රමය සඳහා 118 පිටුවේ REPEATER_MODE නිදොස් කිරීමේ අරමුණ සඳහා පරාමිතිය. අක්රිය කිරීමෙන් පසු REPEATER_MODE පරාමිතිය, RX HDCP IP සෑම විටම අවසන් ලක්ෂ්ය ග්රාහකයක් ලෙස සත්යාපනය කිරීමට උත්සාහ කරයි. TX HDCP IP සත්යාපන ක්රියාවලියට පිවිසෙන්නේ නැත. | ||
• නම් REPEATER_MODE පරාමිතිය සබල කර නැත, HDCP IP වෙත ලබා දී ඇති HDCP යතුර නිෂ්පාදන අගය සහ අගය නිවැරදි බව සහතික කර ගන්න. | ||
5. | RX HDCP විකේතන තත්ව සංඥාව අස්ථායි. | මෙයින් අදහස් කරන්නේ RX HDCP IP විසින් සත්යාපනය කරන ලද තත්වය ලබා ගත් වහාම නැවත සත්යාපනය ඉල්ලා ඇති බවයි. RX HDCP IP මඟින් ලැබෙන සංකේතනය කළ වීඩියෝව නිවැරදිව විකේතනය නොවීම මෙයට හේතුව විය හැකිය. RX HDCP IP හරය වෙත ලබා දී ඇති ගෝලීය නියතය (LC128) නිෂ්පාදන අගය සහ අගය නිවැරදි බව සහතික කර ගන්න. |
HDMI Intel Arria 10 FPGA IP Design Example පරිශීලක මාර්ගෝපදේශ ලේඛනාගාරය
මෙම පරිශීලක මාර්ගෝපදේශයේ නවතම සහ පෙර අනුවාද සඳහා, HDMI Intel® Arria 10 FPGA IP Design Ex වෙත යොමු වන්නample පරිශීලක මාර්ගෝපදේශය. IP හෝ මෘදුකාංග අනුවාදයක් ලැයිස්තුගත කර නොමැති නම්, පෙර IP හෝ මෘදුකාංග අනුවාදය සඳහා පරිශීලක මාර්ගෝපදේශය අදාළ වේ.
IP අනුවාද v19.1 දක්වා Intel Quartus Prime Design Suite මෘදුකාංග අනුවාදවලට සමාන වේ. Intel Quartus Prime Design Suite මෘදුකාංග අනුවාදය 19.2 හෝ ඊට පසු, IP වෙතින්
cores වලට නව IP අනුවාද ක්රමයක් ඇත.
HDMI Intel Arria 10 FPGA IP Design Ex සඳහා සංශෝධන ඉතිහාසයample පරිශීලක මාර්ගෝපදේශය
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
2022.12.27 | 22.4 | 19.7.1 | HDMI දියණිය කාඩ්පත් සංශෝධනය තේරීම සඳහා නව පරාමිතියක් නිර්මාණ හිටපු දෘඪාංග සහ මෘදුකාංග අවශ්යතා අංශයට එක් කරන ලදී.ampHDMI 2.0 සඳහා le (FRL නොවන මාදිලිය). |
2022.07.29 | 22.2 | 19.7.0 | • Nios II EDS හි Windows* අනුවාදයෙන් Cygwin සංරචකය ඉවත් කිරීම සහ Windows* භාවිතා කරන්නන් සඳහා WSL ස්ථාපනය කිරීමේ අවශ්යතාවය පිළිබඳ දැනුම්දීම. • ලේඛනය පුරාවට අදාළ වන විට සංශෝධන 4 සිට 9 දක්වා දියණිය කාඩ්පත් අනුවාදය යාවත්කාලීන කරන ලදී. |
2021.11.12 | 21.3 | 19.6.1 | • නව යතුරු සංකේතාංකන මෘදුකාංග උපයෝගිතා (KEYENC) විස්තර කිරීම සඳහා බාහිර ෆ්ලෑෂ් මතකයේ හෝ EEPROM (සහාය HDCP යතුරු කළමනාකරණය = 1) තුළ ගබඩා සංකේතනය කළ HDCP නිෂ්පාදන යතුරු උපවගන්තිය යාවත්කාලීන කරන ලදී. • පහත සංඛ්යා ඉවත් කරන ලදී: — RX පුද්ගලික යතුර සඳහා Facsimile Key R1 හි දත්ත අරාව - HDCP නිෂ්පාදන යතුරු වල දත්ත අරා (ප්ලේස්හෝල්ඩර්) - HDCP ආරක්ෂණ යතුරේ දත්ත අරාව (පෙර නිශ්චිත යතුර) — HDCP ආරක්ෂණ යතුර hdcp2x_tx_kmem.mif හි ආරම්භ කරන ලදී — HDCP ආරක්ෂණ යතුර hdcp1x_rx_kmem.mif හි ආරම්භ කරන ලදී — HDCP ආරක්ෂණ යතුර hdcp1x_tx_kmem.mif හි ආරම්භ කරන ලදී • DCP යතුරෙන් HDCP යතුරු සිතියම්ගත කිරීමේ උපවගන්තිය ගෙන යන ලදී. FileFPGA හි සරල HDCP නිෂ්පාදන යතුරු ගබඩා කිරීමට Debug Guidelines වෙතින් s (සහාය HDCP යතුරු කළමනාකරණය = 0). |
2021.09.15 | 21.1 | 19.6.0 | ncsim වෙත යොමුව ඉවත් කරන ලදී |
2021.05.12 | 21.1 | 19.6.0 | • Figure 1 HDCP Over HDMI Design Ex සඳහා වන විස්තරයට SUPPORT FRL = 1 හෝ SUPPORT HDCP KEY MANAGEMENT = 29 එකතු කළ විටample බ්ලොක් රූප සටහන. • HDCP යතුරු මතකයේ පියවර එකතු කරන ලදී fileDesign Walkthrough හි s. • ආඩ්වෙයාර් සකසන්න යන කොටසට FRL = 0 සහාය දක්වන විට එකතු කරන ලදී. • නිර්මාණය උත්පාදනය තුළ සහාය HDCP යතුරු කළමනාකරණ පරාමිතිය ක්රියාත්මක කිරීමට පියවර එක් කරන ලදී. • බාහිර ෆ්ලෑෂ් මතකය හෝ EEPROM (සහාය HDCP යතුරු කළමනාකරණය = 1) තුළ නව උපවගන්තිය ගබඩා කරන ලද සංකේතාත්මක HDCP නිෂ්පාදන යතුරු එකතු කරන ලදී. |
දිගටම… |
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
• Table Push Button සහ LED Indicators Push Button සහ LED Indicators ලෙස නැවත නම් කරන ලදී (SUPPORT FRL = 0). • මේස තල්ලු බොත්තම සහ LED දර්ශක එකතු කරන ලදි (සපෝර්ට් FRL = 1). • FPGA නිර්මාණය තුළ එබ්බවූ සංකේතාංකන යතුර ආරක්ෂා කිරීමේ නව පරිච්ඡේදයක් එක් කරන ලදී. • නව පරිච්ෙඡ්දයක් දෝශ නිරාකරණ මාර්ගෝපදේශ සහ උපවගන්ති HDCP තත්ව සංඥා, HDCP මෘදුකාංග පරාමිතිය වෙනස් කිරීම සහ නිතර අසන ප්රශ්න එක් කරන ලදී. |
|||
2021.04.01 | 21.1 | 19.6.0 | • RX-Only හෝ TX-Only Design සඳහා අවශ්ය යාවත්කාලීන රූප සංරචක. • යාවත්කාලීන කළ වගු ජනනය කළ RTL Files. • Updated Figure HDMI RX Top Components. • ඉවත් කරන ලද කොටස HDMI RX Top Link පුහුණු ක්රියාවලිය. • විවිධ FRL මිල ගණන් යටතේ නිර්මාණය ධාවනය කිරීමේ පියවර යාවත්කාලීන කරන ලදී. • යාවත්කාලීන රූප HDMI 2.1 නිර්මාණ Example ඔරලෝසු යෝජනා ක්රමය. • යාවත්කාලීන කළ වගු ඔරලෝසු යෝජනා ක්රම සංඥා. • Transceiver Arbiter සිට TX ඉහළට සම්බන්ධතාවයක් එක් කිරීමට රූපය HDMI RX-TX බ්ලොක් රූප සටහන යාවත්කාලීන කරන ලදී. |
2020.09.28 | 20.3 | 19.5.0 | • HDMI 2.1 නිර්මාණය හිටපු සටහන ඉවත් කරන ලදීampFRL මාදිලියේ le HDMI Intel FPGA IP Design Ex හි වේග ශ්රේණියේ -1 උපාංග සඳහා පමණක් සහය දක්වයි.ample Intel Arria 10 උපාංග සහ HDMI 2.1 Design Ex සඳහා ඉක්මන් ආරම්භක මාර්ගෝපදේශයample (සහාය FRL = 1) කොටස්. සැලසුම සියලුම වේග ශ්රේණි සඳහා සහය දක්වයි. • සියලුම HDMI 2.1 සැලසුම් වලින් ls_clk තොරතුරු ඉවත් කරන ලදීample සම්බන්ධ අංශ. ls_clk වසම තවදුරටත් නිර්මාණ ex හි භාවිතා නොවේample. • HDMI 2.1 නිර්මාණය සඳහා බ්ලොක් රූපසටහන් යාවත්කාලීන කරන ලදීampHDMI 2.1 Design Ex හි FRL මාදිලියේ leample (සහාය FRL = 1), RX- පමණක් හෝ TX-පමණක් සැලසුම් නිර්මාණ සංරචක, සහ ඔරලෝසු යෝජනා ක්රම කොටස් නිර්මාණය කිරීම. • නාමාවලි යාවත්කාලීන කර ජනනය කරන ලදී fileනාමාවලි ව්යුහය කොටස් වල ලැයිස්තුව. • අදාළ නොවන සංඥා ඉවත් කර, පහත දැක්වෙන HDMI 2.1 නිර්මාණයේ විස්තරය එක් කිරීම හෝ සංස්කරණය කිරීමampඅතුරුමුහුණත් සංඥා කොටසේ le සංඥා: — sys_init — txpll_frl_locked — tx_os — txphy_rcfg* සංඥා — tx_reconfig_done - txcore_tbcr — pio_in0_external_connection_export • නිර්මාණ RTL පරාමිති කොටසෙහි පහත පරාමිති එක් කරන ලදී: — EDID_RAM_ADDR_WIDTH — BITEC_DAUGHTER_CARD_REV - FPLL භාවිතා කරන්න — POLARITY_INVERSION |
දිගටම… |
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
• HDMI 2.0 නිර්මාණය සඳහා බ්ලොක් රූපසටහන් යාවත්කාලීන කරන ලදීampHDMI 2.0 Design Ex හි Intel Quartus Prime Pro සංස්කරණ මෘදුකාංග සඳහා leample (සහාය FRL = 0), RX-Only හෝ TX-Only Designs Design Components, සහ Clocking Scheme කොටස් නිර්මාණය කිරීම. • Dynamic Range සහ Mastering (HDR) InfoFrame Insertion and Filtering කොටසෙහි ඔරලෝසුව යාවත්කාලීන කර සංඥා නාම යළි පිහිටුවන ලදී. • අදාළ නොවන සංඥා ඉවත් කර, පහත දැක්වෙන HDMI 2.0 නිර්මාණයේ විස්තරය එක් කිරීම හෝ සංස්කරණය කිරීමampඅතුරුමුහුණත් සංඥා කොටසේ le සංඥා: — clk_fpga_b3_p — REFCLK_FMCB_P — fmcb_la_tx_p_11 — fmcb_la_rx_n_9e - fr_clck — reset_xcvr_powerup — nios_tx_i2c* සංඥා — hdmi_ti_i2c* සංඥා — tx_i2c_avalon* සංඥා — clock_bridge_0_in_clk_clk — reset_bridge_0_reset_reset_n — i2c_master* සංඥා — nios_tx_i2c* සංඥා — මිනුම්_වලංගු_පියෝ_බාහිර_සම්බන්ධතාවය n_export — oc_i2c_av_slave_translator_avalon_an ti_slave_0* සංඥා — powerup_cal_done_export — rx_pma_cal_busy_export — rx_pma_ch_export — rx_pma_rcfg_mgmt* සංඥා • සමාකරණ පරීක්ෂණ බංකුව සමඟ සැලසුම් සඳහා සහය නොදක්වන බවට සටහනක් එක් කරන ලදී I2C ඇතුළත් කරන්න පරාමිතිය සක්රීය කර Simulation Testbench කොටසේ සමාකරණ පණිවිඩය යාවත්කාලීන කරන ලදී. • ඔබේ නිර්මාණය උත්ශ්රේණි කිරීම යන කොටස යාවත්කාලීන කරන ලදී. |
|||
2020.04.13 | 20.1 | 19.4.0 | • HDMI 2.1 නිර්මාණය හිටපු බවට සටහනක් එක් කරන ලදීampFRL මාදිලියේ le HDMI Intel FPGA IP Design Ex හි වේග ශ්රේණියේ -1 උපාංග සඳහා පමණක් සහය දක්වයි.ample Intel Arria 10 උපාංග සඳහා ඉක්මන් ආරම්භක මාර්ගෝපදේශය සහ HDMI 2.1 Design Ex සඳහා සවිස්තරාත්මක විස්තරයample (සහාය FRL = 1) කොටස්. • HDCP හරහා HDMI නිර්මාණ ExampHDMI Intel FPGA IP පරිශීලක මාර්ගෝපදේශයෙන් Intel Arria 10 උපාංග අංශය සඳහා le. • ශ්රව්ය s ඇතුළත් කිරීමට සැලසුම් අනුකරණය කිරීමේ කොටස සංස්කරණය කරන ලදීample generator, sideband data generator, සහ axiliary data generator සහ සාර්ථක සමාකරණ පණිවිඩය යාවත්කාලීන කරන ලදී. • සමාකරණය ලබා ගත හැක්කේ සඳහා පමණක් බව සඳහන් සටහන ඉවත් කරන ලදී FRL සඳහා සහය වන්න ආබාධිත නිර්මාණ සටහන. සමාකරණය දැන් ලබා ගත හැක FRL සඳහා සහය වන්න සක්රීය මෝස්තර මෙන්ම. • HDMI 2.1 Design Ex සඳහා විස්තරාත්මක විස්තරයේ විශේෂාංග විස්තරය යාවත්කාලීන කරන ලදීample (සහාය FRL සක්රිය කර ඇත) කොටස. |
දිගටම… |
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
• HDMI 2.1 RX-TX නිර්මාණ බ්ලොක් රූප සටහන, සැලසුම් සංරචක, සහ HDMI 2.1 සැලසුම් සඳහා RX-Only හෝ TX-Only Designs කොටස් නිර්මාණය කිරීමෙහි බ්ලොක් රූප සටහන සංස්කරණය කරන ලදී.ample. නව සංරචක එකතු කරන ලද සහ තවදුරටත් අදාළ නොවන සංරචක ඉවත් කරන ලදී. • නිර්මාණය RX-Only හෝ TX-Only Designs කොටසේ main.c ස්ක්රිප්ට් උපදෙස් සංස්කරණය කරන ලදී. • නව ෆෝල්ඩර එකතු කිරීමට නාමාවලි ව්යුහය කොටස් යාවත්කාලීන කරන ලදී fileHDMI 2.0 සහ HDMI යන දෙකටම s 2.1 නිර්මාණය උදාamples. • HDMI 2.1 නිර්මාණය සඳහා දෘඪාංග සහ මෘදුකාංග අවශ්යතා අංශය යාවත්කාලීන කරන ලදීample. • HDMI 2.1 නිර්මාණය සඳහා Dynamic Range සහ Mastering (HDR) InfoFrame Insertion සහ Filtering කොටසෙහි බ්ලොක් රූප සටහන සහ සංඥා විස්තර යාවත්කාලීන කරන ලදී.ample. • HDMI 2.1 නිර්මාණය සඳහා, විවිධ FRL අනුපාතවලින් නිර්මාණය ධාවනය කරමින්, නව අංශයක් එක් කරන ලදී.amples. • HDMI 2.1 නිර්මාණය සඳහා Clocking Scheme කොටසේ බ්ලොක් රූප සටහන සහ සංඥා විස්තර යාවත්කාලීන කරන ලදීample. • HDMI 2.1 නිර්මාණය සඳහා දෘඪාංග සැකසුම් කොටසේ පරිශීලක DIP ස්විචය පිළිබඳ විස්තරය එක් කරන ලදීample. • HDMI 2.1 design ex සඳහා සැලසුම් සීමාවන් කොටස යාවත්කාලීන කරන ලදීample. • ඔබේ නිර්මාණය උත්ශ්රේණි කිරීම යන කොටස යාවත්කාලීන කරන ලදී. • HDMI 2.0 සහ HDMI 2.1 design ex දෙකම සඳහා Simulation Testbench කොටස් යාවත්කාලීන කරන ලදීamples. |
|||
2020.01.16 | 19.4 | 19.3.0 | • HDMI Intel FPGA IP Design Ex යාවත්කාලීන කරන ලදීample අලුතින් එකතු කරන ලද HDMI 10 නිර්මාණය පිළිබඳ තොරතුරු සහිත Intel Arria 2.1 උපාංග අංශය සඳහා ඉක්මන් ආරම්භක මාර්ගෝපදේශයample FRL මාදිලිය සමඟ. • HDMI 2.1 Design Ex සඳහා නව පරිච්ඡේදයක්, සවිස්තරාත්මක විස්තරයක් එක් කරන ලදීample (සහාය FRL සක්රීය කර ඇත) එහි අලුතින් එකතු කරන ලද නිර්මාණය පිළිබඳ අදාළ සියලු තොරතුරු අඩංගු වේample. • HDMI Intel FPGA IP Design Ex ලෙස නැවත නම් කරන ලදීample HDMI 2.0 Design Ex සඳහා සවිස්තරාත්මක විස්තරයට සවිස්තරාත්මක විස්තරයක්ampවඩා හොඳ පැහැදිලිකම සඳහා. |
2019.10.31 | 18.1 | 18.1 | • උත්පාදනය එකතු කරන ලදී files tx_control_src ෆෝල්ඩරයේ: ti_i2c.c සහ ti_i2c.h. • දෘඪාංග සහ මෘදුකාංග අවශ්යතා සහ සැලසුම් කොටස් සම්පාදනය කිරීම සහ පරීක්ෂා කිරීම තුළ FMC දියණිය කාඩ්පත් සංශෝධනය 11 සඳහා සහය එක් කරන ලදී. • සැලසුම් සීමා කොටස ඉවත් කරන ලදී. උපරිම වක්ර සීමාවන් මත කාල සීමාව උල්ලංඝනය කිරීම සම්බන්ධ සීමාව අනුවාදයෙන් විසඳා ඇත HDMI Intel FPGA IP හි 18.1. • Bitec HDMI දියණියගේ කාඩ්පතේ සංශෝධනය තේරීමට ඔබට හැකියාව ලබා දීම සඳහා BITEC_DAUGHTER_CARD_REV, නව RTL පරාමිතියක් එක් කරන ලදී. |
දිගටම… |
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
• FMC දියණිය කාඩ්පත් සංශෝධන 2, 2, සහ 11 පිළිබඳ තොරතුරු ඇතුළත් කිරීමට fmcb_dp_m6c_p සහ fmcb_dp_c4m_p සංඥා සඳහා විස්තරය යාවත්කාලීන කරන ලදී. • Bitec දුව කාඩ්පත් සංශෝධනය 11 සඳහා පහත නව සංඥා එකතු කරන ලදී: — hdmi_tx_ti_i2c_sda — hdmi_tx_ti_i2c_scl — oc_i2c_master_ti_avalon_anti_slave_a address — oc_i2c_master_ti_avalon_anti_slave_w චාරිත්රය — oc_i2c_master_ti_avalon_anti_slave_r eddata — oc_i2c_master_ti_avalon_anti_slave_w ritedata — oc_i2c_master_ti_avalon_anti_slave_w aitrequest • ඔබේ නිර්මාණය උත්ශ්රේණි කිරීම පිළිබඳ කොටසක් එක් කරන ලදී. |
|||
2017.11.06 | 17.1 | 17.1 | • Intel rebranding අනුව HDMI IP core HDMI Intel FPGA IP ලෙස නැවත නම් කරන ලදී. • Qsys යන පදය Platform Designer ලෙස වෙනස් කරන ලදී. • Dynamic Range සහ Mastering InfoFrame (HDR) ඇතුළත් කිරීම සහ පෙරීමේ විශේෂාංගය පිළිබඳ තොරතුරු එක් කරන ලදී. • නාමාවලි ව්යුහය යාවත්කාලීන කරන ලදී: — එකතු කරන ලද ස්ක්රිප්ට් සහ මෘදුකාංග ෆෝල්ඩර සහ files. - යාවත්කාලීන පොදු සහ HDR files. - atx ඉවත් කරන ලදී files. - වෙනස් fileIntel Quartus Prime Standard Edition සහ Intel Quartus Prime Pro සංස්කරණය සඳහා s. • 10AX115S2F4I1SG ලෙස භාවිතා කරන උපාංගය එක් කිරීමට නිර්මාණය උත්පාදනය කිරීමේ කොටස යාවත්කාලීන කරන ලදී. • 50-100 MHz TMDS ඔරලෝසු සංඛ්යාතය සඳහා සම්ප්රේෂක දත්ත අනුපාතය 2550-5000 Mbps දක්වා සංස්කරණය කරන ලදී. • බාහිර පෙරීම අක්රිය කිරීමට ඔබට user_pb[2] බොත්තම මුදා හැරිය හැකි RX-TX සබැඳි තොරතුරු යාවත්කාලීන කරන ලදී. • I2C master සහ HDMI මූලාශ්රය සඳහා පාලන ඇතුළත් Nios II මෘදුකාංග ප්රවාහ රූප සටහන යාවත්කාලීන කරන ලදී. • පිළිබඳ තොරතුරු එකතු කරන ලදී නිර්මාණ Example GUI පරාමිතීන්. • HDMI RX සහ TX Top design parameters එකතු කරන ලදී. • මෙම HDMI RX සහ TX ඉහළ මට්ටමේ සංඥා එක් කරන ලදී: - mgmt_clk - යළි පිහිටුවීම — i2c_clk — hdmi_clk_in — මෙම HDMI RX සහ TX ඉහළ මට්ටමේ සංඥා ඉවත් කරන ලදී: • අනුවාදය • i2c_clk |
දිගටම… |
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
• Intel Arria 10 FPGA Development Kit සහ Bitec HDMI 2.0 Daughter කාඩ්පත සඳහා ට්රාන්ස්සීවර් ඇනලොග් සැකසුම පරීක්ෂා කරන බවට සටහනක් එක් කරන ලදී. ඔබට ඔබේ පුවරුව සඳහා ඇනලොග් සැකසුම වෙනස් කළ හැක. • Intel Arria 10 PLL සමුද්දේශ ඔරලෝසුව සඳහා PLL කැස්කැඩින් හෝ කැප නොවූ ඔරලෝසු මාර්ග වල ජ්වලිතය වළක්වා ගැනීම සඳහා විසඳුම් සඳහා සබැඳියක් එක් කරන ලදී. • ඔබට HDMI RX සඳහා CDR refclk ලෙස හෝ HDMI TX සඳහා TX PLL refclk ලෙස සම්ප්රේෂක RX පින් එකක් භාවිත කළ නොහැකි බවට සටහනක් එක් කරන ලදී. • TX PMA සහ PCS බන්ධන භාවිත කරන මෝස්තර සඳහා set_max_skew constraint එකතු කරන ආකාරය පිළිබඳ සටහනක් එක් කරන ලදී. |
|||
2017.05.08 | 17.0 | 17.0 | • Intel ලෙස නැවත හංවඩු ගසා ඇත. • කොටස් අංකය වෙනස් කරන ලදී. • නාමාවලි ව්යුහය යාවත්කාලීන කරන ලදී: - HDR එකතු කරන ලදි files. — qsys_vip_passthrough.qsys nios.qsys ලෙස වෙනස් කරන ලදී. - එකතු කරන ලදී fileIntel Quartus Prime Pro සංස්කරණය සඳහා නම් කර ඇත. • RX-TX Link block එක HDMI RX සහායක දත්ත වලින් High Dynamic Range (HDR) Infoframe මත බාහිර පෙරීම සිදු කරන බවට යාවත්කාලීන කරන ලද තොරතුරු සහ හිටපු එකක් ඇතුල් කරයි.ample HDR Infoframe HDMI TX හි සහායක දත්ත වලට Avalon ST මල්ටිප්ලෙක්සර් හරහා. • Transceiver Native PHY විස්තරය සඳහා සටහනක් එක් කරන ලදී HDMI TX අන්තර් නාලිකා skew අවශ්යතාවය සපුරාලීම සඳහා, ඔබට Arria 10 Transceiver Native PHY පරාමිති සංස්කාරකයේ TX නාලිකා බන්ධන මාදිලිය සැකසීමට අවශ්ය වේ. PMA සහ PCS බැඳීම. • os සහ මිනුම් සංඥා සඳහා යාවත්කාලීන විස්තරය. • ඕවර වෙනස් කළාampTX FPLL සෘජු ඔරලෝසු යෝජනා ක්රමයට සහාය වීම සඳහා එක් එක් TMDS ඔරලෝසු සංඛ්යාත පරාසයේ විවිධ සම්ප්රේෂක දත්ත අනුපාතය සඳහා ling සාධකය. • TX IOPLL සිට TX FPLL කැස්කැඩ් ඔරලෝසු ක්රමය TX FPLL සෘජු යෝජනා ක්රමයට වෙනස් කරන ලදී. • TX PMA නැවත සකස් කිරීමේ සංඥා එකතු කරන ලදී. • USER_LED[7] ඕවර සංස්කරණය කරන ලදීampලින්ග් තත්ත්වය. 1 ඕවර පෙන්නුම් කරයිampled (දත්ත අනුපාතය <1,000 Mbps Arria 10 උපාංගයේ). • යාවත්කාලීන HDMI නිර්මාණ Example සහය දක්වන සිමියුලේටර් වගුව. VHDL NCSim සඳහා සහය නොදක්වයි. • Arria 10 HDMI IP Core Design Ex හි සංරක්ෂිත අනුවාදය වෙත සබැඳිය එක් කරන ලදීample පරිශීලක මාර්ගෝපදේශය. |
2016.10.31 | 16.1 | 16.1 | මුල් නිකුතුව. |
ඉන්ටෙල් සංස්ථාව. සියලුම හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල ක්රියාකාරීත්වය Intel හි සම්මත වගකීම් වලට අනුකූලව වත්මන් පිරිවිතරයන්ට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම භාණ්ඩයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
මාර්ගගත සංස්කරණය
ප්රතිපෝෂණ යවන්න
ID: 683156
අනුවාදය: 2022.12.27
ලේඛන / සම්පත්
![]() |
intel HDMI Arria 10 FPGA IP Design Example [pdf] පරිශීලක මාර්ගෝපදේශය HDMI Arria 10 FPGA IP Design Example, HDMI Arria, 10 FPGA IP Design Example, Design Example |