இன்டெல் லோகோHDMI Arria 10 FPGA IP வடிவமைப்பு Example
பயனர் வழிகாட்டிintel HDMI Arria 10 FPGA IP வடிவமைப்பு ExampleHDMI இன்டெல்® அர்ரியா 10 FPGA IP
வடிவமைப்பு முன்னாள்ample பயனர் வழிகாட்டி
Intel®Quartus®க்கு புதுப்பிக்கப்பட்டது
பிரைம் டிசைன் சூட்: 22.4
ஐபி பதிப்பு: 19.7.1

HDMI Intel® FPGA IP வடிவமைப்பு ExampIntel® Arria® 10 சாதனங்களுக்கான விரைவு தொடக்க வழிகாட்டி

HDMI Intel® 10 சாதனங்கள் சிமுலேட்டிங் டெஸ்ட்பெஞ்ச் மற்றும் தொகுத்தல் மற்றும் வன்பொருள் சோதனையை ஆதரிக்கும் வன்பொருள் வடிவமைப்பு ஆகியவற்றைக் கொண்டுள்ளது.
FPGA IP வடிவமைப்பு முன்னாள்ampIntel Arria® க்கான le
HDMI இன்டெல் FPGA IP பின்வரும் வடிவமைப்பை வழங்குகிறதுamples:

  • நிலையான விகித இணைப்பு (FRL) பயன்முறையுடன் HDMI 2.1 RX-TX மறு பரிமாற்ற வடிவமைப்பு
  • HDMI 2.0 RX-TX FRL பயன்முறை முடக்கப்பட்ட வடிவமைப்புடன் மீண்டும் அனுப்பப்படும்
  • HDMI 2.0 வடிவமைப்புக்கு மேல் HDCP

குறிப்பு: HDCP அம்சம் Intel® Quartus Prime Pro பதிப்பு மென்பொருளில் சேர்க்கப்படவில்லை.
HDCP அம்சத்தை அணுக, Intel ஐ தொடர்பு கொள்ளவும் https://www.intel.com/content/www/us/en/broadcast/products/programmable/applications/connectivity-solutions.html.
நீங்கள் ஒரு வடிவமைப்பை உருவாக்கும் போது முன்னாள்ample, அளவுரு எடிட்டர் தானாகவே உருவாக்குகிறது fileவன்பொருளில் வடிவமைப்பை உருவகப்படுத்தவும், தொகுக்கவும் மற்றும் சோதிக்கவும் அவசியம்.
படம் 1. வளர்ச்சி படிகள்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வளர்ச்சி படிகள்தொடர்புடைய தகவல்
HDMI இன்டெல் FPGA IP பயனர் கையேடு
1.1 வடிவமைப்பை உருவாக்குதல்
வடிவமைப்பை உருவாக்க இன்டெல் குவார்டஸ் பிரைம் மென்பொருளில் உள்ள HDMI இன்டெல் FPGA IP அளவுரு எடிட்டரைப் பயன்படுத்தவும்.ampலெஸ். இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
நியோஸில் இருந்து தொடங்குகிறது® Intel Quartus Prime Pro பதிப்பு மென்பொருள் பதிப்பு 19.2 இல் II EDS மற்றும் Intel Quartus Prime Standard Edition மென்பொருள் பதிப்பு 19.1, Intel ஆனது Nios II EDS இன் Windows* பதிப்பில் உள்ள Cygwin கூறுகளை அகற்றி, Linux க்கான Windows* Subsystem (WSL) உடன் மாற்றியுள்ளது. நீங்கள் Windows* பயனராக இருந்தால், உங்கள் வடிவமைப்பை உருவாக்கும் முன் WSL ஐ நிறுவ வேண்டும்ampலெ.
படம் 2. வடிவமைப்பு ஓட்டத்தை உருவாக்குதல்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வடிவமைப்பு ஓட்டத்தை உருவாக்குகிறது

  1. Intel Arria 10 சாதனக் குடும்பத்தைக் குறிவைத்து ஒரு திட்டத்தை உருவாக்கி, விரும்பிய சாதனத்தைத் தேர்ந்தெடுக்கவும்.
  2. IP அட்டவணையில், இடைமுக நெறிமுறைகள் ➤ ஆடியோ & வீடியோ ➤ HDMI Intel FPGA IP என்பதைக் கண்டறிந்து இருமுறை கிளிக் செய்யவும். புதிய ஐபி மாறுபாடு அல்லது புதிய ஐபி மாறுபாடு சாளரம் தோன்றும்.
  3. உங்கள் தனிப்பயன் IP மாறுபாட்டிற்கான உயர்மட்ட பெயரைக் குறிப்பிடவும். அளவுரு எடிட்டர் ஐபி மாறுபாடு அமைப்புகளை a இல் சேமிக்கிறது file பெயரிடப்பட்டது .ip அல்லது .qsys.
  4. சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
  5. IP தாவலில், TX மற்றும் RX இரண்டிற்கும் தேவையான அளவுருக்களை உள்ளமைக்கவும்.
  6. HDMI 2.1 வடிவமைப்பை உருவாக்க ஆதரவு FRL அளவுருவை இயக்கவும்ampFRL பயன்முறையில் le. HDMI 2.0 வடிவமைப்பை உருவாக்க அதை அணைக்கவும்.ampFRL இல்லாமல்.
  7. வடிவமைப்பில் முன்னாள்ampதாவலில், Arria 10 HDMI RX-TX Retransmit என்பதைத் தேர்ந்தெடுக்கவும்.
  8. டெஸ்ட்பெஞ்சை உருவாக்க உருவகப்படுத்துதலைத் தேர்ந்தெடுக்கவும், வன்பொருள் வடிவமைப்பை உருவாக்குவதற்கு தொகுப்பைத் தேர்ந்தெடுக்கவும்ample.முன் வடிவமைப்பை உருவாக்க, இந்த விருப்பங்களில் ஒன்றையாவது நீங்கள் தேர்ந்தெடுக்க வேண்டும்ample fileகள். இரண்டையும் தேர்ந்தெடுத்தால், உற்பத்தி நேரம் அதிகமாகும்.
  9. உருவாக்குவதற்கு File வடிவமைத்து, Verilog அல்லது VHDL என்பதைத் தேர்ந்தெடுக்கவும்.
  10. Target Development Kitக்கு, Intel Arria 10 GX FPGA Development Kitஐத் தேர்ந்தெடுக்கவும். நீங்கள் டெவலப்மென்ட் கிட்டைத் தேர்ந்தெடுத்தால், இலக்கு சாதனம் (படி 4 இல் தேர்ந்தெடுக்கப்பட்டது) இலக்கு பலகையில் உள்ள சாதனத்துடன் பொருந்தும்படி மாறும். Intel Arria 10 GX FPGA டெவலப்மெண்ட் கிட், இயல்புநிலை சாதனம் 10AX115S2F4I1SG ஆகும்.
  11. Ex ஐ உருவாக்கு என்பதைக் கிளிக் செய்யவும்ample வடிவமைப்பு.

தொடர்புடைய தகவல்
Windows* OS இல் Linux* (WSL)க்கான Windows* துணை அமைப்பை எவ்வாறு நிறுவுவது?
1.2 வடிவமைப்பை உருவகப்படுத்துதல்
HDMI testbench ஆனது TX நிகழ்விலிருந்து RX நிகழ்விற்கு ஒரு தொடர் லூப்பேக் வடிவமைப்பை உருவகப்படுத்துகிறது. உள் வீடியோ பேட்டர்ன் ஜெனரேட்டர், ஆடியோ எஸ்ample ஜெனரேட்டர், சைட்பேண்ட் தரவு ஜெனரேட்டர் மற்றும் துணை தரவு ஜெனரேட்டர் தொகுதிகள் HDMI TX நிகழ்வை இயக்குகின்றன மற்றும் TX நிகழ்வின் தொடர் வெளியீடு டெஸ்ட்பெஞ்சில் உள்ள RX நிகழ்வுடன் இணைக்கிறது.
படம் 3. வடிவமைப்பு உருவகப்படுத்துதல் ஓட்டம்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வடிவமைப்பு ஓட்டத்தை உருவாக்குதல் 1

  1. விரும்பிய உருவகப்படுத்துதல் கோப்புறைக்குச் செல்லவும்.
  2. உங்கள் விருப்பப்படி ஆதரிக்கப்படும் சிமுலேட்டருக்கான சிமுலேஷன் ஸ்கிரிப்டை இயக்கவும். ஸ்கிரிப்ட் தொகுத்து, சிமுலேட்டரில் டெஸ்ட்பெஞ்சை இயக்குகிறது.
  3. முடிவுகளை பகுப்பாய்வு செய்யுங்கள்.

அட்டவணை 1. உருவகப்படுத்துதலை இயக்குவதற்கான படிகள்

சிமுலேட்டர் பணி அடைவு வழிமுறைகள்
 ரிவியரா-PRO*  /சிமுலேஷன்/அல்டெக் கட்டளை வரியில், தட்டச்சு செய்யவும்
vsim -c -do aldec.do
மாடல் சிம்*  / உருவகப்படுத்துதல் / வழிகாட்டி கட்டளை வரியில், தட்டச்சு செய்யவும்
vsim -c -do mentor.do
 VCS*  /சிமுலேஷன்/சினோப்சிஸ்/விசிஎஸ் கட்டளை வரியில், தட்டச்சு செய்யவும்
மூல vcs_sim.sh
 VCS MX  /சிமுலேஷன்/சினோப்சிஸ்/ vcsmx கட்டளை வரியில், தட்டச்சு செய்யவும்
மூல vcsmx_sim.sh
 Xcelium* இணை  / உருவகப்படுத்துதல்/xcelium கட்டளை வரியில், தட்டச்சு செய்யவும்
மூல xcelium_sim.sh

வெற்றிகரமான உருவகப்படுத்துதல் பின்வரும் செய்தியுடன் முடிவடைகிறது:
# SYMBOLS_PER_CLOCK = 2
# விஐசி = 4
# FRL_RATE = 0
# பிபிபி = 0
# AUDIO_FREQUENCY (kHz) = 48
# ஆடியோ_சேனல் = 8
# உருவகப்படுத்துதல் பாஸ்
1.3 வடிவமைப்பைத் தொகுத்தல் மற்றும் சோதனை செய்தல்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வடிவமைப்பைத் தொகுத்தல் மற்றும் சோதித்தல்

வன்பொருளில் ஒரு ஆர்ப்பாட்ட சோதனையை தொகுக்கவும் இயக்கவும் முன்னாள்ampவடிவமைப்பு, இந்த படிகளைப் பின்பற்றவும்:

  1. வன்பொருள் முன்னாள் உறுதிample வடிவமைப்பு உருவாக்கம் முடிந்தது.
  2. Intel Quartus Prime மென்பொருளைத் துவக்கி .qpfஐத் திறக்கவும் file.
    • HDMI 2.1 வடிவமைப்பு முன்னாள்ample ஆதரவுடன் FRL இயக்கப்பட்டது: திட்ட அடைவு/quartus/a10_hdmi21_frl_demo.qpf
    • HDMI 2.0 வடிவமைப்பு முன்னாள்ampஆதரவு FRL முடக்கப்பட்ட le: திட்டமிடப்பட்ட irectory/quartus/a10_hdmi2_demo.qpf
  3. செயலாக்கம் ➤ தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும்.
  4. வெற்றிகரமான தொகுப்புக்குப் பிறகு, a .sof file குவார்டஸ்/வெளியீட்டில் உருவாக்கப்படும்_fileகள் அடைவு.
  5. ஆன்-போர்டு FMC போர்ட் B (J2) உடன் இணைக்கவும்:
    • HDMI 2.1 வடிவமைப்பு முன்னாள்ample ஆதரவு FRL இயக்கப்பட்டது: Bitec HDMI 2.1 FMC மகள் அட்டை Rev 9
    குறிப்பு: உங்கள் Bitec HDMI மகள் கார்டின் திருத்தத்தை நீங்கள் தேர்ந்தெடுக்கலாம். வடிவமைப்பின் கீழ் முன்னாள்ample டேப், HDMI மகள் அட்டை திருத்தத்தை திருத்தம் 9, திருத்தம் அல்லது மகள் அட்டை இல்லை என அமைக்கவும். இயல்புநிலை மதிப்பு திருத்தம் 9 ஆகும்.
    • HDMI 2.0 வடிவமைப்பு முன்னாள்ample ஆதரவுடன் FRL முடக்கப்பட்டுள்ளது: Bitec HDMI 2.0 FMC மகள் அட்டை Rev 11
  6. Bitec FMC மகள் கார்டின் TX (P1)ஐ வெளிப்புற வீடியோ ஆதாரத்துடன் இணைக்கவும்.
  7. Bitec FMC மகள் கார்டின் RX (P2)ஐ வெளிப்புற வீடியோ சிங்க் அல்லது வீடியோ பகுப்பாய்வியுடன் இணைக்கவும்.
  8. டெவலப்மெண்ட் போர்டில் உள்ள அனைத்து சுவிட்சுகளும் இயல்பு நிலையில் இருப்பதை உறுதி செய்யவும்.
  9. உருவாக்கப்பட்ட .sof ஐப் பயன்படுத்தி தேர்ந்தெடுக்கப்பட்ட Intel Arria 10 சாதனத்தை டெவலப்மெண்ட் போர்டில் உள்ளமைக்கவும் file (கருவிகள் ➤ புரோகிராமர் ).
  10. பகுப்பாய்வி மூலத்திலிருந்து உருவாக்கப்பட்ட வீடியோவைக் காட்ட வேண்டும்.

தொடர்புடைய தகவல்
Intel Arria 10 FPGA டெவலப்மெண்ட் கிட் பயனர் கையேடு
1.4 HDMI இன்டெல் FPGA IP வடிவமைப்பு Example அளவுருக்கள்
அட்டவணை 2.
HDMI இன்டெல் FPGA IP வடிவமைப்பு ExampIntel Arria 10 சாதனங்களுக்கான அளவுருக்கள் இந்த விருப்பங்கள் Intel Arria 10 சாதனங்களுக்கு மட்டுமே கிடைக்கும்.

அளவுரு மதிப்பு

விளக்கம்

கிடைக்கும் வடிவமைப்பு Example
வடிவமைப்பைத் தேர்ந்தெடுக்கவும் Arria 10 HDMI RX-TX மறுபரிமாற்றம் முன்னாள் வடிவமைப்பைத் தேர்ந்தெடுக்கவும்ample உருவாக்கப்பட வேண்டும்.

வடிவமைப்பு முன்னாள்ample Files

உருவகப்படுத்துதல் ஆன், ஆஃப் தேவையானவற்றை உருவாக்க இந்த விருப்பத்தை இயக்கவும் fileசிமுலேஷன் டெஸ்ட்பெஞ்சிற்கு கள்.
தொகுப்பு ஆன், ஆஃப் தேவையானவற்றை உருவாக்க இந்த விருப்பத்தை இயக்கவும் fileஇன்டெல் குவார்டஸ் பிரைம் தொகுப்பு மற்றும் வன்பொருள் செயல்விளக்கத்திற்கான கள்.

உருவாக்கப்பட்ட HDL வடிவம்

உருவாக்கு File வடிவம் வெரிலோக், விஎச்டிஎல் உருவாக்கப்பட்ட வடிவமைப்பிற்கான உங்கள் விருப்பமான HDL வடிவமைப்பைத் தேர்ந்தெடுக்கவும்ample fileஅமைக்கப்பட்டது.
குறிப்பு: இந்த விருப்பம் உருவாக்கப்பட்ட மேல் நிலை IPக்கான வடிவமைப்பை மட்டுமே தீர்மானிக்கிறது fileகள். மற்ற அனைத்து fileகள் (எ.காample testbenches மற்றும் மேல் நிலை fileவன்பொருள் விளக்கத்திற்கான கள்) வெரிலாக் HDL வடிவத்தில் உள்ளன

இலக்கு மேம்பாட்டு கிட்

பலகையைத் தேர்ந்தெடுக்கவும் டெவலப்மெண்ட் கிட் இல்லை, இலக்கிடப்பட்ட வடிவமைப்பிற்கான பலகையைத் தேர்ந்தெடுக்கவும்ampலெ.
Arria 10 GX FPGA டெவலப்மெண்ட் கிட்,

தனிப்பயன் மேம்பாட்டு கிட்

• டெவலப்மெண்ட் கிட் இல்லை: இந்த விருப்பம் வடிவமைப்பிற்கான அனைத்து வன்பொருள் அம்சங்களையும் விலக்குகிறதுampலெ. ஐபி கோர் அனைத்து பின் அசைன்மென்ட்களையும் மெய்நிகர் பின்களுக்கு அமைக்கிறது.
• Arria 10 GX FPGA டெவலப்மென்ட் கிட்: இந்த டெவலப்மென்ட் கிட்டில் உள்ள சாதனத்துடன் பொருந்த, இந்த விருப்பம் தானாகவே திட்டத்தின் இலக்கு சாதனத்தைத் தேர்ந்தெடுக்கும். நீங்கள் இதைப் பயன்படுத்தி இலக்கு சாதனத்தை மாற்றலாம் இலக்கு சாதனத்தை மாற்றவும் உங்கள் போர்டு திருத்தம் வேறுபட்ட சாதன மாறுபாட்டைக் கொண்டிருந்தால் அளவுரு. ஐபி கோர் டெவலப்மெண்ட் கிட்டின் படி அனைத்து பின் அசைன்மென்ட்களையும் அமைக்கிறது.
•Custom Development Kit: இந்த விருப்பம் வடிவமைப்பை அனுமதிக்கிறதுampஇன்டெல் FPGA உடன் மூன்றாம் தரப்பு டெவலப்மென்ட் கிட்டில் சோதனை செய்யப்பட வேண்டும். பின் பணிகளை நீங்களே அமைக்க வேண்டியிருக்கலாம்.

இலக்கு சாதனம்

இலக்கு சாதனத்தை மாற்றவும் ஆன், ஆஃப் இந்த விருப்பத்தை இயக்கி, டெவலப்மெண்ட் கிட்டுக்கான விருப்பமான சாதன மாறுபாட்டைத் தேர்ந்தெடுக்கவும்.

HDMI 2.1 வடிவமைப்பு Example (ஆதரவு FRL = 1)

HDMI 2.1 வடிவமைப்பு முன்னாள்ampFRL பயன்முறையில் le நான்கு RX சேனல்கள் மற்றும் நான்கு TX சேனல்களை உள்ளடக்கிய ஒரு HDMI நிகழ்வை இணை லூப்பேக்கைக் காட்டுகிறது.
அட்டவணை 3. HDMI 2.1 வடிவமைப்பு ExampIntel Arria 10 சாதனங்களுக்கான le

வடிவமைப்பு முன்னாள்ample தரவு விகிதம் சேனல் பயன்முறை

லூப்பேக் வகை

Arria 10 HDMI RX-TX மறுபரிமாற்றம் • 12 ஜிபிபிஎஸ் (எஃப்ஆர்எல்)
• 10 ஜிபிபிஎஸ் (எஃப்ஆர்எல்)
• 8Gbps (FRL)
• 6 ஜிபிபிஎஸ் (எஃப்ஆர்எல்)
• 3 ஜிபிபிஎஸ் (எஃப்ஆர்எல்)
• <6 ஜிபிபிஎஸ் (டிஎம்டிஎஸ்)
சிம்ப்ளக்ஸ் FIFO இடையகத்துடன் இணையாக

அம்சங்கள்

  • HDMI 2.1 சிங்க் மற்றும் சோர்ஸ் இடையே நேரடி HDMI வீடியோ ஸ்ட்ரீம் பாஸ்த்ரூவைச் செயல்படுத்த FIFO பஃபர்களை இந்த வடிவமைப்பு தூண்டுகிறது.
  • இந்த வடிவமைப்பு இயங்கும் நேரத்தில் FRL பயன்முறை மற்றும் TMDS பயன்முறைக்கு இடையில் மாறக்கூடியது.
  • ஆரம்பகால பிழைத்திருத்தங்களுக்கு வடிவமைப்பு LED நிலையைப் பயன்படுத்துகிறது.tage.
  • வடிவமைப்பு HDMI RX மற்றும் TX நிகழ்வுகளுடன் வருகிறது.
  • வடிவமைப்பு RX-TX இணைப்பு தொகுதியில் டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் (HDR) இன்ஃபோஃப்ரேமின் செருகல் மற்றும் வடிகட்டலை நிரூபிக்கிறது.
  • டிஎக்ஸ் உடன் இணைக்கப்பட்ட மடுவிற்கும் ஆர்எக்ஸ் உடன் இணைக்கப்பட்ட மூலத்திற்கும் இடையிலான எஃப்ஆர்எல் விகிதத்தை வடிவமைப்பு பேச்சுவார்த்தை நடத்துகிறது. வடிவமைப்பு EDID வழியாக வெளிப்புற சிங்கிலிருந்து இயல்புநிலை உள்ளமைவில் ஆன்-போர்டு RX க்கு செல்கிறது. நியோஸ் II செயலி TX உடன் இணைக்கப்பட்ட மடுவின் திறனைப் பற்றிய இணைப்பு அடிப்படையை பேச்சுவார்த்தை நடத்துகிறது. TX மற்றும் RX FRL திறன்களை கைமுறையாகக் கட்டுப்படுத்த, user_dipsw ஆன்-போர்டு சுவிட்சையும் மாற்றலாம்.
  • வடிவமைப்பு பல பிழைத்திருத்த அம்சங்களை உள்ளடக்கியது.
    RX நிகழ்வு வெளிப்புற வீடியோ ஜெனரேட்டரிலிருந்து ஒரு வீடியோ ஆதாரத்தைப் பெறுகிறது, மேலும் தரவு TX நிகழ்விற்கு அனுப்பப்படுவதற்கு முன்பு ஒரு லூப்பேக் FIFO வழியாக செல்கிறது. செயல்பாட்டைச் சரிபார்க்க, வெளிப்புற வீடியோ பகுப்பாய்வி, மானிட்டர் அல்லது HDMI இணைப்புடன் கூடிய தொலைக்காட்சியை TX மையத்துடன் இணைக்க வேண்டும்.

2.1 HDMI 2.1 RX-TX ரீட்ரான்ஸ்மிட் டிசைன் பிளாக் வரைபடம்
HDMI RX-TX ரீட்ரான்ஸ்மிட் வடிவமைப்பு முன்னாள்ample ஆதரவு FRL இயக்கப்பட்ட HDMI 2.1 க்கான சிம்ப்ளக்ஸ் சேனல் பயன்முறையில் இணையான லூப்பேக்கை நிரூபிக்கிறது.
படம் 4. HDMI 2.1 RX-TX ரீட்ரான்ஸ்மிட் பிளாக் வரைபடம்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம்2.2 RX-Only அல்லது TX-Only Desig ஐ உருவாக்குதல்ns
மேம்பட்ட பயனர்களுக்கு, டிஎக்ஸ் அல்லது ஆர்எக்ஸ் மட்டும் வடிவமைப்பை உருவாக்க HDMI 2.1 வடிவமைப்பைப் பயன்படுத்தலாம்.
படம் 5. RX-மட்டும் அல்லது TX-மட்டும் வடிவமைப்பிற்குத் தேவையான கூறுகள்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 1RX- அல்லது TX- மட்டும் கூறுகளைப் பயன்படுத்த, வடிவமைப்பிலிருந்து பொருத்தமற்ற தொகுதிகளை அகற்றவும்.
அட்டவணை 4. RX-மட்டும் மற்றும் TX-மட்டும் வடிவமைப்பு தேவைகள்

பயனர் தேவைகள் பாதுகாத்து அகற்று

சேர்

HDMI RX மட்டும் ஆர்எக்ஸ் டாப் • TX டாப்
• RX-TX இணைப்பு
• CPU துணை அமைப்பு
• டிரான்ஸ்ஸீவர் நடுவர்
HDMI TX மட்டும் •TX டாப்
•CPU துணை அமைப்பு
•RX டாப்
• RX-TX இணைப்பு
• டிரான்ஸ்ஸீவர் நடுவர்
வீடியோ பேட்டர்ன் ஜெனரேட்டர் (தனிப்பயன் தொகுதி அல்லது வீடியோ மற்றும் பட செயலாக்க (விஐபி) தொகுப்பிலிருந்து உருவாக்கப்பட்டது)

RTL மாற்றங்களைத் தவிர, main.c ஸ்கிரிப்டையும் நீங்கள் திருத்த வேண்டும்.
• HDMI TX-மட்டும் வடிவமைப்புகளுக்கு, பின்வரும் வரிகளை அகற்றி, HDMI RX பூட்டு நிலைக்கான காத்திருப்பை துண்டிக்கவும்
tx_xcvr_reconfig(tx_frl_rate);
rx_hdmi_lock = READ_PIO(PIO_IN0_BASE, PIO_RX_LOCKED_OFFSET,
PIO_RX_LOCKED_WIDTH);
போது (rx_hdmi_lock == 0) {
என்றால் (check_hpd_isr()) {பிரேக்; }
// rx_vid_lock = READ_PIO(PIO_IN0_BASE, PIO_VID_LOCKED_OFFSET,
PIO_VID_LOCKED_WIDTH);
rx_hdmi_lock = READ_PIO(PIO_IN0_BASE, PIO_RX_LOCKED_OFFSET,
PIO_RX_LOCKED_WIDTH);
// rx பூட்டப்பட்ட பிறகு Tx ஐ மறுகட்டமைக்கவும்
என்றால் (rx_hdmi_lock == 1) {
என்றால் (READ_PIO(PIO_IN0_BASE, PIO_LOOPBACK_MODE_OFFSET,
PIO_LOOPBACK_MODE_WIDTH) == 1) {
rx_frl_rate = READ_PIO(PIO_IN0_BASE, PIO_RX_FRL_RATE_OFFSET,
PIO_RX_FRL_RATE_WIDTH);
tx_xcvr_reconfig(rx_frl_rate);
} வேறு {
tx_xcvr_reconfig(tx_frl_rate);
} } }
• HDMI RX-மட்டும் வடிவமைப்புகளுக்கு, main.c ஸ்கிரிப்டில் பின்வரும் வரிகளை மட்டும் வைத்திருங்கள்:
REDRIVER_INIT();
hdmi_rx_init();
2.3 வன்பொருள் மற்றும் மென்பொருள் தேவைகள்
இன்டெல் வடிவமைப்பை சோதிக்க பின்வரும் வன்பொருள் மற்றும் மென்பொருளைப் பயன்படுத்துகிறதுampலெ.
வன்பொருள்

  • Intel Arria 10 GX FPGA டெவலப்மெண்ட் கிட்
  • HDMI 2.1 ஆதாரம் (குவாண்டம் டேட்டா 980 48G ஜெனரேட்டர்)
  • HDMI 2.1 சின்க் (குவாண்டம் டேட்டா 980 48G அனலைசர்)
  • Bitec HDMI FMC 2.1 மகள் அட்டை (திருத்தம் 9)
  • HDMI 2.1 வகை 3 கேபிள்கள் (பெல்கின் 48Gbps HDMI 2.1 கேபிள் மூலம் சோதிக்கப்பட்டது)

மென்பொருள்

  • Intel Quartus Prime Pro பதிப்பு மென்பொருள் பதிப்பு 20.1

2.4 அடைவு அமைப்பு
கோப்பகங்களில் உருவாக்கப்பட்டவை உள்ளன fileHDMI இன்டெல் FPGA IP வடிவமைப்பு ex க்கான sampலெ.
படம் 6. வடிவமைப்பிற்கான அடைவு அமைப்பு Exampleintel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வடிவமைப்பு Exampleஅட்டவணை 5. உருவாக்கப்பட்ட RTL Files

கோப்புறைகள் Files/துணை கோப்புறைகள்
பொதுவான clock_control.ip
clock_crosser.v
dcfifo_inst.v
எட்ஜ்_டிடெக்டர்.எஸ்வி
fifo.ip
output_buf_i2c.ip
test_pattern_gen.v
tpg.v
tpg_data.v
ஜிஎக்ஸ்பி gxb_rx.ip
gxb_rx_reset.ip
gxb_tx.ip
gxb_tx_fpll.ip
gxb_tx_reset.ip
hdmi_rx hdmi_rx.ip
hdmi_rx_top.v
பானாசோனிக்.ஹெக்ஸ்
hdmi_tx hdmi_tx.ip
hdmi_tx_top.v
i2c_slave i2c_avl_mst_intf_gen.v
i2c_clk_cnt.v பற்றி
i2c_condt_det.v
i2c_databuffer.v
i2c_rxshifter.v
i2c_slvfsm.v
i2c_spksupp.v
i2c_txout.v
i2c_txshifter.v
i2cslave_to_avlmm_bridge.v
pll pll_hdmi_reconfig.ip
pll_frl.ip
pll_reconfig_ctrl.v
pll_tmds.ip
pll_vidclk.ip
குவார்டஸ்.இனி
rxtx_link ஆல்டெரா_ஹெச்டிஎம்ஐ_ஹெச்டிஆர்_இன்ஃபோஃப்ரேம்.வி
aux_mux.qsys
aux_retransmit.v
aux_src_gen.v
ext_aux_filter.v
rxtx_link.v
scfifo_vid.ip
மறுகட்டமைக்கவும் mr_rx_iopll_tmds/
mr_rxphy/
mr_tx_fpll/
altera_xcvr_functions.sv
mr_compare.sv
mr_rate_detect.v
mr_rx_rate_detect_top.v
mr_rx_rcfg_ctrl.v
mr_rx_reconfig.v
mr_tx_rate_detect_top.v
mr_tx_rcfg_ctrl.v
mr_tx_reconfig.v
rcfg_array_streamer_iopll.sv க்கு இணையாக,
rcfg_array_streamer_rxphy.sv
rcfg_array_streamer_rxphy_xn.sv
rcfg_array_streamer_txphy.sv
rcfg_array_streamer_txphy_xn.sv
rcfg_array_streamer_txpll.sv
எஸ்டிசி a10_hdmi2.sdc
jtag.sdc

அட்டவணை 6. உருவாக்கப்பட்ட உருவகப்படுத்துதல் Files
பார்க்கவும் சிமுலேஷன் டெஸ்ட்பெஞ்ச் மேலும் தகவலுக்கு பிரிவு

கோப்புறைகள் Files
ஆல்டெக் /aldec.do
/rivierapro_setup.tcl
தாழ்வு /cds.lib
/hdl.var
வழிகாட்டி /mentor.do
/msim_setup.tcl
சுருக்கம் /vcs/filelist.f
/vcs/vcs_setup.sh
/விசிஎஸ்/விசிஎஸ்_சிம்.ஷ்
/vcsmx/synopsys_sim_setup
/vcsmx/vcsmx_setup.sh
/vcsmx/vcsmx_sim.sh
எக்ஸீலியம் /cds.lib
/hdl.var
/xcelium_setup.sh
/xcelium_sim.sh
பொதுவான /மாடல்சிம்_files.tcl
/riviera_files.tcl
/vcs_files.tcl
/vcsmx_files.tcl
/xcelium_files.tcl
hdmi_rx /hdmi_rx.ip
/Panasonic.hex
hdmi_tx /hdmi_tx.ip

அட்டவணை 7. உருவாக்கப்பட்ட மென்பொருள் Files

கோப்புறைகள் Files
tx_control_src
குறிப்பு: tx_control கோப்புறையில் இவற்றின் நகல்களும் உள்ளன files.
குளோபல்.ஹெச்
hdmi_rx.c
hdmi_rx.h
hdmi_tx.c
hdmi_tx.h
hdmi_tx_read_edid.c
hdmi_tx_read_edid.h பற்றி
intel_fpga_i2c.c
intel_fpga_i2c.h
முக்கிய.சி
pio_படித்த_எழுது.c
pio_read_write.h

2.5. வடிவமைப்பு கூறுகள்
HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample பொதுவான உயர்மட்ட கூறுகள் மற்றும் HDMI TX மற்றும் RX மேல் கூறுகளைக் கொண்டுள்ளது.
2.5.1. HDMI TX கூறுகள்
HDMI TX டாப் பாகங்களில் TX கோர் டாப்-லெவல் கூறுகள் மற்றும் IOPLL, டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர், டிரான்ஸ்ஸீவர் நேட்டிவ் PHY, TX PLL, TX மறுகட்டமைப்பு மேலாண்மை மற்றும் வெளியீட்டு இடையகத் தொகுதிகள் ஆகியவை அடங்கும்.
படம் 7. HDMI TX மேல் கூறுகள்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - மேல் கூறுகள்அட்டவணை 8. HDMI TX மேல் கூறுகள்

தொகுதி

விளக்கம்

HDMI TX கோர் ஐபி உயர் மட்டத்திலிருந்து வீடியோ தரவைப் பெறுகிறது மற்றும் துணை தரவு குறியாக்கம், ஆடியோ தரவு குறியாக்கம், வீடியோ தரவு குறியாக்கம், ஸ்க்ராம்ப்ளிங், டிஎம்டிஎஸ் குறியாக்கம் அல்லது பாக்கெட்டைசேஷன் ஆகியவற்றைச் செய்கிறது.
IOPLL IOPLL (iopll_frl) TX மையத்திற்கான FRL கடிகாரத்தை உருவாக்குகிறது. இந்த குறிப்பு கடிகாரம் TX FPLL வெளியீட்டு கடிகாரத்தைப் பெறுகிறது.
FRL கடிகார அதிர்வெண் = ஒரு பாதைக்கான தரவு வீதம் x 4 / (ஒரு கடிகாரத்திற்கு FRL எழுத்துக்கள் x 18)
டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர் டிரான்ஸ்ஸீவர் PHY மீட்டமைப்பு கட்டுப்படுத்தி, TX டிரான்ஸ்ஸீவர்களின் நம்பகமான துவக்கத்தை உறுதி செய்கிறது. இந்த கட்டுப்படுத்தியின் மீட்டமைப்பு உள்ளீடு மேல் மட்டத்திலிருந்து தூண்டப்படுகிறது, மேலும் இது தொகுதிக்குள் உள்ள மீட்டமைப்பு வரிசைமுறையின்படி டிரான்ஸ்ஸீவர் நேட்டிவ் PHY தொகுதிக்கு தொடர்புடைய அனலாக் மற்றும் டிஜிட்டல் மீட்டமைப்பு சமிக்ஞையை உருவாக்குகிறது.
இந்த பிளாக்கிலிருந்து tx_ready வெளியீடு சமிக்ஞை HDMI இன்டெல் FPGA ஐபிக்கு மீட்டமைக்கும் சமிக்ஞையாகவும் செயல்படுகிறது, இது டிரான்ஸ்ஸீவர் இயங்குகிறது மற்றும் மையத்திலிருந்து தரவைப் பெறத் தயாராக உள்ளது என்பதைக் குறிக்கிறது.
டிரான்ஸ்ஸீவர் நேட்டிவ் PHY HDMI TX மையத்திலிருந்து இணையான தரவைப் பெற்று, அதை அனுப்புவதிலிருந்து தரவை வரிசைப்படுத்துகின்ற ஹார்ட் டிரான்ஸ்ஸீவர் பிளாக்.
குறிப்பு: HDMI TX இன்டர்-சேனல் வளைவுத் தேவையைப் பூர்த்தி செய்ய, TX சேனல் பிணைப்பு முறை விருப்பத்தை Intel Arria 10 Transceiver Native PHY அளவுரு எடிட்டரில் அமைக்கவும் பிஎம்ஏ மற்றும் பிசிஎஸ் பிணைப்பு. ட்ரான்ஸ்ஸீவர் ரீசெட் கன்ட்ரோலரிலிருந்து (tx_digitalreset) டிஜிட்டல் ரீசெட் சிக்னலில் அதிகபட்ச வளைவு (set_max_skew) கட்டுப்பாடு தேவையை நீங்கள் சேர்க்க வேண்டும். Intel Arria 10 Transceiver PHY பயனர் கையேடு.
TX PLL டிரான்ஸ்மிட்டர் பிஎல்எல் பிளாக் ஆனது டிரான்ஸ்ஸீவர் நேட்டிவ் PHY பிளாக்கிற்கு தொடர் வேகமான கடிகாரத்தை வழங்குகிறது. இதற்கு HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample, fPLL TX PLL ஆகப் பயன்படுத்தப்படுகிறது.
TX PLL இரண்டு குறிப்பு கடிகாரங்களைக் கொண்டுள்ளது.
• குறிப்பு கடிகாரம் 0 ஆனது TMDS பயன்முறையில் நிரல்படுத்தக்கூடிய ஆஸிலேட்டருடன் (TMDS கடிகார அதிர்வெண்ணுடன்) இணைக்கப்பட்டுள்ளது. இந்த வடிவமைப்பில் முன்னாள்ample, RX TMDS கடிகாரம் TMDS பயன்முறைக்கான குறிப்பு கடிகாரம் 0 உடன் இணைக்கப் பயன்படுகிறது. குறிப்பு கடிகாரம் 0 க்கு TMDS கடிகார அதிர்வெண் கொண்ட நிரல்படுத்தக்கூடிய ஆஸிலேட்டரைப் பயன்படுத்த இன்டெல் பரிந்துரைக்கிறது.
• குறிப்பு கடிகாரம் 1 FRL பயன்முறையில் நிலையான 100 MHz கடிகாரத்துடன் இணைக்கப்பட்டுள்ளது.
TX மறுசீரமைப்பு மேலாண்மை •டிஎம்டிஎஸ் பயன்முறையில், குறிப்பிட்ட வீடியோவின் டிஎம்டிஎஸ் கடிகார அதிர்வெண்ணின்படி டிஎக்ஸ் பிஎல்எல்லை வெவ்வேறு வெளியீட்டு கடிகார அதிர்வெண்ணுக்கு டிஎக்ஸ் மறுகட்டமைப்பு மேலாண்மை தொகுதி மறுகட்டமைக்கிறது.
•FRL பயன்முறையில், 3x6 SCDC பதிவேட்டில் FRL_Rate புலத்தின்படி 8 Gbps, 10 Gbps, 12 Gbps, 0 Gbps மற்றும் 31 Gbps ஆகியவற்றுக்கான தொடர் வேகமான கடிகாரத்தை வழங்க TX PLL ஐ TX மறுகட்டமைப்பு மேலாண்மை தொகுதி மறுகட்டமைக்கிறது.
•TX மறுகட்டமைப்பு மேலாண்மை தொகுதியானது TX PLL குறிப்பு கடிகாரத்தை TMDS பயன்முறைக்கான குறிப்பு கடிகாரம் 0 க்கும் FRL பயன்முறைக்கான குறிப்பு கடிகாரம் 1 க்கும் இடையில் மாற்றுகிறது.
வெளியீடு தாங்கல் இந்த இடையகமானது HDMI DDC மற்றும் redriver கூறுகளின் I2C இடைமுகத்துடன் தொடர்பு கொள்ள ஒரு இடைமுகமாக செயல்படுகிறது.

அட்டவணை 9. டிரான்ஸ்சீவர் தரவு விகிதம் மற்றும் ஓவர்கள்ampலிங் காரணி ஒவ்வொரு கடிகார அதிர்வெண் வரம்பு

பயன்முறை தரவு விகிதம் ஓவர்கள்ampலெர் 1 (2x ஓவர்கள்ample) ஓவர்கள்ampலெர் 2 (4x ஓவர்கள்ample) ஓவர்கள்ample காரணி ஓவர்கள்ampமுன்னணி தரவு விகிதம் (Mbps)
டிஎம்டிஎஸ் 250–1000 On On 8 2000–8000
டிஎம்டிஎஸ் 1000–6000 On ஆஃப் 2 2000–12000
FRL (FRL) 3000 ஆஃப் ஆஃப் 1 3000
FRL (FRL) 6000 ஆஃப் ஆஃப் 1 6000
FRL (FRL) 8000 ஆஃப் ஆஃப் 1 8000
FRL (FRL) 10000 ஆஃப் ஆஃப் 1 10000
FRL (FRL) 12000 ஆஃப் ஆஃப் 1 12000

படம் 8. TX மறுகட்டமைப்பு வரிசை ஓட்டம்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வடிவமைப்பைத் தொகுத்தல் மற்றும் சோதனை செய்தல் 12.5.2. HDMI RX கூறுகள்
HDMI RX உயர்மட்ட கூறுகளில் RX கோர் உயர்நிலை கூறுகள், விருப்பமான I²C ஸ்லேவ் மற்றும் EDID RAM, IOPLL, டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர், RX நேட்டிவ் PHY மற்றும் RX மறுகட்டமைப்பு மேலாண்மை தொகுதிகள் ஆகியவை அடங்கும்.
படம் 9. HDMI RX மேல் கூறுகள்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - மேல் கூறுகள் 1அட்டவணை 10. HDMI RX மேல் கூறுகள்

தொகுதி

விளக்கம்

HDMI RX கோர் IP ஆனது டிரான்ஸ்ஸீவர் நேட்டிவ் PHY இலிருந்து தொடர் தரவைப் பெறுகிறது மற்றும் தரவு சீரமைப்பு, சேனல் டெஸ்க்யூ, டிஎம்டிஎஸ் டிகோடிங், துணை தரவு டிகோடிங், வீடியோ தரவு டிகோடிங், ஆடியோ டேட்டா டிகோடிங் மற்றும் டெஸ்க்ராம்ப்லிங் ஆகியவற்றைச் செய்கிறது.
I2C அடிமை I2C என்பது சின்க் டிஸ்ப்ளே டேட்டா சேனல் (DDC) மற்றும் ஸ்டேட்டஸ் மற்றும் டேட்டா சேனல் (SCDC) ஆகியவற்றுக்குப் பயன்படுத்தப்படும் இடைமுகமாகும். HDMI மூலமானது, மேம்படுத்தப்பட்ட விரிவாக்கப்பட்ட காட்சி அடையாளத் தரவு (E-EDID) தரவுக் கட்டமைப்பைப் படிப்பதன் மூலம் மடுவின் திறன்கள் மற்றும் பண்புகளைத் தீர்மானிக்க DDC ஐப் பயன்படுத்துகிறது.
E-EDIDக்கான 8-பிட் I2C அடிமை முகவரிகள் 0xA0 மற்றும் 0xA1 ஆகும். LSB அணுகல் வகையைக் குறிக்கிறது: படிக்க 1 மற்றும் எழுதுவதற்கு 0. ஒரு HPD நிகழ்வு நிகழும்போது, ​​I2C ஸ்லேவ் ஆன்-சிப்பில் இருந்து படித்து E-EDID தரவிற்கு பதிலளிக்கிறார்
I2C ஸ்லேவ்-ஒன்லி கன்ட்ரோலர் HDMI 2.0 மற்றும் 2.1 க்கான SCDC ஐ ஆதரிக்கிறது. ஒரு HPD நிகழ்வு நிகழும்போது, ​​I9C ஸ்லேவ் HDMI RX மையத்தின் SCDC இடைமுகத்திற்கு அல்லது அதிலிருந்து பரிமாற்றத்தை எழுத அல்லது படிக்கிறார்.
நிலையான விகித இணைப்பிற்கான (FRL) இணைப்பு பயிற்சி செயல்முறை I2C மூலமாகவும் HPD நிகழ்வின் போது அல்லது FRL ரேட் பதிவேட்டில் வேறு FRL விகிதத்தை எழுதும் போது (SCDC 0x31 பிட்[3:0]) இணைப்பு பயிற்சி செயல்முறை தொடங்குகிறது.
குறிப்பு: HDMI 2 அல்லது HDMI 2.0 நோக்கம் இல்லையெனில் SCDCக்கான இந்த I2.1C ஸ்லேவ்-ஒன்லி கன்ட்ரோலர் தேவையில்லை
EDID ரேம் வடிவமைப்பு ரேம் 1-போர்ட் ஐபியைப் பயன்படுத்தி EDID தகவலைச் சேமிக்கிறது. நிலையான இரண்டு கம்பி (கடிகாரம் மற்றும் தரவு) சீரியல் பஸ் புரோட்டோகால் (I2C ஸ்லேவ்-ஒன்லி கன்ட்ரோலர்) CEA-861-D இணக்கமான E-EDID தரவு கட்டமைப்பை மாற்றுகிறது. இந்த EDID RAM ஆனது E-EDID தகவலைச் சேமிக்கிறது.
TMDS பயன்முறையில் இருக்கும் போது, ​​டிஎக்ஸ் முதல் RX வரையிலான EDID பாஸ்த்ரூவை வடிவமைப்பு ஆதரிக்கிறது. EDID பாஸ்த்ரூவின் போது, ​​TX ஆனது வெளிப்புற சிங்குடன் இணைக்கப்பட்டிருக்கும் போது, ​​Nios II செயலி வெளிப்புற மடுவிலிருந்து EDID ஐப் படித்து EDID RAM க்கு எழுதுகிறது.
• FRL பயன்முறையில் இருக்கும் போது, ​​நியோஸ் II செயலியானது, க்ளோபல்.எச் ஸ்கிரிப்டில் உள்ள HDMI_RX_MAX_FRL_RATE அளவுருவின் அடிப்படையில் ஒவ்வொரு இணைப்பு வீதத்திற்கும் முன்பே கட்டமைக்கப்பட்ட EDID ஐ எழுதுகிறது.
ஆதரிக்கப்படும் FRL விகிதத்திற்கு பின்வரும் HDMI_RX_MAX_FRL_RATE உள்ளீடுகளைப் பயன்படுத்தவும்:
• 1: 3G 3 பாதைகள்
• 2: 6G 3 பாதைகள்
•3: 6G 4 பாதைகள்
• 4: 8G 4 பாதைகள்
•5: 10G 4 லேன்கள் (இயல்புநிலை)
•6: 12G 4 பாதைகள்
IOPLL HDMI RX இரண்டு IOPLLகளைப் பயன்படுத்துகிறது.
• முதல் IOPLL (pll_tmds) RX CDR குறிப்பு கடிகாரத்தை உருவாக்குகிறது. இந்த IOPLL TMDS பயன்முறையில் மட்டுமே பயன்படுத்தப்படுகிறது. இந்த IOPLL இன் குறிப்பு கடிகாரம் TMDS கடிகாரத்தைப் பெறுகிறது. TMDS பயன்முறை இந்த IOPLL ஐப் பயன்படுத்துகிறது, ஏனெனில் CDR ஆனது 50 MHz க்கும் குறைவான குறிப்பு கடிகாரங்களைப் பெற முடியாது மற்றும் TMDS கடிகார அதிர்வெண் 25 MHz முதல் 340 MHz வரை இருக்கும். இந்த IOPLL ஆனது 5 மெகா ஹெர்ட்ஸ் முதல் 25 மெகா ஹெர்ட்ஸ் வரையிலான அதிர்வெண் வரம்பிற்கு உள்ளீட்டு குறிப்பு கடிகாரத்தின் 50 மடங்கு கடிகார அதிர்வெண்ணை வழங்குகிறது மற்றும் 50 மெகா ஹெர்ட்ஸ் முதல் 340 மெகா ஹெர்ட்ஸ் வரையிலான அதிர்வெண் வரம்பிற்கு உள்ளீட்டு குறிப்பு கடிகாரத்தின் அதே கடிகார அதிர்வெண்ணை வழங்குகிறது.
•இரண்டாவது IOPLL (iopll_frl) ஆனது RX மையத்திற்கான FRL கடிகாரத்தை உருவாக்குகிறது. இந்த குறிப்பு கடிகாரம் CDR மீட்டெடுக்கப்பட்ட கடிகாரத்தைப் பெறுகிறது.
FRL கடிகார அதிர்வெண் = ஒரு பாதைக்கான தரவு வீதம் x 4 / (ஒரு கடிகாரத்திற்கு FRL எழுத்துக்கள் x 18)
டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர் டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர் RX டிரான்ஸ்ஸீவர்களின் நம்பகமான துவக்கத்தை உறுதி செய்கிறது. இந்த கன்ட்ரோலரின் ரீசெட் உள்ளீடு RX மறுகட்டமைப்பால் தூண்டப்படுகிறது, மேலும் இது பிளாக்கிற்குள் உள்ள ரீசெட் சீக்வென்சிங்கின் படி டிரான்ஸ்ஸீவர் நேட்டிவ் PHY பிளாக்கிற்கு தொடர்புடைய அனலாக் மற்றும் டிஜிட்டல் ரீசெட் சிக்னலை உருவாக்குகிறது.
RX நேட்டிவ் PHY வெளிப்புற வீடியோ மூலத்திலிருந்து தொடர் தரவைப் பெறும் கடினமான டிரான்ஸ்ஸீவர் பிளாக். இது HDMI RX மையத்திற்கு தரவை அனுப்பும் முன் தொடர் தரவை இணையான தரவுகளாக மாற்றுகிறது. இந்த தொகுதி FRL பயன்முறையில் மேம்படுத்தப்பட்ட PCS இல் இயங்குகிறது.
RX CDR இரண்டு குறிப்பு கடிகாரங்களைக் கொண்டுள்ளது.
• TMDS கடிகாரத்திலிருந்து பெறப்பட்ட IOPLL TMDS (pll_tmds) வெளியீட்டு கடிகாரத்துடன் குறிப்பு கடிகாரம் 0 இணைக்கப்பட்டுள்ளது.
• குறிப்பு கடிகாரம் 1 நிலையான 100 மெகா ஹெர்ட்ஸ் கடிகாரத்துடன் இணைக்கப்பட்டுள்ளது. TMDS பயன்முறையில், RX CDR ஆனது குறிப்பு கடிகாரம் 0 ஐ தேர்ந்தெடுக்க மறுகட்டமைக்கப்படுகிறது, மேலும் FRL பயன்முறையில், RX CDR குறிப்பு கடிகாரம் 1 ஐ தேர்ந்தெடுக்க மறுகட்டமைக்கப்படுகிறது.
RX மறுகட்டமைப்பு மேலாண்மை TMDS பயன்முறையில், RX டிரான்ஸ்ஸீவரை 250 Mbps முதல் 6,000 Mbps வரையிலான எந்தவொரு தன்னிச்சையான இணைப்பு விகிதங்களிலும் இயக்க, RX மறுகட்டமைப்பு மேலாண்மை தொகுதி HDMI PLL உடன் விகித கண்டறிதல் சுற்றுகளை செயல்படுத்துகிறது.
FRL முறையில், SCDC_FRL_RATE பதிவு புலத்தில் (3x6[8:10]) FRL விகிதத்தைப் பொறுத்து 12 Gbps, 0 Gbps, 31 Gbps, 3 Gbps அல்லது 0 Gbps வேகத்தில் RX டிரான்ஸ்ஸீவரை RX மறுகட்டமைப்பு மேலாண்மைத் தொகுதி மறுகட்டமைக்கிறது. RX மறுகட்டமைப்பு மேலாண்மை தொகுதி நிலையான PCS/RX இடையே மாறுகிறது
TMDS பயன்முறை மற்றும் FRL பயன்முறைக்கு மேம்படுத்தப்பட்ட PCS. பார்க்கவும் படம் 10 பக்கம் 22 இல்.

படம் 10. RX மறுகட்டமைப்பு வரிசை ஓட்டம்
உள்ளீட்டு தரவு ஸ்ட்ரீம் மற்றும் குறிப்பு கடிகார அதிர்வெண்ணைப் பெறும்போது அல்லது டிரான்ஸ்ஸீவர் திறக்கப்படும்போது கட்டுப்படுத்தியின் பல-விகித மறுகட்டமைப்பு வரிசை ஓட்டத்தை படம் விளக்குகிறது.intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - வடிவமைப்பைத் தொகுத்தல் மற்றும் சோதனை செய்தல் 22.5.3. மேல்-நிலை பொதுவான தொகுதிகள்
உயர்மட்ட பொதுவான தொகுதிகளில் டிரான்ஸ்ஸீவர் ஆர்பிட்டர், RX-TX இணைப்பு கூறுகள் மற்றும் CPU துணை அமைப்பு ஆகியவை அடங்கும்.
அட்டவணை 11. மேல்-நிலை பொதுவான தொகுதிகள்

தொகுதி

விளக்கம்

டிரான்ஸ்ஸீவர் நடுவர் ஒரே இயற்பியல் சேனலில் உள்ள RX அல்லது TX டிரான்ஸ்ஸீவர்களுக்கு மறுகட்டமைப்பு தேவைப்படும்போது, ​​இந்த பொதுவான செயல்பாட்டுத் தொகுதி டிரான்ஸ்ஸீவர்களை ஒரே நேரத்தில் மறுசீரமைப்பதைத் தடுக்கிறது. ஒரே சேனலில் உள்ள RX மற்றும் TX டிரான்ஸ்ஸீவர்கள் சுயாதீன IP செயலாக்கங்களுக்கு ஒதுக்கப்படும் பயன்பாடுகளை ஒரே நேரத்தில் மறுசீரமைப்பு பாதிக்கிறது.
இந்த டிரான்ஸ்ஸீவர் ஆர்பிட்டர் என்பது சிம்ப்ளக்ஸ் டிஎக்ஸ் மற்றும் சிம்ப்ளக்ஸ் ஆர்எக்ஸ் ஆகியவற்றை ஒரே இயற்பியல் சேனலில் இணைப்பதற்கு பரிந்துரைக்கப்பட்ட தெளிவுத்திறனுக்கான நீட்டிப்பாகும். இந்த டிரான்ஸ்ஸீவர் நடுவர் Avalon® மெமரி-மேப் செய்யப்பட்ட RX மற்றும் TX மறுகட்டமைப்பு கோரிக்கைகளை ஒரு சேனலில் உள்ள சிம்ப்ளக்ஸ் RX மற்றும் TX ட்ரான்ஸ்ஸீவர்களை இலக்காகக் கொண்டு, டிரான்ஸ்ஸீவர்களின் மறுகட்டமைப்பு இடைமுகப் போர்ட்டை வரிசையாக மட்டுமே அணுக முடியும்.
டிரான்ஸ்ஸீவர் ஆர்பிட்டர் மற்றும் TX/RX நேட்டிவ் PHY/PHY ரீசெட் கன்ட்ரோலர் தொகுதிகளுக்கு இடையேயான இடைமுக இணைப்பு இந்த வடிவமைப்பில் முன்னாள்ampடிரான்ஸ்ஸீவர் ஆர்பிட்டரைப் பயன்படுத்தி எந்த ஐபி கலவைக்கும் பொருந்தும் ஒரு பொதுவான பயன்முறையை le நிரூபிக்கிறது. ஒரு சேனலில் RX அல்லது TX டிரான்ஸ்ஸீவர் மட்டுமே பயன்படுத்தப்படும் போது டிரான்ஸ்ஸீவர் ஆர்பிட்டர் தேவையில்லை.
டிரான்ஸ்ஸீவர் நடுவர், அதன் Avalon நினைவக-மேப் செய்யப்பட்ட மறுகட்டமைப்பு இடைமுகங்கள் மூலம் மறுகட்டமைப்பைக் கோருபவர்களை அடையாளம் கண்டு, அதனுடன் தொடர்புடைய tx_reconfig_cal_busy அல்லது rx_reconfig_cal_busy அதற்கேற்ப வருவதை உறுதிசெய்கிறது.
HDMI பயன்பாடுகளுக்கு, RX மட்டுமே மறுகட்டமைப்பைத் தொடங்குகிறது. Avalon நினைவக-மேப் செய்யப்பட்ட மறுகட்டமைவு கோரிக்கையை நடுவர் மூலம் அனுப்புவதன் மூலம், மறுகட்டமைப்பு கோரிக்கை RX இலிருந்து உருவானது என்பதை நடுவர் அடையாளம் காண்கிறார், இது tx_reconfig_cal_busy ஐ உறுதிப்படுத்துகிறது மற்றும் rx_reconfig_cal_busy ஐ உறுதிப்படுத்த அனுமதிக்கிறது. கேட்டிங் TX டிரான்ஸ்ஸீவர் தற்செயலாக அளவுத்திருத்த முறைக்கு நகர்த்தப்படுவதைத் தடுக்கிறது.
குறிப்பு: HDMI க்கு RX மறுகட்டமைப்பு மட்டுமே தேவைப்படுவதால், tx_reconfig_mgmt_* சமிக்ஞைகள் இணைக்கப்பட்டுள்ளன. மேலும், Avalon நினைவகம்-மேப் செய்யப்பட்ட இடைமுகம் நடுவர் மற்றும் TX நேட்டிவ் PHY தொகுதிக்கு இடையில் தேவையில்லை. தொகுதிகள் முன்னாள் வடிவமைப்பில் உள்ள இடைமுகத்திற்கு ஒதுக்கப்பட்டுள்ளனampTX/RX நேட்டிவ் PHY/PHY ரீசெட் கன்ட்ரோலருக்கு ஜெனரிக் டிரான்ஸ்ஸீவர் ஆர்பிட்டர் இணைப்பை நிரூபிக்க le
RX-TX இணைப்பு • HDMI RX கோர் லூப்பில் இருந்து வீடியோ தரவு வெளியீடு மற்றும் ஒத்திசைவு சமிக்ஞைகள் RX மற்றும் TX வீடியோ கடிகார டொமைன்கள் முழுவதும் DCFIFO மூலம்.
• HDMI TX மையத்தின் துணை தரவு போர்ட் DCFIFO வழியாக பேக்பிரஷர் மூலம் பாயும் துணைத் தரவைக் கட்டுப்படுத்துகிறது. துணை தரவு போர்ட்டில் முழுமையற்ற துணை பாக்கெட் இல்லை என்பதை பின் அழுத்தம் உறுதி செய்கிறது.
• இந்தத் தொகுதி வெளிப்புற வடிகட்டுதலையும் செய்கிறது:
- HDMI TX கோர் துணை தரவு போர்ட்டுக்கு அனுப்பும் முன், துணை தரவு ஸ்ட்ரீமில் இருந்து ஆடியோ தரவு மற்றும் ஆடியோ கடிகார மறு உருவாக்கம் பாக்கெட்டை வடிகட்டுகிறது.
- HDMI RX துணைத் தரவிலிருந்து உயர் டைனமிக் ரேஞ்ச் (HDR) இன்ஃபோஃப்ரேமை வடிகட்டுகிறது மற்றும் முன்னாள் ஒன்றைச் செருகுகிறதுampAvalon ஸ்ட்ரீமிங் மல்டிபிளெக்ஸர் மூலம் HDMI TX இன் துணை தரவுகளுக்கு le HDR InfoFrame.
CPU துணை அமைப்பு CPU துணை அமைப்பு SCDC மற்றும் DDC கன்ட்ரோலர்கள் மற்றும் மூல மறுசீரமைப்பு கட்டுப்படுத்தியாக செயல்படுகிறது.
• மூல SCDC கட்டுப்படுத்தியில் I2C முதன்மைக் கட்டுப்படுத்தி உள்ளது. I2C மாஸ்டர் கன்ட்ரோலர், HDMI 2.0 செயல்பாட்டிற்காக SCDC தரவு கட்டமைப்பை FPGA மூலத்திலிருந்து வெளிப்புற சிங்கிற்கு மாற்றுகிறது. உதாரணமாகample, வெளிச்செல்லும் தரவு ஸ்ட்ரீம் 6,000 Mbps ஆக இருந்தால், Nios II செயலியானது TMDS_BIT_CLOCK_RATIO மற்றும் SCRAMBLER_ENABLE பிட்களை சிங்க் TMDS உள்ளமைவுப் பதிவேட்டின் 2க்கு புதுப்பிக்குமாறு I1C முதன்மைக் கட்டுப்படுத்திக்குக் கட்டளையிடுகிறது.
• அதே I2C மாஸ்டர், HDMI மூலத்திற்கும் வெளிப்புற மடுவிற்கும் இடையில் DDC தரவு கட்டமைப்பையும் (E-EDID) மாற்றுகிறது.
• நியோஸ் II CPU ஆனது HDMI மூலத்திற்கான மறுகட்டமைப்பு கட்டுப்படுத்தியாக செயல்படுகிறது. CPU ஆனது TX க்கு மறுகட்டமைப்பு தேவையா என்பதை தீர்மானிக்க RX மறுகட்டமைப்பு மேலாண்மை தொகுதியில் இருந்து குறிப்பிட்ட கால இடைவெளி கண்டறிதலை நம்பியுள்ளது. Avalon மெமரி-மேப் செய்யப்பட்ட ஸ்லேவ் மொழிபெயர்ப்பாளர் Nios II செயலி Avalon நினைவக-மேப் செய்யப்பட்ட முதன்மை இடைமுகம் மற்றும் வெளிப்புறமாக உடனடி HDMI மூலத்தின் IOPLL மற்றும் TX நேட்டிவ் PHY இன் Avalon நினைவக-மேப் செய்யப்பட்ட ஸ்லேவ் இடைமுகங்களுக்கு இடையேயான இடைமுகத்தை வழங்குகிறது.
• வெளிப்புற மடுவுடன் I2C முதன்மை இடைமுகம் மூலம் இணைப்புப் பயிற்சியைச் செய்யவும்

2.6 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் (HDR) இன்ஃபோஃப்ரேம் செருகுதல் மற்றும் வடிகட்டுதல்
HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample ஒரு RX-TX லூப்பேக் அமைப்பில் HDR இன்ஃபோஃப்ரேம் செருகும் செயல்விளக்கத்தை உள்ளடக்கியது.
HDMI விவரக்குறிப்பு பதிப்பு 2.0b ஆனது HDMI துணை ஸ்ட்ரீம் மூலம் டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமை அனுப்ப அனுமதிக்கிறது. ஆர்ப்பாட்டத்தில், துணை பாக்கெட் ஜெனரேட்டர் தொகுதி HDR செருகலை ஆதரிக்கிறது. மாட்யூலின் சிக்னல் பட்டியல் அட்டவணையில் குறிப்பிடப்பட்டுள்ளபடி நீங்கள் உத்தேசித்துள்ள HDR இன்ஃபோஃப்ரேம் பாக்கெட்டை வடிவமைக்க வேண்டும், மேலும் HDR இன்ஃபோஃப்ரேமின் செருகும் ஒவ்வொரு வீடியோ பிரேமிலும் ஒருமுறை நிகழும்.
இதில் முன்னாள்ample கட்டமைப்பு, உள்வரும் துணை ஸ்ட்ரீம் ஏற்கனவே HDR இன்ஃபோஃப்ரேமை உள்ளடக்கிய சந்தர்ப்பங்களில், ஸ்ட்ரீம் செய்யப்பட்ட HDR உள்ளடக்கம் வடிகட்டப்படுகிறது. வடிகட்டுதல் முரண்பட்ட HDR இன்ஃபோஃப்ரேம்களை அனுப்புவதைத் தவிர்க்கிறது மற்றும் HDR S இல் குறிப்பிடப்பட்டுள்ள மதிப்புகள் மட்டுமே என்பதை உறுதி செய்கிறது.ample தரவு தொகுதி பயன்படுத்தப்படுகிறது.
படம் 11. டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் செருகலுடன் RX-TX இணைப்பு
எச்டிஎம்ஐ டிஎக்ஸ் கோர் துணை ஸ்ட்ரீமில் டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் இன்செர்ஷன் உள்ளிட்ட RX-TX இணைப்பின் தொகுதி வரைபடத்தை படம் காட்டுகிறது.intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - டைனமிக் ரேஞ்ச்அட்டவணை 12. துணை தரவு செருகும் தொகுதி (aux_retransmit) சமிக்ஞைகள்

சிக்னல் திசை அகலம்

விளக்கம்

கடிகாரம் மற்றும் மீட்டமை
clk உள்ளீடு 1 கடிகார உள்ளீடு. இந்த கடிகாரம் வீடியோ கடிகாரத்துடன் இணைக்கப்பட வேண்டும்.
மீட்டமை உள்ளீடு 1 உள்ளீட்டை மீட்டமைக்கவும்.

துணை பாக்கெட் சிக்னல்கள்

tx_aux_data வெளியீடு 72 மல்டிபிளெக்சரில் இருந்து TX துணை பாக்கெட் வெளியீடு.
tx_aux_valid வெளியீடு 1
tx_aux_ready வெளியீடு 1
tx_aux_sop வெளியீடு 1
tx_aux_eop வெளியீடு 1
rx_aux_data உள்ளீடு 72 மல்டிபிளெக்சரில் நுழைவதற்கு முன்பு RX துணைத் தரவு பாக்கெட் வடிகட்டி தொகுதிக்கு அனுப்பப்பட்டது.
rx_aux_valid உள்ளீடு 1
rx_aux_sop உள்ளீடு 1
rx_aux_eop உள்ளீடு 1
கட்டுப்பாட்டு சமிக்ஞை
hdmi_tx_vsync உள்ளீடு 1 HDMI TX வீடியோ Vsync. இந்த சமிக்ஞை இணைப்பு வேக கடிகார டொமைனுடன் ஒத்திசைக்கப்பட வேண்டும். இந்த சிக்னலின் உயரும் விளிம்பில் உள்ள துணை ஸ்ட்ரீமில் கோர் HDR இன்ஃபோஃப்ரேமைச் செருகுகிறது.

அட்டவணை 13. HDR தரவு தொகுதி (altera_hdmi_hdr_infoframe) சிக்னல்கள்

சிக்னல்

திசை அகலம்

விளக்கம்

hb0 வெளியீடு 8 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் ஹெடர் பைட் 0: இன்ஃபோஃப்ரேம் வகை குறியீடு.
hb1 வெளியீடு 8 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் ஹெடர் பைட் 1: இன்ஃபோஃப்ரேம் பதிப்பு எண்.
hb2 வெளியீடு 8 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் ஹெடர் பைட் 2: இன்ஃபோஃப்ரேமின் நீளம்.
pb உள்ளீடு 224 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் டேட்டா பைட்.

அட்டவணை 14. டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் டேட்டா பைட் பண்டில் பிட் ஃபீல்ட்ஸ்

பிட்-ஃபீல்ட்

வரையறை

நிலையான மெட்டாடேட்டா வகை 1

7:0 டேட்டா பைட் 1: {5'h0, EOTF[2:0]}
15:8 தரவு பைட் 2: {5'h0, Static_Metadata_Descriptor_ID[2:0]}
23:16 டேட்டா பைட் 3: Static_Metadata_Descriptor display_primaries_x[0], LSB
31:24 டேட்டா பைட் 4: Static_Metadata_Descriptor display_primaries_x[0], MSB
39:32 டேட்டா பைட் 5: Static_Metadata_Descriptor display_primaries_y[0], LSB
47:40 டேட்டா பைட் 6: Static_Metadata_Descriptor display_primaries_y[0], MSB
55:48 டேட்டா பைட் 7: Static_Metadata_Descriptor display_primaries_x[1], LSB
63:56 டேட்டா பைட் 8: Static_Metadata_Descriptor display_primaries_x[1], MSB
71:64 டேட்டா பைட் 9: Static_Metadata_Descriptor display_primaries_y[1], LSB
79:72 டேட்டா பைட் 10: Static_Metadata_Descriptor display_primaries_y[1], MSB
87:80 டேட்டா பைட் 11: Static_Metadata_Descriptor display_primaries_x[2], LSB
95:88 டேட்டா பைட் 12: Static_Metadata_Descriptor display_primaries_x[2], MSB
103:96 டேட்டா பைட் 13: Static_Metadata_Descriptor display_primaries_y[2], LSB
111:104 டேட்டா பைட் 14: Static_Metadata_Descriptor display_primaries_y[2], MSB
119:112 டேட்டா பைட் 15: Static_Metadata_Descriptor white_point_x, LSB
127:120 டேட்டா பைட் 16: Static_Metadata_Descriptor white_point_x, MSB
135:128 டேட்டா பைட் 17: Static_Metadata_Descriptor white_point_y, LSB
143:136 டேட்டா பைட் 18: Static_Metadata_Descriptor white_point_y, MSB
151:144 டேட்டா பைட் 19: Static_Metadata_Descriptor max_display_mastering_luminance, LSB
159:152 டேட்டா பைட் 20: Static_Metadata_Descriptor max_display_mastering_luminance, MSB
167:160 டேட்டா பைட் 21: Static_Metadata_Descriptor min_display_mastering_luminance, LSB
175:168 டேட்டா பைட் 22: Static_Metadata_Descriptor min_display_mastering_luminance, MSB
183:176 டேட்டா பைட் 23: Static_Metadata_Descriptor அதிகபட்ச உள்ளடக்க ஒளி நிலை, LSB
191:184 டேட்டா பைட் 24: Static_Metadata_Descriptor அதிகபட்ச உள்ளடக்க ஒளி நிலை, MSB
199:192 டேட்டா பைட் 25: Static_Metadata_Descriptor அதிகபட்ச சட்ட-சராசரி ஒளி நிலை, LSB
207:200 டேட்டா பைட் 26: Static_Metadata_Descriptor அதிகபட்ச பிரேம்-சராசரி ஒளி நிலை, MSB
215:208 ஒதுக்கப்பட்டது
223:216 ஒதுக்கப்பட்டது

HDR செருகல் மற்றும் வடிகட்டுதலை முடக்குகிறது
HDR செருகல் மற்றும் வடிப்பானை முடக்குவது, RX-TX ரீட்ரான்ஸ்மிட் டிசைனில் எந்த மாற்றமும் இல்லாமல் ஏற்கனவே ஆதார துணை ஸ்ட்ரீமில் உள்ள HDR உள்ளடக்கத்தின் மறுபரிமாற்றத்தை சரிபார்க்க உங்களை அனுமதிக்கிறது.ampலெ.
HDR InfoFrame செருகுதல் மற்றும் வடிகட்டலை முடக்க:

  1. rxtx_link.v இல் block_ext_hdr_infoframe ஐ 1'b0 ஆக அமைக்கவும் file துணை ஸ்ட்ரீமில் இருந்து HDR இன்ஃபோஃப்ரேமை வடிகட்டுவதைத் தடுக்க.
  2. altera_hdmi_aux_hdr.v இல் avalon_st_multiplexer நிகழ்வின் multiplexer_in0_valid ஐ அமைக்கவும் file துணைப் பொதி ஜெனரேட்டரை உருவாக்கி கூடுதல் HDR இன்ஃபோஃப்ரேமை TX துணை ஸ்ட்ரீமில் செருகுவதைத் தடுக்க 1'b0 வரை.

2.7 வடிவமைப்பு மென்பொருள் ஓட்டம்
வடிவமைப்பு முக்கிய மென்பொருள் ஓட்டத்தில், Nios II செயலி TI ரீட்ரைவர் அமைப்பை உள்ளமைக்கிறது மற்றும் பவர்-அப் மீது TX மற்றும் RX பாதைகளை துவக்குகிறது.
படம் 12. main.c ஸ்கிரிப்டில் மென்பொருள் ஓட்டம்
intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - மென்பொருள் ஓட்டம்சிங்க் மற்றும் மூல மாற்றங்களைக் கண்காணிக்கவும், மாற்றங்களுக்கு எதிர்வினையாற்றவும் மென்பொருள் சிறிது நேர சுழற்சியை இயக்குகிறது. மென்பொருள் TX மறுகட்டமைப்பு, TX இணைப்புப் பயிற்சி மற்றும் வீடியோவை அனுப்பத் தொடங்கலாம்.
படம் 13. TX பாதை துவக்க ஃப்ளோசார்ட் TX பாதையை துவக்கவும்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - ஃப்ளோசார்ட்படம் 14. RX பாதை துவக்க ஃப்ளோசார்ட்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - ஃப்ளோசார்ட் 1படம் 15. TX மறுசீரமைப்பு மற்றும் இணைப்பு பயிற்சி ஃப்ளோசார்ட்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - ஃப்ளோசார்ட் 2படம் 16. இணைப்புப் பயிற்சி LTS:3 குறிப்பிட்ட FRL விகிதத்தில் செயல்முறை.intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - ஃப்ளோசார்ட் 3படம் 17. HDMI TX வீடியோ டிரான்ஸ்மிஷன் ஃப்ளோசார்ட்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - ஃப்ளோசார்ட் 42.8 வெவ்வேறு FRL விகிதங்களில் வடிவமைப்பை இயக்குதல்
வெளிப்புற சிங்கின் இயல்புநிலை FRL விகிதத்தைத் தவிர, வெவ்வேறு FRL கட்டணங்களில் உங்கள் வடிவமைப்பை இயக்கலாம்.
வெவ்வேறு FRL விகிதங்களில் வடிவமைப்பை இயக்க:

  1. ஆன்-போர்டு user_dipsw0 சுவிட்சை ஆன் நிலைக்கு மாற்றவும்.
  2. Nios II கட்டளை ஷெல்லைத் திறந்து, பின்னர் nios2-terminal ஐ உள்ளிடவும்
  3. பின்வரும் கட்டளைகளை உள்ளிடவும் மற்றும் செயல்படுத்த Enter ஐ அழுத்தவும்.
கட்டளை

விளக்கம்

h உதவி மெனுவைக் காட்டு.
r0 RX அதிகபட்ச FRL திறனை FRL விகிதம் 0 (TMDS மட்டும்) க்கு புதுப்பிக்கவும்.
r1 RX அதிகபட்ச FRL திறனை FRL விகிதம் 1 (3 Gbps) க்கு புதுப்பிக்கவும்.
r2 RX அதிகபட்ச FRL திறனை FRL விகிதம் 2 (6 Gbps, 3 பாதைகள்) க்கு புதுப்பிக்கவும்.
r3 RX அதிகபட்ச FRL திறனை FRL விகிதம் 3 (6 Gbps, 4 பாதைகள்) க்கு புதுப்பிக்கவும்.
r4 RX அதிகபட்ச FRL திறனை FRL விகிதம் 4 (8 Gbps) க்கு புதுப்பிக்கவும்.
r5 RX அதிகபட்ச FRL திறனை FRL விகிதம் 5 (10 Gbps) க்கு புதுப்பிக்கவும்.
r6 RX அதிகபட்ச FRL திறனை FRL விகிதம் 6 (12 Gbps) க்கு புதுப்பிக்கவும்.
t1 TX இணைப்பு வீதத்தை FRL விகிதம் 1 (3 Gbps) க்கு உள்ளமைக்கிறது.
t2 TX இணைப்பு வீதத்தை FRL விகிதம் 2 (6 Gbps, 3 பாதைகள்) க்கு உள்ளமைக்கிறது.
t3 TX இணைப்பு வீதத்தை FRL விகிதம் 3 (6 Gbps, 4 பாதைகள்) க்கு உள்ளமைக்கிறது.
t4 TX இணைப்பு வீதத்தை FRL விகிதம் 4 (8 Gbps) க்கு உள்ளமைக்கிறது.
t5 TX இணைப்பு வீதத்தை FRL விகிதம் 5 (10 Gbps) க்கு உள்ளமைக்கிறது.
t6 TX இணைப்பு வீதத்தை FRL விகிதம் 6 (12 Gbps) க்கு உள்ளமைக்கிறது.

2.9 கடிகாரத் திட்டம்
க்ளாக்கிங் ஸ்கீம் HDMI இன்டெல் FPGA IP வடிவமைப்பில் உள்ள கடிகார டொமைன்களை விளக்குகிறது.ampலெ.
படம் 18. HDMI 2.1 வடிவமைப்பு Example க்ளாக்கிங் திட்டம்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - கடிகாரத் திட்டம்அட்டவணை 15. க்ளாக்கிங் ஸ்கீம் சிக்னல்கள்

கடிகாரம்

வடிவமைப்பில் சிக்னல் பெயர்

விளக்கம்

மேலாண்மை கடிகாரம் mgmt_clk இந்த கூறுகளுக்கு இலவசமாக இயங்கும் 100 மெகா ஹெர்ட்ஸ் கடிகாரம்:
• மறுகட்டமைப்பிற்கான Avalon-MM இடைமுகங்கள்
— அதிர்வெண் வரம்பு தேவை 100– 125 மெகா ஹெர்ட்ஸ்.
• டிரான்ஸ்ஸீவர் மீட்டமைப்பு வரிசைக்கான PHY மீட்டமைப்பு கட்டுப்படுத்தி
— அதிர்வெண் வரம்பு தேவை 1–500 மெகா ஹெர்ட்ஸ்.
• IOPLL மறுசீரமைப்பு
- அதிகபட்ச கடிகார அதிர்வெண் 100 மெகா ஹெர்ட்ஸ் ஆகும்.
• RX மறுகட்டமைப்பு மேலாண்மை
• TX மறுகட்டமைப்பு மேலாண்மை
• CPU
• I2C மாஸ்டர்
I2C கடிகாரம் i2c_clk 100 MHz கடிகார உள்ளீடு I2C ஸ்லேவ், அவுட்புட் பஃபர்கள், SCDC ரெஜிஸ்டர்கள் மற்றும் HDMI RX கோர் மற்றும் EDID RAM ஆகியவற்றில் பயிற்சி செயல்முறையை இணைக்கிறது.
TX PLL குறிப்பு கடிகாரம் 0 tx_tmds_clk TX PLL க்கு குறிப்பு கடிகாரம் 0. கடிகார அதிர்வெண் HDMI TX TMDS கடிகார அலைவரிசையிலிருந்து எதிர்பார்க்கப்படும் TMDS கடிகார அதிர்வெண்ணைப் போலவே உள்ளது. இந்த குறிப்பு கடிகாரம் TMDS பயன்முறையில் பயன்படுத்தப்படுகிறது.
இந்த HDMI வடிவமைப்பிற்கு முன்னாள்ample, இந்த கடிகாரம் ஆர்எக்ஸ் டிஎம்டிஎஸ் கடிகாரத்துடன் ஆர்ப்பாட்ட நோக்கத்திற்காக இணைக்கப்பட்டுள்ளது. உங்கள் பயன்பாட்டில், சிறந்த நடுக்கச் செயல்திறனுக்காக, நிரல்படுத்தக்கூடிய ஆஸிலேட்டரிலிருந்து TMDS கடிகார அதிர்வெண்ணுடன் பிரத்யேக கடிகாரத்தை வழங்க வேண்டும்.
குறிப்பு: டிரான்ஸ்ஸீவர் RX பின்னை TX PLL குறிப்பு கடிகாரமாகப் பயன்படுத்த வேண்டாம். நீங்கள் HDMI TX refclk ஐ RX பின்னில் வைத்தால் உங்கள் வடிவமைப்பு பொருந்தாது.
TX PLL குறிப்பு கடிகாரம் 1 txfpll_refclk1/ rxphy_cdr_refclk1 TX PLL மற்றும் RX CDR க்கான குறிப்பு கடிகாரம், அதே போல் vid_clk க்கான IOPLL. கடிகார அதிர்வெண் 100 MHz ஆகும்.
TX PLL சீரியல் கடிகாரம் tx_பிணைப்பு_கடிகாரங்கள் TX PLL ஆல் உருவாக்கப்பட்ட தொடர் வேகமான கடிகாரம். கடிகார அதிர்வெண் தரவு வீதத்தின் அடிப்படையில் அமைக்கப்பட்டுள்ளது.
TX டிரான்ஸ்ஸீவர் கடிகாரம் அவுட் tx_clk டிரான்ஸ்ஸீவரில் இருந்து கடிகாரம் மீட்டெடுக்கப்பட்டது, மேலும் ஒரு கடிகாரத்திற்கான தரவு வீதம் மற்றும் குறியீடுகளைப் பொறுத்து அதிர்வெண் மாறுபடும்.
TX டிரான்ஸ்ஸீவர் கடிகாரம் அவுட் அதிர்வெண் = டிரான்ஸ்ஸீவர் தரவு வீதம்/ டிரான்ஸ்ஸீவர் அகலம்
இந்த HDMI வடிவமைப்பிற்கு முன்னாள்ample, TX டிரான்ஸ்ஸீவர் கடிகாரம் சேனல் 0 இல் இருந்து TX டிரான்ஸ்ஸீவர் கோர் உள்ளீடு (tx_coreclkin), இணைப்பு வேகம் IOPLL (pll_hdmi) குறிப்பு கடிகாரம் மற்றும் வீடியோ மற்றும் FRL IOPLL (pll_vid_frl) குறிப்பு கடிகாரம்.
வீடியோ கடிகாரம் tx_vid_clk/rx_vid_clk வீடியோ கடிகாரம் முதல் TX மற்றும் RX கோர் வரை. கடிகாரம் 225 மெகா ஹெர்ட்ஸ் நிலையான அதிர்வெண்ணில் இயங்குகிறது.
TX/RX FRL கடிகாரம் tx_frl_clk/rx_frl_clk TX மற்றும் RX மையத்திற்கான FRL கடிகாரம்.
RX TMDS கடிகாரம் rx_tmds_clk HDMI RX இணைப்பிலிருந்து TMDS கடிகார சேனல் மற்றும் CDR குறிப்பு கடிகாரம் 0 க்கான குறிப்பு கடிகாரத்தை உருவாக்க IOPLL உடன் இணைக்கிறது. TMDS பயன்முறையில் இருக்கும் போது இந்த கடிகாரத்தை மையமானது பயன்படுத்துகிறது.
RX CDR குறிப்பு கடிகாரம் 0 rxphy_cdr_refclk0 குறிப்பு கடிகாரம் 0 முதல் RX CDR வரை. இந்த கடிகாரம் RX TMDS கடிகாரத்திலிருந்து பெறப்பட்டது. RX TMDS கடிகார அதிர்வெண் 25 MHz முதல் 340 MHz வரை இருக்கும், RX CDR குறைந்தபட்ச குறிப்பு கடிகார அதிர்வெண் 50 MHz ஆகும்.
TMDS கடிகாரத்திற்கான 5 கடிகார அதிர்வெண்ணை 25 MHz முதல் 50 MHz வரை உருவாக்க ஒரு IOPLL பயன்படுகிறது மற்றும் TMDS கடிகாரத்திற்கு 50 MHz - 340 MHz இடையே அதே கடிகார அதிர்வெண்ணை உருவாக்குகிறது.
RX டிரான்ஸ்ஸீவர் கடிகாரம் அவுட் rx_clk டிரான்ஸ்ஸீவரில் இருந்து கடிகாரம் மீட்டெடுக்கப்பட்டது, மேலும் தரவு வீதம் மற்றும் டிரான்ஸ்ஸீவர் அகலத்தைப் பொறுத்து அதிர்வெண் மாறுபடும்.
RX டிரான்ஸ்ஸீவர் கடிகார வெளியீட்டு அதிர்வெண் = டிரான்ஸ்ஸீவர் தரவு வீதம்/ டிரான்ஸ்ஸீவர் அகலம்
இந்த HDMI வடிவமைப்பிற்கு முன்னாள்ample, சேனல் 1 ல் இருந்து RX டிரான்ஸ்ஸீவர் கடிகாரம் RX டிரான்ஸ்ஸீவர் கோர் உள்ளீடு (rx_coreclkin) மற்றும் FRL IOPLL (pll_frl) குறிப்பு கடிகாரம்.

2.10 இடைமுக சமிக்ஞைகள்
HDMI வடிவமைப்புக்கான சிக்னல்களை அட்டவணைகள் பட்டியலிடுகின்றன.ampFRL இயக்கப்பட்ட le.
அட்டவணை 16. மேல் நிலை சமிக்ஞைகள்

சிக்னல்

திசை அகலம்

விளக்கம்

ஆன்-போர்டு ஆஸிலேட்டர் சிக்னல்
clk_fpga_b3_p உள்ளீடு 1 முக்கிய குறிப்பு கடிகாரத்திற்கான 100 மெகா ஹெர்ட்ஸ் இலவச இயங்கும் கடிகாரம்.
refclk4_p உள்ளீடு 1 டிரான்ஸ்ஸீவர் குறிப்பு கடிகாரத்திற்கான 100 மெகா ஹெர்ட்ஸ் இலவச இயங்கும் கடிகாரம்.
பயனர் புஷ் பொத்தான்கள் மற்றும் எல்.ஈ
user_pb உள்ளீடு 3 HDMI இன்டெல் FPGA IP வடிவமைப்பு செயல்பாட்டைக் கட்டுப்படுத்த பொத்தானை அழுத்தவும்.
cpu_resetn உள்ளீடு 1 உலகளாவிய மீட்டமைப்பு.
user_led_g வெளியீடு 8 பச்சை LED காட்சி.
பார்க்கவும் வன்பொருள் அமைப்பு LED செயல்பாடுகள் பற்றிய கூடுதல் தகவலுக்கு பக்கம் 48 இல்.
user_dipsw உள்ளீடு 1 பயனர் வரையறுக்கப்பட்ட டிஐபி சுவிட்ச்.
பார்க்கவும் வன்பொருள் அமைப்பு DIP சுவிட்ச் செயல்பாடுகள் பற்றிய கூடுதல் தகவலுக்கு பக்கம் 48 இல்.
எஃப்எம்சி போர்ட் பியில் எச்டிஎம்ஐ எஃப்எம்சி மகள் கார்டு பின்கள்
fmcb_gbtclk_m2c_p_0 உள்ளீடு 1 HDMI RX TMDS கடிகாரம்.
fmcb_dp_m2c_p உள்ளீடு 4 HDMI RX கடிகாரம், சிவப்பு, பச்சை மற்றும் நீல தரவு சேனல்கள்.
fmcb_dp_c2m_p வெளியீடு 4 HDMI TX கடிகாரம், சிவப்பு, பச்சை மற்றும் நீல தரவு சேனல்கள்.
fmcb_la_rx_p_9 உள்ளீடு 1 HDMI RX +5V பவர் கண்டறிதல்.
fmcb_la_rx_p_8 வெளியீடு 1 HDMI RX ஹாட் பிளக் கண்டறிதல்.
fmcb_la_rx_n_8 உள்ளீடு 1 DDC மற்றும் SCDCக்கான HDMI RX I2C SDA.
fmcb_la_tx_p_10 உள்ளீடு 1 DDC மற்றும் SCDCக்கான HDMI RX I2C SCL.
fmcb_la_tx_p_12 உள்ளீடு 1 HDMI TX ஹாட் பிளக் கண்டறிதல்.
fmcb_la_tx_n_12 உள்ளீடு 1 DDC மற்றும் SCDCக்கான HDMI I2C SDA.
fmcb_la_rx_p_10 உள்ளீடு 1 DDC மற்றும் SCDCக்கான HDMI I2C SCL.
fmcb_la_tx_n_9 உள்ளீடு 1 ரீடிரைவர் கட்டுப்பாட்டிற்கான HDMI I2C SDA.
fmcb_la_rx_p_11 உள்ளீடு 1 ரீட்ரைவர் கட்டுப்பாட்டுக்கான HDMI I2C SCL.
fmcb_la_tx_n_13 வெளியீடு 1 HDMI TX +5V
குறிப்பு: எப்போது மட்டுமே கிடைக்கும் Bitec HDMI மகள் அட்டை திருத்தம் 9 தேர்வு செய்யப்படுகிறது.

அட்டவணை 17. HDMI RX உயர் நிலை சமிக்ஞைகள்

சிக்னல் திசை அகலம் விளக்கம்
கடிகாரம் மற்றும் சிக்னல்களை மீட்டமைக்கவும்
mgmt_clk உள்ளீடு 1 கணினி கடிகார உள்ளீடு (100 மெகா ஹெர்ட்ஸ்).
மீட்டமை உள்ளீடு 1 கணினி மீட்டமைப்பு உள்ளீடு.
rx_tmds_clk உள்ளீடு 1 HDMI RX TMDS கடிகாரம்.
i2c_clk உள்ளீடு 1 DDC மற்றும் SCDC இடைமுகத்திற்கான கடிகார உள்ளீடு.
கடிகாரம் மற்றும் சிக்னல்களை மீட்டமைக்கவும்
rxphy_cdr_refclk1 உள்ளீடு 1 RX CDR குறிப்பு கடிகாரத்திற்கான கடிகார உள்ளீடு 1. கடிகார அதிர்வெண் 100 MHz.
rx_vid_clk வெளியீடு 1 வீடியோ கடிகார வெளியீடு.
sys_init (sys_init) என்பது sys_init ஆகும். வெளியீடு 1 பவர்-அப் போது கணினியை மீட்டமைக்க கணினி துவக்கம்.
RX Transceiver மற்றும் IOPLL சிக்னல்கள்
rxpll_tmds_locked வெளியீடு 1 TMDS கடிகாரம் IOPLL பூட்டப்பட்டிருப்பதைக் குறிக்கிறது.
rxpll_frl_locked வெளியீடு 1 FRL கடிகாரம் IOPLL பூட்டப்பட்டதைக் குறிக்கிறது.
rxphy_serial_data உள்ளீடு 4 RX Native PHYக்கான HDMI தொடர் தரவு.
rxphy_தயார் வெளியீடு 1 RX Native PHY தயாராக இருப்பதைக் குறிக்கிறது.
rxphy_cal_busy_raw வெளியீடு 4 RX நேட்டிவ் PHY அளவுத்திருத்தம் டிரான்ஸ்ஸீவர் நடுவருக்கு பிஸியாக உள்ளது.
rxphy_cal_busy_gated உள்ளீடு 4 டிரான்ஸ்ஸீவர் ஆர்பிட்டரிலிருந்து RX நேட்டிவ் PHY க்கு அளவீடு பிஸி சிக்னல்.
rxphy_rcfg_slave_write உள்ளீடு 4 டிரான்ஸ்ஸீவர் மறுகட்டமைப்பு RX Native PHY இலிருந்து டிரான்ஸ்ஸீவர் ஆர்பிட்டருக்கு Avalon நினைவக-மேப் செய்யப்பட்ட இடைமுகம்.
rxphy_rcfg_slave_read உள்ளீடு 4
rxphy_rcfg_slave_address உள்ளீடு 40
rxphy_rcfg_slave_writeddata உள்ளீடு 128
rxphy_rcfg_slave_readdata வெளியீடு 128
rxphy_rcfg_slave_waitrequest வெளியீடு 4
RX மறுகட்டமைப்பு மேலாண்மை
rxphy_rcfg_busy வெளியீடு 1 RX மறுசீரமைப்பு பிஸி சிக்னல்.
rx_tmds_freq வெளியீடு 24 HDMI RX TMDS கடிகார அதிர்வெண் அளவீடு (10 ms இல்).
rx_tmds_freq_valid வெளியீடு 1 RX TMDS கடிகார அதிர்வெண் அளவீடு செல்லுபடியாகும் என்பதைக் குறிக்கிறது.
rxphy_os (ஆர்எக்ஸ்ஃபி_ஓஎஸ்) வெளியீடு 1 ஓவர்கள்ampலிங்க் காரணி:
•0: 1x ஓவர்கள்ampலிங்
• 1: 5× ஓவர்கள்ampலிங்
rxphy_rcfg_master_write வெளியீடு 1 RX மறுகட்டமைப்பு மேலாண்மை Avalon நினைவகம்-வரைபடப்பட்ட இடைமுகம் to transceiver arbiter.
rxphy_rcfg_master_read (ஆர்எக்ஸ்பிஐ_ஆர்எக்ஸ்ஜி_மாஸ்டர்_ரீட்) வெளியீடு 1
rxphy_rcfg_master_address வெளியீடு 12
rxphy_rcfg_master_writedata வெளியீடு 32
rxphy_rcfg_master_readdata உள்ளீடு 32
rxphy_rcfg_master_waitrequest உள்ளீடு 1
HDMI RX கோர் சிக்னல்கள்
rx_vid_clk_locked உள்ளீடு 1 vid_clk நிலையானது என்பதைக் குறிக்கிறது.
rxcore_frl_rate (ஆர்எக்ஸ்கோர்_எஃப்ஆர்எல்_விகிதம்) வெளியீடு 4 RX கோர் இயங்கும் FRL வீதத்தைக் குறிக்கிறது.
• 0: மரபுப் பயன்முறை (TMDS)
• 1: 3 Gbps 3 பாதைகள்
• 2: 6 Gbps 4 பாதைகள்
• 3: 6 Gbps 4 பாதைகள்
• 4: 8 Gbps 4 பாதைகள்
• 5: 10 Gbps 4 பாதைகள்
• 6: 12 Gbps 4 பாதைகள்
• 7-15: ஒதுக்கப்பட்டது
rxcore_frl_locked வெளியீடு 4 ஒவ்வொரு பிட்டும் FRL பூட்டை அடைந்த குறிப்பிட்ட பாதையைக் குறிக்கிறது. RX கோர் சீரமைப்பு, டெஸ்க்யூ மற்றும் லேன் லாக்கை அடையும் போது FRL பூட்டப்படும்.
• 3-லேன் பயன்முறையில், RX கோர் ஒவ்வொரு 680 FRL எழுத்து காலங்களுக்கும் குறைந்தது 3 முறை ஸ்க்ராம்ப்ளர் ரீசெட் (SR) அல்லது ஸ்டார்ட்-சூப்பர்-பிளாக் (SSB) பெறும்போது லேன் லாக் அடையப்படுகிறது.
• 4-லேன் பயன்முறையில், RX கோர் ஒவ்வொரு 510 FRL எழுத்து காலங்களுக்கும் குறைந்தது 3 முறை ஸ்க்ராம்ப்ளர் ரீசெட் (SR) அல்லது ஸ்டார்ட்-சூப்பர்-பிளாக் (SSB) பெறும்போது லேன் லாக் அடையப்படுகிறது.
rxcore_frl_ffe_levels வெளியீடு 4 RX மையத்தில் உள்ள SCDC 0x31 பதிவு பிட்டில் [7:4] FFE_level பிட்டுடன் தொடர்புடையது.
rxcore_frl_flt_ready உள்ளீடு 1 இணைப்பு பயிற்சி செயல்முறை தொடங்குவதற்கு RX தயாராக உள்ளது என்பதைக் குறிக்கும் உறுதிப்படுத்தல்கள். வலியுறுத்தப்படும் போது, ​​SCDC பதிவு 0x40 பிட் 6 இல் உள்ள FLT_ready பிட் வலியுறுத்தப்படுகிறது.
rxcore_frl_src_test_config உள்ளீடு 8 மூல சோதனை உள்ளமைவுகளைக் குறிப்பிடுகிறது. SCDC பதிவு 0x35 இல் உள்ள SCDC சோதனை உள்ளமைவு பதிவேட்டில் மதிப்பு எழுதப்பட்டுள்ளது.
rxcore_tbcr வெளியீடு 1 TMDS பிட் முதல் கடிகார விகிதத்தைக் குறிக்கிறது; SCDC பதிவு 0x20 பிட் 1 இல் உள்ள TMDS_Bit_Clock_Ratio பதிவேட்டிற்கு ஒத்திருக்கிறது.
• HDMI 2.0 பயன்முறையில் இயங்கும் போது, ​​இந்த பிட் வலியுறுத்தப்படுகிறது. 40:1 என்ற TMDS பிட் கடிகார விகிதத்தைக் குறிக்கிறது.
• HDMI 1.4b இல் இயங்கும் போது, ​​இந்த பிட் வலியுறுத்தப்படவில்லை. TMDS பிட் 10:1 கடிகார விகிதத்தைக் குறிக்கிறது.
• இந்த பிட் FRL பயன்முறையில் பயன்படுத்தப்படவில்லை.
rxcore_scrambler_enable வெளியீடு 1 பெறப்பட்ட தரவு துருவப்பட்டதா என்பதைக் குறிக்கிறது; SCDC பதிவேட்டில் 0x20 பிட் 0 இல் உள்ள Scrambling_Enable புலத்துடன் ஒத்துள்ளது.
rxcore_audio_de வெளியீடு 1 HDMI RX கோர் ஆடியோ இடைமுகங்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
rxcore_audio_data வெளியீடு 256
rxcore_audio_info_ai வெளியீடு 48
rxcore_audio_N வெளியீடு 20
rxcore_audio_CTS வெளியீடு 20
rxcore_audio_metadata வெளியீடு 165
rxcore_audio_format வெளியீடு 5
rxcore_aux_pkt_data வெளியீடு 72 HDMI RX மைய துணை இடைமுகங்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
rxcore_aux_pkt_addr வெளியீடு 6
rxcore_aux_pkt_wr வெளியீடு 1
rxcore_aux_data வெளியீடு 72
rxcore_aux_sop வெளியீடு 1
rxcore_aux_eop_ஆன்லைன் வெளியீடு 1
rxcore_aux_valid வெளியீடு 1
rxcore_aux_error வெளியீடு 1
rxcore_gcp வெளியீடு 6 HDMI RX கோர் சைடுபேண்ட் சிக்னல்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
rxcore_info_avi வெளியீடு 123
rxcore_info_vsi வெளியீடு 61
rxcore_locked வெளியீடு 1 HDMI RX கோர் வீடியோ போர்ட்கள்
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு பிக்சல்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
rxcore_vid_data வெளியீடு N*48
rxcore_vid_vsync வெளியீடு N
rxcore_vid_hsync வெளியீடு N
rxcore_vid_de வெளியீடு N
rxcore_vid_valid வெளியீடு 1
rxcore_vid_lock வெளியீடு 1
rxcore_mode வெளியீடு 1 HDMI RX கோர் கட்டுப்பாடு மற்றும் நிலை துறைமுகங்கள்.
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு சின்னங்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
rxcore_ctrl வெளியீடு N*6
rxcore_color_depth_sync வெளியீடு 2
hdmi_5v_dentect உள்ளீடு 1 HDMI RX 5V கண்டறிதல் மற்றும் ஹாட்பிளக் கண்டறிதல். பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
hdmi_rx_hpd வெளியீடு 1
rx_hpd_trigger உள்ளீடு 1
I2சி சிக்னல்கள்
hdmi_rx_i2c_sda உள்ளீடு 1 HDMI RX DDC மற்றும் SCDC இடைமுகம்.
hdmi_rx_i2c_scl உள்ளீடு 1
RX EDID ரேம் சிக்னல்கள்
எடிட்_ராம்_அணுகல் உள்ளீடு 1 HDMI RX EDID ரேம் அணுகல் இடைமுகம்.
எடிட்_ராம்_முகவரி உள்ளீடு 8 நீங்கள் EDID RAM இலிருந்து எழுத அல்லது படிக்க விரும்பும் போது edid_ram_access ஐ உறுதிப்படுத்தவும், இல்லையெனில் இந்த சமிக்ஞை குறைவாக இருக்க வேண்டும்.
நீங்கள் edid_ram_access ஐ உறுதிப்படுத்தும்போது, ​​ஹாட்பிளக் சிக்னல் EDID RAM இல் எழுத அல்லது படிக்க அனுமதிக்கும். EDID ரேம் அணுகல் முடிந்ததும், நீங்கள் edid_ram_assess ஐ நீக்க வேண்டும் மற்றும் ஹாட்பிளக் சமிக்ஞை உறுதிப்படுத்துகிறது. ஹாட்பிளக் சிக்னல் நிலைமாற்றம் காரணமாக புதிய EDIDஐ மூலமானது படிக்கும்.
எடிட்_ராம்_ரைட் உள்ளீடு 1
எடிட்_ராம்_படித்தேன் உள்ளீடு 1
எடிட்_ராம்_ரீட்டேட்டா வெளியீடு 8
எடிட்_ராம்_ரைட்டேட்டா உள்ளீடு 8
edid_ram_waitrequest வெளியீடு 1

அட்டவணை 18.HDMI TX உயர்நிலை சிக்னல்கள்

சிக்னல் திசை அகலம் விளக்கம்
கடிகாரம் மற்றும் சிக்னல்களை மீட்டமைக்கவும்
mgmt_clk உள்ளீடு 1 கணினி கடிகார உள்ளீடு (100 மெகா ஹெர்ட்ஸ்).
மீட்டமை உள்ளீடு 1 கணினி மீட்டமைப்பு உள்ளீடு.
tx_tmds_clk உள்ளீடு 1 HDMI RX TMDS கடிகாரம்.
txfpll_refclk1 உள்ளீடு 1 TX PLL குறிப்பு கடிகாரத்திற்கான கடிகார உள்ளீடு 1. கடிகார அதிர்வெண் 100 MHz ஆகும்.
tx_vid_clk வெளியீடு 1 வீடியோ கடிகார வெளியீடு.
tx_frl_clk வெளியீடு 1 FRL கடிகார வெளியீடு.
sys_init (sys_init) என்பது sys_init ஆகும். உள்ளீடு 1 பவர்-அப் போது கணினியை மீட்டமைக்க கணினி துவக்கம்.
tx_init_done உள்ளீடு 1 TX மறுகட்டமைப்பு மேலாண்மை தொகுதி மற்றும் டிரான்ஸ்ஸீவர் மறுகட்டமைப்பு இடைமுகத்தை மீட்டமைக்க TX துவக்கம்.
TX டிரான்ஸ்ஸீவர் மற்றும் IOPLL சிக்னல்கள்
txpll_frl_locked வெளியீடு 1 இணைப்பு வேகக் கடிகாரத்தைக் குறிக்கிறது மற்றும் FRL கடிகாரம் IOPLL பூட்டப்பட்டுள்ளது.
txfpll_locked வெளியீடு 1 TX PLL பூட்டப்பட்டிருப்பதைக் குறிக்கிறது.
txphy_serial_data வெளியீடு 4 TX Native PHY இலிருந்து HDMI தொடர் தரவு.
txphy_தயார் வெளியீடு 1 TX நேட்டிவ் PHY தயாராக இருப்பதைக் குறிக்கிறது.
txphy_cal_busy வெளியீடு 1 TX நேட்டிவ் PHY அளவுத்திருத்தம் பிஸி சிக்னல்.
txphy_cal_busy_raw வெளியீடு 4 டிரான்ஸ்ஸீவர் நடுவருக்கு அளவீடு பிஸியான சமிக்ஞை.
txphy_cal_busy_gated உள்ளீடு 4 டிரான்ஸ்ஸீவர் ஆர்பிட்டரிலிருந்து TX நேட்டிவ் PHY க்கு அளவீடு பிஸி சிக்னல்.
txphy_rcfg_busy வெளியீடு 1 TX PHY மறுசீரமைப்பு செயலில் உள்ளது என்பதைக் குறிக்கிறது.
txphy_rcfg_slave_write உள்ளீடு 4 டிரான்ஸ்ஸீவர் மறுகட்டமைப்பு TX Native PHY இலிருந்து டிரான்ஸ்ஸீவர் ஆர்பிட்டருக்கு Avalon நினைவக-மேப் செய்யப்பட்ட இடைமுகம்.
txphy_rcfg_slave_read உள்ளீடு 4
txphy_rcfg_slave_address உள்ளீடு 40
txphy_rcfg_slave_writeddata உள்ளீடு 128
txphy_rcfg_slave_readdata வெளியீடு 128
txphy_rcfg_slave_waitrequest வெளியீடு 4
TX மறுசீரமைப்பு மேலாண்மை
tx_tmds_freq உள்ளீடு 24 HDMI TX TMDS கடிகார அதிர்வெண் மதிப்பு (10 ms இல்).
tx_os வெளியீடு 2 ஓவர்கள்ampலிங்க் காரணி:
• 0: 1x ஓவர்கள்ampலிங்
•1: 2× ஓவர்கள்ampலிங்
•2: 8x ஓவர்கள்ampலிங்
txphy_rcfg_master_write வெளியீடு 1 TX மறுகட்டமைப்பு மேலாண்மை Avalon நினைவகம்-வரைபடப்பட்ட இடைமுகம் to transceiver arbiter.
txphy_rcfg_master_read வெளியீடு 1
txphy_rcfg_master_address வெளியீடு 12
txphy_rcfg_master_writedata வெளியீடு 32
txphy_rcfg_master_readdata உள்ளீடு 32
txphy_rcfg_master_waitrequest உள்ளீடு 1
tx_reconfig_done வெளியீடு 1 TX மறுகட்டமைப்பு செயல்முறை முடிந்தது என்பதைக் குறிக்கிறது.
HDMI TX கோர் சிக்னல்கள்
tx_vid_clk_locked உள்ளீடு 1 vid_clk நிலையானது என்பதைக் குறிக்கிறது.
txcore_ctrl உள்ளீடு N*6 HDMI TX மைய கட்டுப்பாட்டு இடைமுகங்கள்.
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு பிக்சல்கள்
பார்க்கவும் மூல இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
txcore_mode உள்ளீடு 1
txcore_audio_de உள்ளீடு 1 HDMI TX கோர் ஆடியோ இடைமுகங்கள்.
பார்க்கவும் மூல இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
txcore_audio_mute உள்ளீடு 1
txcore_audio_data உள்ளீடு 256
txcore_audio_info_ai உள்ளீடு 49
txcore_audio_N உள்ளீடு 20
txcore_audio_CTS உள்ளீடு 20
txcore_audio_metadata உள்ளீடு 166
txcore_audio_format உள்ளீடு 5
txcore_aux_ready வெளியீடு 1 HDMI TX மைய துணை இடைமுகங்கள்.
பார்க்கவும் மூல இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
txcore_aux_data உள்ளீடு 72
txcore_aux_sop உள்ளீடு 1
txcore_aux_eop உள்ளீடு 1
txcore_aux_valid உள்ளீடு 1
txcore_gcp உள்ளீடு 6 HDMI TX கோர் சைட்பேண்ட் சிக்னல்கள்.
பார்க்கவும் மூல இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
txcore_info_avi உள்ளீடு 123
txcore_info_vsi உள்ளீடு 62
txcore_i2c_master_write உள்ளீடு 1 TX I2C மாஸ்டர் Avalon நினைவக-மேப் செய்யப்பட்ட இடைமுகம் TX மையத்திற்குள் I2C மாஸ்டருக்கு.
குறிப்பு: நீங்கள் இயக்கினால் மட்டுமே இந்த சிக்னல்கள் கிடைக்கும் I2C ஐ சேர்க்கவும் அளவுரு.
txcore_i2c_master_read உள்ளீடு 1
txcore_i2c_master_address உள்ளீடு 4
txcore_i2c_master_writedata உள்ளீடு 32
txcore_i2c_master_readdata வெளியீடு 32
txcore_vid_data உள்ளீடு N*48 HDMI TX கோர் வீடியோ போர்ட்கள்.
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு பிக்சல்கள்Ref
எர் வேண்டும் மூல இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
txcore_vid_vsync உள்ளீடு N
txcore_vid_hsync உள்ளீடு N
txcore_vid_de உள்ளீடு N
txcore_vid_ready வெளியீடு 1
txcore_vid_overflow வெளியீடு 1
txcore_vid_valid உள்ளீடு 1
txcore_frl_rate உள்ளீடு 4 SCDC பதிவு இடைமுகங்கள்.
txcore_frl_pattern உள்ளீடு 16
txcore_frl_start உள்ளீடு 1
txcore_scrambler_enable உள்ளீடு 1
txcore_tbcr உள்ளீடு 1
I2சி சிக்னல்கள்
nios_tx_i2c_sda_in வெளியீடு 1 SCDC மற்றும் DDC க்கான TX I2C முதன்மை இடைமுகம் Nios II செயலியிலிருந்து வெளியீட்டு இடையகத்திற்கு.
குறிப்பு: நீங்கள் இயக்கினால் I2C ஐ சேர்க்கவும் அளவுரு, இந்த சிக்னல்கள் TX மையத்திற்குள் வைக்கப்படும் மற்றும் இந்த மட்டத்தில் காணப்படாது.
nios_tx_i2c_scl_in வெளியீடு 1
nios_tx_i2c_sda_oe உள்ளீடு 1
nios_tx_i2c_scl_oe உள்ளீடு 1
nios_ti_i2c_sda_in வெளியீடு 1 Bitec HDMI 2 FMC மகள் கார்டில் TI ரீட்ரைவரைக் கட்டுப்படுத்த நியோஸ் II செயலியிலிருந்து வெளியீட்டு இடையகத்திற்கு TX I2.1C மாஸ்டர் இடைமுகம்.
nios_ti_i2c_scl_in வெளியீடு 1
nios_ti_i2c_sda_oe உள்ளீடு 1
nios_ti_i2c_scl_oe உள்ளீடு 1
hdmi_tx_i2c_sda உள்ளீடு 1 வெளியீட்டு இடையகத்திலிருந்து HDMI TX இணைப்பிற்கு SCDC மற்றும் DDC இடைமுகங்களுக்கான TX I2C இடைமுகங்கள்.
hdmi_tx_i2c_scl உள்ளீடு 1
hdmi_tx_ti_i2c_sda உள்ளீடு 1 Bitec HDMI 2 FMC மகள் கார்டில் அவுட்புட் பஃபரிலிருந்து TI ரீட்ரைவருக்கு TX I2.1C இடைமுகங்கள்.
hdmi_tx_ti_i2c_scl உள்ளீடு 1
tx_hpd_req வெளியீடு 1 HDMI TX ஹாட்பிளக் கண்டறிதல் இடைமுகங்கள்.
hdmi_tx_hpd_n உள்ளீடு 1

அட்டவணை 19. டிரான்ஸ்ஸீவர் ஆர்பிட்டர் சிக்னல்கள்

சிக்னல் திசை அகலம்

விளக்கம்

clk உள்ளீடு 1 மறுசீரமைப்பு கடிகாரம். இந்த கடிகாரம் அதே கடிகாரத்தை மறுகட்டமைப்பு மேலாண்மை தொகுதிகளுடன் பகிர்ந்து கொள்ள வேண்டும்.
மீட்டமை உள்ளீடு 1 சமிக்ஞையை மீட்டமைக்கவும். இந்த மீட்டமைப்பு அதே மீட்டமைப்பை மறுகட்டமைப்பு மேலாண்மை தொகுதிகளுடன் பகிர்ந்து கொள்ள வேண்டும்.
rx_rcfg_en உள்ளீடு 1 RX மறுகட்டமைப்பு சமிக்ஞையை செயல்படுத்துகிறது.
tx_rcfg_en உள்ளீடு 1 TX மறுகட்டமைப்பு சமிக்ஞையை செயல்படுத்துகிறது.
rx_rcfg_ch உள்ளீடு 2 RX மையத்தில் எந்த சேனலை மறுகட்டமைக்க வேண்டும் என்பதைக் குறிக்கிறது. இந்த சமிக்ஞை எப்போதும் உறுதியாக இருக்க வேண்டும்.
tx_rcfg_ch உள்ளீடு 2 TX மையத்தில் எந்த சேனலை மறுகட்டமைக்க வேண்டும் என்பதைக் குறிக்கிறது. இந்த சமிக்ஞை எப்போதும் உறுதியாக இருக்க வேண்டும்.
rx_reconfig_mgmt_write உள்ளீடு 1 மறுகட்டமைப்பு Avalon நினைவகம்-வரைபடப்பட்ட இடைமுகங்கள் RX மறுகட்டமைப்பு நிர்வாகத்திலிருந்து.
rx_reconfig_mgmt_read உள்ளீடு 1
rx_reconfig_mgmt_address உள்ளீடு 10
rx_reconfig_mgmt_writedata உள்ளீடு 32
rx_reconfig_mgmt_readdata வெளியீடு 32
rx_reconfig_mgmt_waitrequest வெளியீடு 1
tx_reconfig_mgmt_எழுது உள்ளீடு 1 மறுகட்டமைப்பு Avalon நினைவக-வரைபடப்பட்ட இடைமுகங்கள் TX மறுகட்டமைப்பு நிர்வாகத்திலிருந்து.
tx_reconfig_mgmt_read உள்ளீடு 1
tx_reconfig_mgmt_address உள்ளீடு 10
tx_reconfig_mgmt_writedata உள்ளீடு 32
tx_reconfig_mgmt_readdata வெளியீடு 32
tx_reconfig_mgmt_waitrequest வெளியீடு 1
reconfig_write வெளியீடு 1 மறுசீரமைப்பு Avalon நினைவகம்-வரைபடப்பட்ட இடைமுகங்களை டிரான்ஸ்ஸீவருக்கு.
reconfig_read வெளியீடு 1
reconfig_address வெளியீடு 10
reconfig_writedata (எழுத்துத் தரவு) வெளியீடு 32
rx_reconfig_readdata உள்ளீடு 32
rx_reconfig_waitrequest (காத்திருப்பு கோரிக்கை) உள்ளீடு 1
tx_reconfig_readdata ஐப் படிக்கவும் உள்ளீடு 1
tx_reconfig_waitrequest உள்ளீடு 1
rx_cal_busy உள்ளீடு 1 RX டிரான்ஸ்ஸீவரில் இருந்து அளவுத்திருத்த நிலை சமிக்ஞை.
tx_cal_busy உள்ளீடு 1 TX டிரான்ஸ்ஸீவரில் இருந்து அளவுத்திருத்த நிலை சமிக்ஞை.
rx_reconfig_cal_busy வெளியீடு 1 RX டிரான்ஸ்ஸீவர் PHY மீட்டமைப்பு கட்டுப்பாட்டிற்கு அளவுத்திருத்த நிலை சமிக்ஞை.
tx_reconfig_cal_busy வெளியீடு 1 TX டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலில் இருந்து அளவுத்திருத்த நிலை சமிக்ஞை.

அட்டவணை 20. RX-TX இணைப்பு சமிக்ஞைகள்

சிக்னல் திசை அகலம்

விளக்கம்

vid_clk உள்ளீடு 1 HDMI வீடியோ கடிகாரம்.
rx_vid_lock உள்ளீடு 3 HDMI RX வீடியோ பூட்டு நிலையைக் குறிக்கிறது.
rx_vid_valid உள்ளீடு 1 HDMI RX வீடியோ இடைமுகங்கள்.
rx_vid_de உள்ளீடு N
rx_vid_hsync உள்ளீடு N
rx_vid_vsync உள்ளீடு N
rx_vid_data உள்ளீடு N*48
rx_aux_eop உள்ளீடு 1 HDMI RX துணை இடைமுகங்கள்.
rx_aux_sop உள்ளீடு 1
rx_aux_valid உள்ளீடு 1
rx_aux_data உள்ளீடு 72
tx_vid_de வெளியீடு N HDMI TX வீடியோ இடைமுகங்கள்.
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு பிக்சல்கள்
tx_vid_hsync வெளியீடு N
tx_vid_vsync வெளியீடு N
tx_vid_data வெளியீடு N*48
tx_vid_valid வெளியீடு 1
tx_vid_read உள்ளீடு 1
tx_aux_eop வெளியீடு 1 HDMI TX துணை இடைமுகங்கள்.
tx_aux_sop வெளியீடு 1
tx_aux_valid வெளியீடு 1
tx_aux_data வெளியீடு 72
tx_aux_ready உள்ளீடு 1

அட்டவணை 21. பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம் சிக்னல்கள்

சிக்னல் திசை அகலம்

விளக்கம்

cpu_clk_in_clk_clk உள்ளீடு 1 CPU கடிகாரம்.
cpu_rst_in_reset_reset உள்ளீடு 1 CPU மீட்டமைப்பு.
edid_ram_slave_translator_avalon_anti_slave_0_address வெளியீடு 8 EDID RAM அணுகல் இடைமுகங்கள்.
edid_ram_slave_translator_avalon_anti_slave_0_write வெளியீடு 1
edid_ram_slave_translator_avalon_anti_slave_0_read வெளியீடு 1
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_அவலோன்_எதிர்ப்பு_ஸ்லேவ்_0_ரீட்டேட்டா உள்ளீடு 8
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_அவலோன்_எதிர்ப்பு_ஸ்லேவ்_0_எழுது தரவு வெளியீடு 8
edid_ram_slave_translator_avalon_anti_slave_0_waitrequest உள்ளீடு 1
hdmi_i2c_master_i2c_serial_sda_in உள்ளீடு 1 I2C மாஸ்டர் இடைமுகங்கள் Nios II செயலியிலிருந்து DDC மற்றும் SCDC கட்டுப்பாட்டுக்கான வெளியீட்டு இடையகத்திற்கு.
hdmi_i2c_master_i2c_serial_scl_in உள்ளீடு 1
hdmi_i2c_master_i2c_serial_sda_oe வெளியீடு 1
hdmi_i2c_master_i2c_serial_scl_oe வெளியீடு 1
மறு இயக்கி_i2c_master_i2c_serial_sda_in உள்ளீடு 1 I2C மாஸ்டர் இடைமுகங்கள் Nios II செயலியிலிருந்து TI redriver அமைப்பு உள்ளமைவுக்கான வெளியீட்டு இடையகத்திற்கு.
reddriver_i2c_master_i2c_serial_scl_in உள்ளீடு 1
redriver_i2c_master_i2c_serial_sda_oe வெளியீடு 1
reddriver_i2c_master_i2c_serial_scl_oe வெளியீடு 1
pio_in0_external_connection_export உள்ளீடு 32 இணை உள்ளீட்டு வெளியீட்டு இடைமுகங்கள்.
• பிட் 0: EDID பாஸ்த்ரூ பயன்முறையைக் கட்டுப்படுத்த user_dipsw சிக்னலுடன் இணைக்கப்பட்டது.
•பிட் 1: TX HPD கோரிக்கை
•பிட் 2: TX டிரான்ஸ்ஸீவர் தயார்
•பிட்கள் 3: TX மறுகட்டமைப்பு முடிந்தது
•பிட்கள் 4–7: ஒதுக்கப்பட்டது
• பிட்கள் 8–11: RX FRL விகிதம்
• பிட் 12: RX TMDS பிட் கடிகார விகிதம்
• பிட்கள் 13–16: RX FRL பூட்டப்பட்டது
• பிட்கள் 17–20: RX FFE நிலைகள்
• பிட் 21: RX சீரமைப்பு பூட்டப்பட்டது
சிக்னல் திசை அகலம் விளக்கம்
•பிட் 22: RX வீடியோ பூட்டு
• பிட் 23: வெளிப்புற சிங்கிலிருந்து SCDC பதிவேடுகளைப் படிக்க பயனர் புஷ் பொத்தான் 2
•பிட்கள் 24–31: ஒதுக்கப்பட்டது
pio_out0_external_connection_export வெளியீடு 32 இணை உள்ளீட்டு வெளியீட்டு இடைமுகங்கள்.
•பிட் 0: TX HPD ஒப்புகை
•பிட் 1: TX துவக்கம் முடிந்தது
• பிட்கள் 2–7: ஒதுக்கப்பட்டது
• பிட்கள் 8–11: TX FRL விகிதம்
•பிட்ஸ் 12–27: TX FRL இணைப்பு பயிற்சி முறை
• பிட் 28: TX FRL தொடக்கம்
• பிட்கள் 29–31: ஒதுக்கப்பட்டது
pio_out1_external_connection_export வெளியீடு 32 இணை உள்ளீட்டு வெளியீட்டு இடைமுகங்கள்.
• பிட் 0: RX EDID ரேம் அணுகல்
• பிட் 1: RX FLT தயார்
• பிட்கள் 2–7: ஒதுக்கப்பட்டது
• பிட்கள் 8–15: RX FRL மூல சோதனை உள்ளமைவு
•பிட்கள் 16–31: ஒதுக்கப்பட்டது

2.1 1. RTL அளவுருக்களை வடிவமைக்கவும்
வடிவமைப்பைத் தனிப்பயனாக்க HDMI TX மற்றும் RX டாப் RTL அளவுருக்களைப் பயன்படுத்தவும்ampலெ.
பெரும்பாலான வடிவமைப்பு அளவுருக்கள் கிடைக்கின்றன வடிவமைப்பு முன்னாள்ample HDMI இன்டெல் FPGA IP அளவுரு எடிட்டரின் தாவல். நீங்கள் இன்னும் வடிவமைப்பை முன்னாள் மாற்றலாம்ampRTL அளவுருக்கள் மூலம் அளவுரு எடிட்டரில் நீங்கள் செய்த அமைப்புகளை.
அட்டவணை 22. HDMI RX சிறந்த அளவுருக்கள்

அளவுரு

மதிப்பு

விளக்கம்

ஆதரவு_டீப்_வண்ணம் • 0: ஆழமான நிறம் இல்லை
• : ஆழமான நிறம்
மையமானது ஆழமான வண்ண வடிவங்களை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
SUPPORT_AUXILIARY • 0: AUX இல்லை
•1: AUX
துணை சேனல் குறியாக்கம் சேர்க்கப்பட்டுள்ளதா என்பதை தீர்மானிக்கிறது.
SYMBOLS_PER_CLOCK 8 Intel Arria 8 சாதனங்களுக்கு ஒரு கடிகாரத்திற்கு 10 சின்னங்களை ஆதரிக்கிறது.
SUPPORT_AUDIO • 0: ஆடியோ இல்லை
• 1: ஆடியோ
கோர் ஆடியோவை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
EDID_RAM_ADDR_WIDTH 8 (இயல்புநிலை மதிப்பு) EDID RAM அளவின் அடிப்படை 2 பதிவு.
BITEC_மகள்_கார்டு_REV •0: எந்த Bitec HDMI மகள் கார்டையும் குறிவைக்கவில்லை
•4: Bitec HDMI மகள் அட்டை திருத்தத்தை ஆதரிக்கிறது 4
•6: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 6
• 11: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 11 (இயல்புநிலை)
பயன்படுத்தப்படும் Bitec HDMI மகள் அட்டையின் திருத்தத்தைக் குறிப்பிடுகிறது. திருத்தத்தை மாற்றும்போது, ​​வடிவமைப்பு Bitec HDMI மகள் அட்டை தேவைகளுக்கு ஏற்ப டிரான்ஸ்ஸீவர் சேனல்களை மாற்றி துருவமுனைப்பை மாற்றக்கூடும். நீங்கள் BITEC_DAUGHTER_CARD_REV அளவுருவை 0 ஆக அமைத்தால், வடிவமைப்பு டிரான்ஸ்ஸீவர் சேனல்கள் மற்றும் துருவமுனைப்பில் எந்த மாற்றங்களையும் செய்யாது.
POLARITY_INVERSION • 0: தலைகீழ் துருவமுனைப்பு
• 1: துருவமுனைப்பை தலைகீழாக மாற்ற வேண்டாம்
உள்ளீட்டுத் தரவின் ஒவ்வொரு பிட்டின் மதிப்பையும் மாற்ற, இந்த அளவுருவை 1 ஆக அமைக்கவும். இந்த அளவுருவை 1 ஆக அமைப்பது RX டிரான்ஸ்ஸீவரின் rx_polinv போர்ட்டிற்கு 4'b1111 ஐ ஒதுக்குகிறது.

அட்டவணை 23. HDMI TX மேல் அளவுருக்கள்

அளவுரு

மதிப்பு

விளக்கம்

USE_FPLL 1 Intel Arria 10 சாதனங்களுக்கு மட்டும் fPLL ஐ TX PLL ஆக ஆதரிக்கிறது. இந்த அளவுருவை எப்போதும் 1 ஆக அமைக்கவும்.
ஆதரவு_டீப்_வண்ணம் •0: ஆழமான நிறம் இல்லை

• 1: ஆழமான நிறம்

மையமானது ஆழமான வண்ண வடிவங்களை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
SUPPORT_AUXILIARY • 0: AUX இல்லை
• 1: AUX
துணை சேனல் குறியாக்கம் சேர்க்கப்பட்டுள்ளதா என்பதை தீர்மானிக்கிறது.
SYMBOLS_PER_CLOCK 8 Intel Arria 8 சாதனங்களுக்கு ஒரு கடிகாரத்திற்கு 10 சின்னங்களை ஆதரிக்கிறது.
SUPPORT_AUDIO • 0: ஆடியோ இல்லை
• 1: ஆடியோ
கோர் ஆடியோவை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
BITEC_மகள்_கார்டு_REV • 0: எந்த Bitec HDMI மகள் கார்டையும் குறிவைக்கவில்லை
• 4: Bitec HDMI மகள் அட்டை திருத்தத்தை ஆதரிக்கிறது 4
• 6: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 6
• 11: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 11 (இயல்புநிலை)
பயன்படுத்தப்படும் Bitec HDMI மகள் அட்டையின் திருத்தத்தைக் குறிப்பிடுகிறது. திருத்தத்தை மாற்றும்போது, ​​வடிவமைப்பு Bitec HDMI மகள் அட்டை தேவைகளுக்கு ஏற்ப டிரான்ஸ்ஸீவர் சேனல்களை மாற்றி துருவமுனைப்பை மாற்றக்கூடும். நீங்கள் BITEC_DAUGHTER_CARD_REV அளவுருவை 0 ஆக அமைத்தால், வடிவமைப்பு டிரான்ஸ்ஸீவர் சேனல்கள் மற்றும் துருவமுனைப்பில் எந்த மாற்றங்களையும் செய்யாது.
POLARITY_INVERSION • 0: தலைகீழ் துருவமுனைப்பு
• 1: துருவமுனைப்பை தலைகீழாக மாற்ற வேண்டாம்
உள்ளீட்டுத் தரவின் ஒவ்வொரு பிட்டின் மதிப்பையும் மாற்ற, இந்த அளவுருவை 1 ஆக அமைக்கவும். இந்த அளவுருவை 1 ஆக அமைப்பது TX டிரான்ஸ்ஸீவரின் tx_polinv போர்ட்டிற்கு 4'b1111 ஐ ஒதுக்குகிறது.

2.12. வன்பொருள் அமைப்பு
HDMI FRL-இயக்கப்பட்ட வடிவமைப்பு முன்னாள்ample ஆனது HDMI 2.1 திறன் கொண்டது மற்றும் நிலையான HDMI வீடியோ ஸ்ட்ரீமிற்கான ஒரு லூப்த்ரூ ஆர்ப்பாட்டத்தை செய்கிறது.
வன்பொருள் சோதனையை இயக்க, HDMI-இயக்கப்பட்ட சாதனத்தை—HDMI இடைமுகத்துடன் கூடிய கிராபிக்ஸ் கார்டு போன்றவற்றை—HDMI சிங்க் உள்ளீட்டுடன் இணைக்கவும். வடிவமைப்பு HDMI 2.1 அல்லது HDMI 2.0/1.4b ஆதாரம் மற்றும் சிங்க் இரண்டையும் ஆதரிக்கிறது.

  1. HDMI சிங்க் போர்ட்டை ஒரு நிலையான வீடியோ ஸ்ட்ரீமில் டிகோட் செய்து கடிகார மீட்பு மையத்திற்கு அனுப்புகிறது.
  2. HDMI RX கோர் DCFIFO மூலம் HDMI TX மையத்திற்கு இணையாக மீண்டும் லூப் செய்யப்பட வேண்டிய வீடியோ, துணை மற்றும் ஆடியோ தரவை டிகோட் செய்கிறது.
  3. FMC மகள் கார்டின் HDMI மூல போர்ட் படத்தை ஒரு மானிட்டருக்கு அனுப்புகிறது.

குறிப்பு:
நீங்கள் மற்றொரு Intel FPGA டெவலப்மெண்ட் போர்டைப் பயன்படுத்த விரும்பினால், சாதனப் பணிகள் மற்றும் பின் அசைன்மென்ட்களை மாற்ற வேண்டும். டிரான்ஸ்ஸீவர் அனலாக் அமைப்பு Intel Arria 10 FPGA டெவலப்மெண்ட் கிட் மற்றும் Bitec HDMI 2.1 மகள் கார்டுக்காக சோதிக்கப்பட்டது. உங்கள் சொந்த பலகைக்கான அமைப்புகளை நீங்கள் மாற்றலாம்.
அட்டவணை 24. ஆன்-போர்டு புஷ் பட்டன் மற்றும் பயனர் LED செயல்பாடுகள்

புஷ் பட்டன்/எல்இடி

செயல்பாடு

cpu_resetn கணினியை மீட்டமைக்க ஒருமுறை அழுத்தவும்.
user_dipsw பாஸ்த்ரூ பயன்முறையை மாற்ற பயனர் வரையறுக்கப்பட்ட டிஐபி சுவிட்ச்.
• OFF (இயல்புநிலை நிலை) = கடந்து செல்லும்
FPGA இல் உள்ள HDMI RX ஆனது வெளிப்புற சிங்கிலிருந்து EDID ஐப் பெற்று, அது இணைக்கப்பட்டுள்ள வெளிப்புற மூலத்திற்கு வழங்குகிறது.
• ஆன் = நீங்கள் நியோஸ் II டெர்மினலில் இருந்து RX அதிகபட்ச FRL விகிதத்தை கட்டுப்படுத்தலாம். கட்டளை அதிகபட்ச FRL வீத மதிப்பைக் கையாளுவதன் மூலம் RX EDID ஐ மாற்றியமைக்கிறது.
வெவ்வேறு FRL விகிதங்களை அமைப்பது பற்றிய கூடுதல் தகவலுக்கு, பக்கம் 33 இல் வெவ்வேறு FRL விகிதங்களில் வடிவமைப்பை இயக்குவதைப் பார்க்கவும்.
user_pb[0] HPD சிக்னலை நிலையான HDMI மூலத்திற்கு மாற்ற ஒருமுறை அழுத்தவும்.
user_pb[1] ஒதுக்கப்பட்டது.
user_pb[2] Bitec HDMI 2.1 FMC மகள் கார்டின் TX உடன் இணைக்கப்பட்ட சிங்கில் இருந்து SCDC பதிவேடுகளைப் படிக்க ஒருமுறை அழுத்தவும்.
குறிப்பு: வாசிப்பை இயக்க, மென்பொருளில் DEBUG_MODE ஐ 1 ஆக அமைக்க வேண்டும்.
USER_LED[0] RX TMDS கடிகாரம் PLL பூட்டு நிலை.
•0 = திறக்கப்பட்டது
• 1 = பூட்டப்பட்டது
USER_LED[1] RX டிரான்ஸ்ஸீவர் தயார் நிலை.
•0 = தயாராக இல்லை
• 1 = தயார்
USER_LED[2] RX இணைப்பு வேக கடிகாரம் PLL, மற்றும் RX வீடியோ மற்றும் FRL கடிகாரம் PLL பூட்டு நிலை.
• 0 = RX கடிகாரம் PLL இல் ஏதேனும் ஒன்று திறக்கப்பட்டது
• 1 = இரண்டு RX கடிகார PLLகளும் பூட்டப்பட்டுள்ளன.
USER_LED[3] RX HDMI கோர் சீரமைப்பு மற்றும் டெஸ்க்யூ பூட்டு நிலை.
• 0 = குறைந்தது 1 சேனல் திறக்கப்பட்டது
• 1 = அனைத்து சேனல்களும் பூட்டப்பட்டுள்ளன
USER_LED[4] RX HDMI வீடியோ பூட்டு நிலை.
• 0 = திறக்கப்பட்டது
• 1 = பூட்டப்பட்டது
USER_LED[5] TX இணைப்பு வேக கடிகாரம் PLL, மற்றும் TX வீடியோ மற்றும் FRL கடிகாரம் PLL பூட்டு நிலை.
•0 = TX கடிகாரம் PLL இல் ஏதேனும் ஒன்று திறக்கப்பட்டது
• 1 = TX கடிகார PLLகள் இரண்டும் பூட்டப்பட்டுள்ளன
USER_LED[6] USER_LED[7] TX டிரான்ஸ்ஸீவர் தயார் நிலை.
• 0 = தயாராக இல்லை
• 1 = தயார்
TX இணைப்பு பயிற்சி நிலை.
• 0 = தோல்வி
• 1 = தேர்ச்சி

2.13 சிமுலேஷன் டெஸ்ட்பெஞ்ச்
சிமுலேஷன் டெஸ்ட்பெஞ்ச் HDMI TX சீரியல் லூப்பேக்கை RX மையத்திற்கு உருவகப்படுத்துகிறது.
குறிப்பு:
இந்த சிமுலேஷன் டெஸ்ட்பெஞ்ச், Include I2C அளவுரு இயக்கப்பட்ட வடிவமைப்புகளுக்கு ஆதரவளிக்காது.
படம் 19. HDMI இன்டெல் FPGA ஐபி சிமுலேஷன் டெஸ்ட்பெஞ்ச் பிளாக் வரைபடம்intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 2அட்டவணை 25. டெஸ்ட்பெஞ்ச் கூறுகள்

கூறு

விளக்கம்

வீடியோ TPG வீடியோ சோதனை முறை ஜெனரேட்டர் (TPG) வீடியோ தூண்டுதலை வழங்குகிறது.
ஆடியோ எஸ்ampலெ ஜெனரல் ஆடியோ எஸ்ample ஜெனரேட்டர் ஆடியோவை வழங்குகிறதுample தூண்டுதல். ஜெனரேட்டர், ஆடியோ சேனல் மூலம் கடத்தப்படும் சோதனை தரவு வடிவத்தை அதிகரிக்கும்.
ஆக்ஸ் எஸ்ampலெ ஜெனரல் துணை எஸ்ample ஜெனரேட்டர் துணை s ஐ வழங்குகிறதுample தூண்டுதல். ஜெனரேட்டர் டிரான்ஸ்மிட்டரிலிருந்து அனுப்பப்பட வேண்டிய நிலையான தரவை உருவாக்குகிறது.
CRC சோதனை TX டிரான்ஸ்ஸீவர் மீட்டெடுக்கப்பட்ட கடிகார அதிர்வெண் விரும்பிய தரவு விகிதத்துடன் பொருந்துகிறதா என்பதை இந்த சரிபார்ப்பு சரிபார்க்கிறது.
ஆடியோ தரவு சோதனை ஆடியோ தரவுச் சரிபார்ப்பு, அதிகரிக்கும் சோதனைத் தரவு முறை சரியாகப் பெறப்பட்டு டிகோட் செய்யப்பட்டதா என்பதை ஒப்பிடுகிறது.
Aux தரவு சோதனை aux தரவு சரிபார்ப்பு எதிர்பார்க்கப்படும் aux தரவு பெறப்பட்டதா மற்றும் பெறுநரின் பக்கத்தில் சரியாக டிகோட் செய்யப்பட்டதா என்பதை ஒப்பிடுகிறது.

HDMI சிமுலேஷன் டெஸ்ட்பெஞ்ச் பின்வரும் சரிபார்ப்பு சோதனைகளை செய்கிறது:

HDMI அம்சம்

சரிபார்ப்பு

வீடியோ தரவு • டெஸ்ட்பெஞ்ச் உள்ளீடு மற்றும் வெளியீட்டு வீடியோவில் CRC சரிபார்ப்பை செயல்படுத்துகிறது.
• பெறப்பட்ட வீடியோ தரவில் கணக்கிடப்பட்ட CRCக்கு எதிராக அனுப்பப்பட்ட தரவின் CRC மதிப்பை இது சரிபார்க்கிறது.
• ரிசீவரிலிருந்து 4 நிலையான V-SYNC சிக்னல்களைக் கண்டறிந்த பிறகு, சோதனைப் பெஞ்ச் சரிபார்ப்பைச் செய்கிறது.
துணை தரவு • ஆக்ஸ் எஸ்ample ஜெனரேட்டர் டிரான்ஸ்மிட்டரிலிருந்து அனுப்பப்பட வேண்டிய நிலையான தரவை உருவாக்குகிறது.
• பெறுநரின் பக்கத்தில், ஜெனரேட்டர் எதிர்பார்க்கப்படும் துணைத் தரவு சரியாகப் பெறப்பட்டு டிகோட் செய்யப்பட்டதா என்பதை ஒப்பிடுகிறது.
ஆடியோ தரவு •ஆடியோ எஸ்ample ஜெனரேட்டர், ஆடியோ சேனல் மூலம் அனுப்பப்படும் ஒரு அதிகரிக்கும் சோதனை தரவு வடிவத்தை உருவாக்குகிறது.
• ரிசீவர் பக்கத்தில், ஆடியோ டேட்டா செக்கர், அதிகரிக்கும் சோதனை தரவு முறை பெறப்பட்டு சரியாக டிகோட் செய்யப்பட்டுள்ளதா என்பதை சரிபார்த்து ஒப்பிடுகிறது.

வெற்றிகரமான உருவகப்படுத்துதல் பின்வரும் செய்தியுடன் முடிவடைகிறது:
# SYMBOLS_PER_CLOCK = 2
# விஐசி = 4
# FRL_RATE = 0
# பிபிபி = 0
# AUDIO_FREQUENCY (kHz) = 48
# ஆடியோ_சேனல் = 8
# உருவகப்படுத்துதல் பாஸ்
அட்டவணை 26. HDMI இன்டெல் FPGA IP வடிவமைப்பு Example ஆதரிக்கப்படும் சிமுலேட்டர்கள்

சிமுலேட்டர்

வெரிலாக் எச்.டி.எல்

வி.எச்.டி.எல்

ModelSim – Intel FPGA பதிப்பு/ ModelSim – Intel FPGA ஸ்டார்டர் பதிப்பு ஆம் ஆம்
VCS/VCS MX ஆம் ஆம்
ரிவியரா-பிஆர்ஓ ஆம் ஆம்
Xcelium இணை ஆம் இல்லை

2.14. வடிவமைப்பு வரம்புகள்
HDMI 2.1 வடிவமைப்பை நிறுவும் போது சில வரம்புகளை நீங்கள் கருத்தில் கொள்ள வேண்டும்ampலெ.

  • பாஸ்த்ரூ அல்லாத பயன்முறையில் TX ஆனது TMDS பயன்முறையில் செயல்பட முடியாது. TMDS பயன்முறையில் சோதிக்க, user_dipsw சுவிட்சை மீண்டும் பாஸ்த்ரூ பயன்முறைக்கு மாற்றவும்.
  • Nios II செயலி TX இணைப்புப் பயிற்சியை மற்ற செயல்முறைகளில் இருந்து எந்த இடையூறும் இல்லாமல் நிறைவு செய்ய வேண்டும்.

2.15. பிழைத்திருத்த அம்சங்கள்
இந்த வடிவமைப்பு முன்னாள்ample உங்களுக்கு உதவ சில பிழைத்திருத்த அம்சங்களை வழங்குகிறது.
2.15.1. மென்பொருள் பிழைத்திருத்த செய்தி
ரன்-டைம் உதவியை வழங்க, மென்பொருளில் பிழைத்திருத்த செய்தியை இயக்கலாம்.
மென்பொருளில் பிழைத்திருத்த செய்தியை இயக்க, இந்தப் படிகளைப் பின்பற்றவும்:

  1. குளோபல்.எச் ஸ்கிரிப்ட்டில் DEBUG_MODE ஐ 1 ஆக மாற்றவும்.
  2. Nios II கட்டளை ஷெல்லில் script/build_sw.sh ஐ இயக்கவும்.
  3. உருவாக்கப்பட்ட மென்பொருளை/tx_control/tx_control.elf ஐ மீண்டும் நிரல் செய்யவும் file Nios II கட்டளை ஷெல்லில் கட்டளையை இயக்குவதன் மூலம்:
    nios2-download -r -g மென்பொருள்/tx_control/tx_control.elf
  4. Nios II கட்டளை ஷெல்லில் Nios II முனைய கட்டளையை இயக்கவும்:
    nios2-டெர்மினல்

பிழைத்திருத்த செய்தியை இயக்கும்போது, ​​பின்வரும் தகவல்கள் அச்சிடப்படும்:

  • TX மற்றும் RX இரண்டிலும் உள்ள TI ரீட்ரைவர் அமைப்புகள் ELF நிரலாக்கத்திற்குப் பிறகு ஒருமுறை படித்து காட்டப்படும் file.
  • RX EDID உள்ளமைவு மற்றும் ஹாட்பிளக் செயல்முறைக்கான நிலை செய்தி
  • TX உடன் இணைக்கப்பட்ட சின்க்கில் EDID இலிருந்து பிரித்தெடுக்கப்பட்ட FRL ஆதரவு தகவலுடன் அல்லது இல்லாமல் தீர்மானம். இந்தத் தகவல் ஒவ்வொரு TX ஹாட்பிளக்கிற்கும் காட்டப்படும்.
  • TX இணைப்பு பயிற்சியின் போது TX இணைப்பு பயிற்சி செயல்முறைக்கான நிலை செய்தி.

2.15.2. TX உடன் இணைக்கப்பட்ட மடுவிலிருந்து SCDC தகவல்
SCDC தகவலைப் பெற இந்த அம்சத்தைப் பயன்படுத்தலாம்.

  1. Nios II கட்டளை ஷெல்லில் Nios II முனைய கட்டளையை இயக்கவும்: nios2-terminal
  2. இன்டெல் அர்ரியா 2 FPGA டெவலப்மென்ட் கிட்டில் user_pb[10] ஐ அழுத்தவும்.

மென்பொருள் நியோஸ் II டெர்மினலில் TX உடன் இணைக்கப்பட்ட மடுவில் SCDC தகவலைப் படித்து காண்பிக்கும்.
2.15.3. கடிகார அதிர்வெண் அளவீடு
வெவ்வேறு கடிகாரங்களுக்கான அதிர்வெண்ணைச் சரிபார்க்க இந்த அம்சத்தைப் பயன்படுத்தவும்.

  1. hdmi_rx_top மற்றும் hdmi_tx_top இல் files, uncomment “//`define DEBUG_EN 1”.
  2. ஒவ்வொரு mr_rate_detect நிகழ்விலிருந்தும் refclock_measure சிக்னலை சிக்னல் தட்டி லாஜிக் அனலைசரில் சேர்த்து ஒவ்வொரு கடிகாரத்தின் கடிகார அதிர்வெண்ணையும் பெறவும் (10 ms கால அளவில்).
  3. சிக்னல் டேப் லாஜிக் அனலைசரைப் பயன்படுத்தி வடிவமைப்பைத் தொகுக்கவும்.
  4. SOF ஐ நிரல் செய்யவும் file மற்றும் சிக்னல் டேப் லாஜிக் அனலைசரை இயக்கவும்.

அட்டவணை 27. கடிகாரங்கள்

தொகுதி mr_rate_detect உதாரணம்

அளவிட வேண்டிய கடிகாரம்

hdmi_rx_top rx_pll_tmds (ஆர்எக்ஸ்_பிஎல்எல்_டிஎம்டிஎஸ்) RX CDR குறிப்பு கடிகாரம் 0
rx_clk0_அதிர்வெண் சேனல் 0 இலிருந்து RX டிரான்ஸ்ஸீவர் கடிகாரம் வெளியேறியது.
rx_vid_clk_அதிர்வெண் RX வீடியோ கடிகாரம்
rx_frl_clk_அதிர்வெண் RX FRL கடிகாரம்
rx_hsync_freq பெறப்பட்ட வீடியோ சட்டத்தின் Hsync அதிர்வெண்
hdmi_tx_top_எழுத்துரு tx_clk0_அதிர்வெண் சேனல் 0 இலிருந்து TX டிரான்ஸ்ஸீவர் கடிகாரம் வெளியேறியது.
vid_clk_அதிர்வெண் TX வீடியோ கடிகாரம்
frl_clk_அதிர்வெண் TX FRL கடிகாரம்
tx_hsync_freq அனுப்பப்பட வேண்டிய வீடியோ சட்டத்தின் Hsync அதிர்வெண்

2.16. உங்கள் வடிவமைப்பை மேம்படுத்துதல்
அட்டவணை 28. HDMI வடிவமைப்பு Example முந்தைய இன்டெல் குவாட்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருள் பதிப்புடன் இணக்கத்தன்மை

வடிவமைப்பு முன்னாள்ampலெ மாறுபாடு இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு 20.3 க்கு மேம்படுத்தும் திறன்
HDMI 2.1 வடிவமைப்பு Example (ஆதரவு FRL = 1) இல்லை

எந்தவொரு பொருந்தாத வடிவமைப்பிற்கும், exampசரி, நீங்கள் பின்வருவனவற்றைச் செய்ய வேண்டும்:

  1. ஒரு புதிய வடிவமைப்பை உருவாக்குங்கள், exampஉங்கள் தற்போதைய வடிவமைப்பின் அதே உள்ளமைவுகளைப் பயன்படுத்தி தற்போதைய இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருள் பதிப்பில் le.
  2. முழு வடிவமைப்பையும் ஒப்பிடுக, exampவடிவமைப்பு கொண்ட le அடைவு exampமுந்தைய இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருள் பதிப்பைப் பயன்படுத்தி உருவாக்கப்பட்டது. கண்டறியப்பட்ட மாற்றங்களின் மேல் போர்ட் செய்யவும்.

HDMI 2.0 வடிவமைப்பு Example (ஆதரவு FRL = 0)

HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample மூன்று RX சேனல்கள் மற்றும் நான்கு TX சேனல்களைக் கொண்ட ஒரு HDMI நிகழ்வை இணையான லூப்பேக்கில் நிரூபிக்கிறது.
அட்டவணை 29. HDMI இன்டெல் FPGA IP வடிவமைப்பு ExampIntel Arria 10 சாதனங்களுக்கான le

வடிவமைப்பு முன்னாள்ample தரவு விகிதம் சேனல் பயன்முறை லூப்பேக் வகை
Arria 10 HDMI RX-TX மறுபரிமாற்றம் < 6,000 Mbps சிம்ப்ளக்ஸ் FIFO இடையகத்துடன் இணையாக

அம்சங்கள்

  • இந்த வடிவமைப்பு, HDMI சிங்க் மற்றும் மூலத்திற்கு இடையில் நேரடி HDMI வீடியோ ஸ்ட்ரீம் கடந்து செல்வதற்கு FIFO பஃபர்களை உடனடிப்படுத்துகிறது.
  • ஆரம்பகால பிழைத்திருத்தங்களுக்கு வடிவமைப்பு LED நிலையைப் பயன்படுத்துகிறது.tage.
  • இந்த வடிவமைப்பு RX மற்றும் TX மட்டும் விருப்பங்களுடன் வருகிறது.
  • வடிவமைப்பு RX-TX இணைப்பு தொகுதியில் டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் (HDR) இன்ஃபோஃப்ரேமின் செருகல் மற்றும் வடிகட்டலை நிரூபிக்கிறது.
  • TX ஹாட்-பிளக் நிகழ்வால் தூண்டப்படும்போது, ​​வெளிப்புற HDMI சிங்க்கிலிருந்து வெளிப்புற HDMI மூலத்திற்கு EDID பாஸ்த்ரூவை நிர்வகிப்பதை இந்த வடிவமைப்பு நிரூபிக்கிறது.
  • இந்த வடிவமைப்பு HDMI TX கோர் சிக்னல்களை நிர்வகிக்க DIP சுவிட்ச் மற்றும் புஷ்-பட்டன் மூலம் இயக்க நேரக் கட்டுப்பாட்டை அனுமதிக்கிறது:
    — DVI அல்லது HDMI குறியிடப்பட்ட வீடியோ சட்டத்தைத் தேர்ந்தெடுப்பதற்கான பயன்முறை சமிக்ஞை
    — info_avi[47], info_vsi[61], மற்றும் audio_info_ai[48] சைடுபேண்டுகள் அல்லது துணை தரவு போர்ட்கள் மூலம் துணை பாக்கெட் பரிமாற்றத்தைத் தேர்ந்தெடுக்க சிக்னல்கள்

RX நிகழ்வு வெளிப்புற வீடியோ ஜெனரேட்டரிலிருந்து ஒரு வீடியோ மூலத்தைப் பெறுகிறது, மேலும் தரவு TX நிகழ்வுக்கு அனுப்பப்படுவதற்கு முன்பு ஒரு லூப்பேக் FIFO வழியாகச் செல்கிறது.
செயல்பாட்டைச் சரிபார்க்க, நீங்கள் வெளிப்புற வீடியோ பகுப்பாய்வி, மானிட்டர் அல்லது HDMI இணைப்புடன் கூடிய தொலைக்காட்சியை TX மையத்துடன் இணைக்க வேண்டும்.
3.1 HDMI 2.0 RX-TX ரீட்ரான்ஸ்மிட் டிசைன் பிளாக் வரைபடம்
HDMI 2.0 RX-TX மறுஒளிபரப்பு வடிவமைப்பு example HDMI இன்டெல் FPGA IP-க்கான சிம்ப்ளக்ஸ் சேனல் பயன்முறையில் இணையான லூப்பேக்கை நிரூபிக்கிறது.
படம் 20. HDMI RX-TX மறுபரிமாற்ற தொகுதி வரைபடம் (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 3படம் 21. HDMI RX-TX மறுபரிமாற்ற தொகுதி வரைபடம் (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 4தொடர்புடைய தகவல்
உங்கள் வடிவமைப்பு கடிகாரங்கள் கூடுதல் சிக்கல்களை சந்தித்தால், PLL அடுக்கு நடுக்கம் அல்லது அர்ப்பணிக்கப்படாத கடிகார பாதையின் நடுக்கம் இந்த தீர்வைப் பார்க்கவும்.
நடுக்கம்.
3.2 வன்பொருள் மற்றும் மென்பொருள் தேவைகள்
இன்டெல் வடிவமைப்பை சோதிக்க பின்வரும் வன்பொருள் மற்றும் மென்பொருளைப் பயன்படுத்துகிறதுampலெ.
வன்பொருள்

  • Intel Arria 10 GX FPGA டெவலப்மெண்ட் கிட்
  • HDMI மூலம் (கிராபிக்ஸ் செயலி அலகு (GPU))
  • HDMI சின்க் (மானிட்டர்)
  • Bitec HDMI FMC 2.0 மகள் அட்டை (திருத்தம் 11)
  • HDMI கேபிள்கள்

குறிப்பு:
உங்கள் Bitec HDMI மகள் அட்டையின் திருத்தத்தை நீங்கள் தேர்ந்தெடுக்கலாம். மேல் மட்டத்தில் உள்ளூர் அளவுரு BITEC_DAUGHTER_CARD_REV ஐ 4, 6 அல்லது 11 ஆக அமைக்கவும். file (a10_hdmi2_demo.v). நீங்கள் திருத்தத்தை மாற்றும்போது, ​​வடிவமைப்பு டிரான்ஸ்ஸீவர் சேனல்களை மாற்றி, Bitec HDMI மகள் அட்டை தேவைகளுக்கு ஏற்ப துருவமுனைப்பை மாற்றக்கூடும். நீங்கள் BITEC_DAUGHTER_CARD_REV அளவுருவை 0 ஆக அமைத்தால், வடிவமைப்பு டிரான்ஸ்ஸீவர் சேனல்கள் மற்றும் துருவமுனைப்பில் எந்த மாற்றங்களையும் செய்யாது. HDMI 2.1 வடிவமைப்புக்கு exampவடிவமைப்பு முன்னாள் கீழ், lesample tab-ல், HDMI Daughter Card Revision-ஐ Revision 9, Revision 4 அல்லது Daughter Card இல்லாததாக அமைக்கவும். இயல்புநிலை மதிப்பு Revision 9 ஆகும்.
மென்பொருள்

  • இன்டெல் குவார்டஸ் பிரைம் பதிப்பு 18.1 மற்றும் அதற்குப் பிந்தையது (வன்பொருள் சோதனைக்கு)
  • மாடல்சிம் – இன்டெல் FPGA பதிப்பு, மாடல்சிம் – இன்டெல் FPGA ஸ்டார்டர் பதிப்பு, , ரிவியராப்ரோ, VCS (வெரிலாக் HDL மட்டும்)/VCS MX, அல்லது எக்ஸீலியம் பேரலல் சிமுலேட்டர்

3.3 அடைவு அமைப்பு
கோப்பகங்களில் உருவாக்கப்பட்டவை உள்ளன fileHDMI இன்டெல் FPGA IP வடிவமைப்பு ex க்கான sampலெ.
படம் 22. வடிவமைப்பிற்கான அடைவு அமைப்பு Exampleintel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 5அட்டவணை 30. உருவாக்கப்பட்ட RTL Files

கோப்புறைகள் Files
ஜிஎக்ஸ்பி • /gxb_rx.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /gxb_rx.ip (Intel Quartus Prime Pro பதிப்பு)
• /gxb_rx_reset.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /gxb_rx_reset.ip (Intel Quartus Prime Pro பதிப்பு)
• /gxb_tx.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /gxb_tx.ip (Intel Quartus Prime Pro பதிப்பு)
• /gxb_tx_fpll.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /gxb_tx_fpll.ip (Intel Quartus Prime Pro பதிப்பு)
• /gxb_tx_reset.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /gxb_tx_reset.ip (Intel Quartus Prime Pro பதிப்பு)
hdmi_rx •/hdmi_rx.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
•/hdmi_rx.ip (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
/hdmi_rx_top.v
/mr_clock_sync.v (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/mr_hdmi_rx_core_top.v (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/திரு_rx_ஓவர்கள்ample.v (Intel Quartus Prime Standard Edition)
/symbol_aligner.v
Panasonic.hex (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
hdmi_tx • /hdmi_tx.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
•/hdmi_tx.ip (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
/hdmi_tx_top.v
/mr_ce.v (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/mr_hdmi_tx_core_top.v (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/திரு_tx_ஓவர்கள்ample.v (Intel Quartus Prime Standard Edition)
i2c_master

(இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)

/i2c_மாஸ்டர்_பிட்_சிடிஆர்எல்.வி
/i2c_master_byte_ctrl.v
/i2c_master_defines.v
/i2c_master_top.v/
/oc_i2c_master.v
/oc_i2c_master_hw.tcl
/டைம்ஸ்கேல்.வி
i2c_slave /edid_ram.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/Panasonic.hex (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/i2c_avl_mst_intf_gen.v
/i2c_clk_cnt.v
/i2c_condt_det.v
/i2c_டேட்டாபஃபர்.வி
/i2c_rxshifter.v/
/i2c_slvfsm.v/
/i2c_spksupp.v/
/i2c_txout.v/
/i2c_txshifter.v/
/i2cslave_to_avlmm_bridge.v
pll • /pll_hdmi.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /pll_hdmi.ip (Intel Quartus Prime Pro பதிப்பு)
• /pll_hdmi_reconfig.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /pll_hdmi_reconfig.ip (Intel Quartus Prime Pro பதிப்பு)
குவார்டஸ்.இனி
பொதுவான • /clock_control.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /clock_control.ip (Intel Quartus Prime Pro பதிப்பு)
• /fifo.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /fifo.ip (Intel Quartus Prime Pro பதிப்பு)
• /output_buf_i2c.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
•/output_buf_i2c.ip (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
/reset_controller.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/கடிகாரக் குறுக்குவழி.வி
dcfifo_inst.v
debouncer.sv (Intel Quartus Prime Pro பதிப்பு)
எச்டிஆர் /ஆல்டெரா_ஹெச்டிஎம்ஐ_ஆக்ஸ்_ஹெச்டிஆர்.வி
/ஆல்டெரா_hdmi_aux_snk.v
/ஆல்டெரா_hdmi_aux_src.v
/ஆல்டெரா_ஹெச்டிஎம்ஐ_ஹெச்டிஆர்_இன்ஃபோஃப்ரேம்.வி
/avalon_st_mutiplexer.qsys
மறுகட்டமைப்பு_எம்ஜிஎம்டி /திரு_ஒப்பீடு_pll.v
/mr_compare_rx.v க்கு இணையாக
/mr_rate_detect.v/
/mr_reconfig_master_pll.v
/mr_reconfig_master_rx.v
/mr_reconfig_mgmt.v
/mr_rom_pll_dprioaddr.v க்கு மின்னஞ்சல் அனுப்பவும்.
/mr_rom_pll_valuemask_8bpc.v
/mr_rom_pll_valuemask_10bpc.v
/mr_rom_pll_valuemask_12bpc.v
/mr_rom_pll_valuemask_16bpc.v
/mr_rom_rx_dprioaddr_bitmask.v
/mr_rom_rx_valuemask.v
/mr_state_machine.v/
எஸ்டிசி /a10_hdmi2.sdc/
/mr_reconfig_mgmt.sdc
/jtag.sdc
/rxtx_link.sdc
/mr_clock_sync.sdc (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)

அட்டவணை 31. உருவாக்கப்பட்ட உருவகப்படுத்துதல் Files
மேலும் தகவலுக்கு சிமுலேஷன் டெஸ்ட்பெஞ்ச் பகுதியைப் பார்க்கவும்.

கோப்புறைகள் Files
ஆல்டெக் /aldec.do
/rivierapro_setup.tcl
தாழ்வு /cds.lib
/hdl.var
<cds_libs கோப்புறை>
வழிகாட்டி /mentor.do
/msim_setup.tcl
சுருக்கம் /vcs/filelist.f
/vcs/vcs_setup.sh
/விசிஎஸ்/விசிஎஸ்_சிம்.ஷ்
/vcsmx/vcsmx_setup.sh
/vcsmx/vcsmx_sim.sh
/vcsmx/synopsys_sim_setup
எக்ஸீலியம்

(Intel Quartus Prime Pro பதிப்பு)

/cds.lib
/hdl.var
/xcelium_setup.sh
/xcelium_sim.sh
பொதுவான

(Intel Quartus Prime Pro பதிப்பு)

/மாடல்சிம்_files.tcl
/riviera_files.tcl
/vcs_files.tcl
/vcsmx_files.tcl
/xcelium_files.tcl
hdmi_rx • /hdmi_rx.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /hdmi_rx.ip (Intel Quartus Prime Pro பதிப்பு)
/hdmi_rx.sopcinfo (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/Panasonic.hex (Intel Quartus Prime Pro பதிப்பு)
/symbol_aligner.v (Intel Quartus Prime Pro பதிப்பு)
hdmi_tx • /hdmi_tx.qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
• /hdmi_tx.ip (Intel Quartus Prime Pro பதிப்பு)
/hdmi_tx.sopcinfo (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)

அட்டவணை 32. உருவாக்கப்பட்ட மென்பொருள் Files

கோப்புறைகள் Files
tx_control_src
குறிப்பு: tx_control கோப்புறையில் இவற்றின் நகல்களும் உள்ளன files.
/intel_fpga_i2c.c (Intel Quartus Prime Pro பதிப்பு)
/intel_fpga_i2c.h (Intel Quartus Prime Pro பதிப்பு)
/i2c.c (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/i2c.h (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/மெயின்.சி
/xcvr_gpll_rcfg.c
/xcvr_gpll_rcfg.h
/ti_i2c.c (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)
/ti_i2c.h (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)

3.4. வடிவமைப்பு கூறுகள்
HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample க்கு இந்த கூறுகள் தேவை.
அட்டவணை 33. HDMI RX மேல் கூறுகள்

தொகுதி

விளக்கம்

HDMI RX கோர் IP ஆனது டிரான்ஸ்ஸீவர் நேட்டிவ் PHY இலிருந்து தொடர் தரவைப் பெறுகிறது மற்றும் தரவு சீரமைப்பு, சேனல் டெஸ்க்யூ, டிஎம்டிஎஸ் டிகோடிங், துணை தரவு டிகோடிங், வீடியோ தரவு டிகோடிங், ஆடியோ டேட்டா டிகோடிங் மற்றும் டெஸ்க்ராம்ப்லிங் ஆகியவற்றைச் செய்கிறது.
I2 I2C என்பது சின்க் டிஸ்ப்ளே டேட்டா சேனல் (DDC) மற்றும் ஸ்டேட்டஸ் மற்றும் டேட்டா சேனல் (SCDC) ஆகியவற்றுக்குப் பயன்படுத்தப்படும் இடைமுகமாகும். HDMI மூலமானது, மேம்படுத்தப்பட்ட விரிவாக்கப்பட்ட காட்சி அடையாளத் தரவு (E-EDID) தரவுக் கட்டமைப்பைப் படிப்பதன் மூலம் மடுவின் திறன்கள் மற்றும் பண்புகளைத் தீர்மானிக்க DDC ஐப் பயன்படுத்துகிறது.
• E-EDID-க்கான 8-பிட் I2C ஸ்லேவ் முகவரிகள் 0xA0 மற்றும் 0xA1 ஆகும். LSB அணுகல் வகையைக் குறிக்கிறது: படிக்க 1 மற்றும் எழுத 0. ஒரு HPD நிகழ்வு நிகழும்போது, ​​I2C ஸ்லேவ் ஆன்-சிப் RAM-லிருந்து படிப்பதன் மூலம் E-EDID தரவுக்கு பதிலளிக்கிறது.
• HDMI 2 செயல்பாடுகளுக்கு I2.0C ஸ்லேவ்-ஒன்லி கன்ட்ரோலரும் SCDC-ஐ ஆதரிக்கிறது. SCDC-க்கான 8-பிட் I2C ஸ்லேவ் முகவரி 0xA8 மற்றும் 0xA9 ஆகும். HPD நிகழ்வு நிகழும்போது, ​​I2C ஸ்லேவ் HDMI RX மையத்தின் SCDC இடைமுகத்திற்கு அல்லது அதிலிருந்து எழுதும் அல்லது படிக்கும் பரிவர்த்தனையைச் செய்கிறது.
குறிப்பு: HDMI 2b நோக்கம் இல்லையென்றால் SCDCக்கான இந்த I2.0C ஸ்லேவ்-ஒன்லி கட்டுப்படுத்தி தேவையில்லை. நீங்கள் இயக்கினால் I2C ஐ சேர்க்கவும் அளவுருவைப் பயன்படுத்தினால், இந்தத் தொகுதி மையத்திற்குள் சேர்க்கப்படும், மேலும் இந்த மட்டத்தில் அது தெரியாது.
EDID ரேம் இந்த வடிவமைப்பு RAM 1-போர்ட் IP மையத்தைப் பயன்படுத்தி EDID தகவலைச் சேமிக்கிறது. ஒரு நிலையான இரண்டு-வயர் (கடிகாரம் மற்றும் தரவு) சீரியல் பஸ் நெறிமுறை (I2C ஸ்லேவ்-மட்டும் கட்டுப்படுத்தி) CEA-861-D இணக்கமான E-EDID தரவு கட்டமைப்பை மாற்றுகிறது. இந்த EDID RAM E-EDID தகவலைச் சேமிக்கிறது.
குறிப்பு: நீங்கள் இயக்கினால் EDID RAM ஐச் சேர்க்கவும் அளவுருவைப் பயன்படுத்தினால், இந்தத் தொகுதி மையத்திற்குள் சேர்க்கப்படும், மேலும் இந்த மட்டத்தில் அது தெரியாது.
IOPLL உள்வரும் TMDS கடிகாரத்திற்கான RX CDR குறிப்பு கடிகாரம், இணைப்பு வேக கடிகாரம் மற்றும் வீடியோ கடிகாரத்தை IOPLL உருவாக்குகிறது.
• வெளியீட்டு கடிகாரம் 0 (CDR குறிப்பு கடிகாரம்)
• வெளியீட்டு கடிகாரம் 1 (இணைப்பு வேக கடிகாரம்)
• வெளியீட்டு கடிகாரம் 2 (வீடியோ கடிகாரம்)
குறிப்பு: இயல்புநிலை IOPLL உள்ளமைவு எந்த HDMI தெளிவுத்திறனுக்கும் செல்லுபடியாகாது. IOPLL பவர் அப் செய்யப்பட்டவுடன் பொருத்தமான அமைப்புகளுக்கு மறுகட்டமைக்கப்படும்.
டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர் டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர் RX டிரான்ஸ்ஸீவர்களின் நம்பகமான துவக்கத்தை உறுதி செய்கிறது. இந்த கன்ட்ரோலரின் ரீசெட் உள்ளீடு RX மறுகட்டமைப்பால் தூண்டப்படுகிறது, மேலும் இது பிளாக்கிற்குள் உள்ள ரீசெட் சீக்வென்சிங்கின் படி டிரான்ஸ்ஸீவர் நேட்டிவ் PHY பிளாக்கிற்கு தொடர்புடைய அனலாக் மற்றும் டிஜிட்டல் ரீசெட் சிக்னலை உருவாக்குகிறது.
RX நேட்டிவ் PHY வெளிப்புற வீடியோ மூலத்திலிருந்து தொடர் தரவைப் பெறும் கடின டிரான்ஸ்ஸீவர் தொகுதி. இது HDMI RX மையத்திற்கு தரவை அனுப்புவதற்கு முன்பு தொடர் தரவை இணையான தரவுகளாக மாற்றுகிறது.
RX மறுகட்டமைப்பு மேலாண்மை 250 Mbps முதல் 6,000 Mbps வரையிலான எந்தவொரு தன்னிச்சையான இணைப்பு விகிதங்களிலும் RX டிரான்ஸ்ஸீவரை இயக்க HDMI PLL உடன் விகித கண்டறிதல் சுற்றுகளை செயல்படுத்தும் RX மறுகட்டமைப்பு மேலாண்மை.
கீழே பக்கம் 23 இல் உள்ள படம் 63 ஐப் பார்க்கவும்.
IOPLL மறுகட்டமைப்பு IOPLL மறுகட்டமைப்பு தொகுதி, இன்டெல் FPGA-களில் PLL-களின் மாறும் நிகழ்நேர மறுகட்டமைப்பை எளிதாக்குகிறது. இந்த தொகுதி முழு FPGA-வையும் மறுகட்டமைக்காமல், வெளியீட்டு கடிகார அதிர்வெண் மற்றும் PLL அலைவரிசையை நிகழ்நேரத்தில் புதுப்பிக்கிறது. இந்த தொகுதி இன்டெல் அர்ரியா 100 சாதனங்களில் 10 MHz இல் இயங்குகிறது.
IOPLL மறு கட்டமைப்பு வரம்பு காரணமாக, IOPLL மறு கட்டமைப்பு IP உருவாக்கத்தின் போது Quartus INI permit_nf_pll_reconfig_out_of_lock=on ஐப் பயன்படுத்தவும்.
Quartus INI ஐப் பயன்படுத்த, quartus.ini இல் “permit_nf_pll_reconfig_out_of_lock=on” ஐச் சேர்க்கவும். file மற்றும் இடத்தில் வைக்கவும் file இன்டெல் குவார்டஸ் பிரைம் திட்டக் கோப்பகம். INI உடன் குவார்டஸ் பிரைம் மென்பொருளில் IOPLL மறுகட்டமைப்புத் தொகுதியை (pll_hdmi_reconfig) திருத்தும்போது நீங்கள் ஒரு எச்சரிக்கை செய்தியைக் காண வேண்டும்.
குறிப்பு: இந்த குவார்டஸ் INI இல்லாமல், மறுகட்டமைப்பின் போது IOPLL பூட்டை இழந்தால் IOPLL மறுகட்டமைப்பை முடிக்க முடியாது.
PIO இணை உள்ளீடு/வெளியீடு (PIO) தொகுதி, CPU துணை அமைப்புக்கு அல்லது அதற்கு வெளியே கட்டுப்பாடு, நிலை மற்றும் மீட்டமைப்பு இடைமுகங்களாக செயல்படுகிறது.

படம் 23. பல-விகித மறுகட்டமைப்பு வரிசை ஓட்டம்
உள்ளீட்டு தரவு ஸ்ட்ரீம் மற்றும் குறிப்பு கடிகார அதிர்வெண்ணைப் பெறும்போது அல்லது டிரான்ஸ்ஸீவர் திறக்கப்படும்போது கட்டுப்படுத்தியின் பல-விகித மறுகட்டமைப்பு வரிசை ஓட்டத்தை படம் விளக்குகிறது.intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 6அட்டவணை 34. HDMI TX மேல் கூறுகள்

தொகுதி

விளக்கம்

HDMI TX கோர் ஐபி கோர் உயர் மட்டத்திலிருந்து வீடியோ தரவைப் பெற்று TMDS குறியாக்கம், துணைத் தரவு குறியாக்கம், ஆடியோ தரவு குறியாக்கம், வீடியோ தரவு குறியாக்கம் மற்றும் ஸ்க்ராம்பிளிங் ஆகியவற்றைச் செய்கிறது.
I2C மாஸ்டர் I2C என்பது சின்க் டிஸ்ப்ளே டேட்டா சேனல் (DDC) மற்றும் ஸ்டேட்டஸ் மற்றும் டேட்டா சேனல் (SCDC) ஆகியவற்றுக்குப் பயன்படுத்தப்படும் இடைமுகமாகும். HDMI மூலமானது, மேம்படுத்தப்பட்ட விரிவாக்கப்பட்ட காட்சி அடையாளத் தரவு (E-EDID) தரவுக் கட்டமைப்பைப் படிப்பதன் மூலம் மடுவின் திறன்கள் மற்றும் பண்புகளைத் தீர்மானிக்க DDC ஐப் பயன்படுத்துகிறது.
• DDC ஆக, HDMI RX டாப்பில் அல்லது வீடியோ செயலாக்கத்திற்காக EDID தகவல் EDID RAM ஐ உள்ளமைக்க I2C மாஸ்டர் வெளிப்புற சிங்கிலிருந்து EDID ஐப் படிக்கிறது.
• SCDC ஆக, I2C மாஸ்டர் HDMI 2.0b செயல்பாட்டிற்காக SCDC தரவு கட்டமைப்பை FPGA மூலத்திலிருந்து வெளிப்புற சிங்க்கிற்கு மாற்றுகிறது. உதாரணமாகampஅதாவது, வெளிச்செல்லும் தரவு ஸ்ட்ரீம் 3,400 Mbps க்கு மேல் இருந்தால், Nios II செயலி, சிங்க் SCDC உள்ளமைவு பதிவேட்டின் TMDS_BIT_CLOCK_RATIO மற்றும் SCRAMBLER_ENABLE பிட்களை 2 ஆக புதுப்பிக்க I1C மாஸ்டருக்கு கட்டளையிடுகிறது.
IOPLL உள்வரும் TMDS கடிகாரத்திலிருந்து இணைப்பு வேக கடிகாரம் மற்றும் வீடியோ கடிகாரத்தை IOPLL வழங்குகிறது.
• வெளியீட்டு கடிகாரம் 1 (இணைப்பு வேக கடிகாரம்)
• வெளியீட்டு கடிகாரம் 2 (வீடியோ கடிகாரம்)
குறிப்பு: இயல்புநிலை IOPLL உள்ளமைவு எந்த HDMI தெளிவுத்திறனுக்கும் செல்லுபடியாகாது. IOPLL பவர் அப் செய்யப்பட்டவுடன் பொருத்தமான அமைப்புகளுக்கு மறுகட்டமைக்கப்படும்.
டிரான்ஸ்ஸீவர் PHY ரீசெட் கன்ட்ரோலர் டிரான்ஸ்ஸீவர் PHY மீட்டமைப்பு கட்டுப்படுத்தி, TX டிரான்ஸ்ஸீவர்களின் நம்பகமான துவக்கத்தை உறுதி செய்கிறது. இந்த கட்டுப்படுத்தியின் மீட்டமைப்பு உள்ளீடு மேல் மட்டத்திலிருந்து தூண்டப்படுகிறது, மேலும் இது தொகுதிக்குள் உள்ள மீட்டமைப்பு வரிசைமுறையின்படி டிரான்ஸ்ஸீவர் நேட்டிவ் PHY தொகுதிக்கு தொடர்புடைய அனலாக் மற்றும் டிஜிட்டல் மீட்டமைப்பு சமிக்ஞையை உருவாக்குகிறது.
இந்த பிளாக்கிலிருந்து tx_ready வெளியீடு சமிக்ஞை HDMI இன்டெல் FPGA ஐபிக்கு மீட்டமைக்கும் சமிக்ஞையாகவும் செயல்படுகிறது, இது டிரான்ஸ்ஸீவர் இயங்குகிறது மற்றும் மையத்திலிருந்து தரவைப் பெறத் தயாராக உள்ளது என்பதைக் குறிக்கிறது.
டிரான்ஸ்ஸீவர் நேட்டிவ் PHY HDMI TX மையத்திலிருந்து இணையான தரவைப் பெற்று, அதை அனுப்புவதிலிருந்து தரவை வரிசைப்படுத்துகின்ற ஹார்ட் டிரான்ஸ்ஸீவர் பிளாக்.
TX Native PHY மற்றும் டிரான்ஸ்ஸீவர் ஆர்பிட்டருக்கு இடையேயான தொடர்பை நிரூபிக்க TX Native PHY தொகுதியில் மறுகட்டமைப்பு இடைமுகம் இயக்கப்பட்டுள்ளது. TX Native PHY க்கு எந்த மறுகட்டமைப்பும் செய்யப்படவில்லை.
குறிப்பு: HDMI TX இன்டர்-சேனல் வளைவுத் தேவையைப் பூர்த்தி செய்ய, TX சேனல் பிணைப்பு முறை விருப்பத்தை Intel Arria 10 Transceiver Native PHY அளவுரு எடிட்டரில் அமைக்கவும் பிஎம்ஏ மற்றும் பிசிஎஸ் பிணைப்பு. ட்ரான்ஸ்ஸீவர் ரீசெட் கன்ட்ரோலரிலிருந்து (tx_digitalreset) டிஜிட்டல் ரீசெட் சிக்னலில் அதிகபட்ச வளைவு (set_max_skew) கட்டுப்பாடு தேவையை நீங்கள் சேர்க்க வேண்டும். Intel Arria 10 Transceiver PHY பயனர் கையேடு.
TX PLL டிரான்ஸ்மிட்டர் பிஎல்எல் பிளாக் ஆனது டிரான்ஸ்ஸீவர் நேட்டிவ் PHY பிளாக்கிற்கு தொடர் வேகமான கடிகாரத்தை வழங்குகிறது. இதற்கு HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample, fPLL TX PLL ஆகப் பயன்படுத்தப்படுகிறது.
IOPLL மறுகட்டமைப்பு IOPLL மறுகட்டமைப்பு தொகுதி, இன்டெல் FPGA-களில் PLL-களின் மாறும் நிகழ்நேர மறுகட்டமைப்பை எளிதாக்குகிறது. இந்த தொகுதி முழு FPGA-வையும் மறுகட்டமைக்காமல், வெளியீட்டு கடிகார அதிர்வெண் மற்றும் PLL அலைவரிசையை நிகழ்நேரத்தில் புதுப்பிக்கிறது. இந்த தொகுதி இன்டெல் அர்ரியா 100 சாதனங்களில் 10 MHz இல் இயங்குகிறது.
IOPLL மறு கட்டமைப்பு வரம்பு காரணமாக, IOPLL மறு கட்டமைப்பு IP உருவாக்கத்தின் போது Quartus INI permit_nf_pll_reconfig_out_of_lock=on ஐப் பயன்படுத்தவும்.
Quartus INI ஐப் பயன்படுத்த, quartus.ini இல் “permit_nf_pll_reconfig_out_of_lock=on” ஐச் சேர்க்கவும். file மற்றும் இடத்தில் வைக்கவும் file இன்டெல் குவார்டஸ் பிரைம் திட்டக் கோப்பகம். இன்டெல் குவார்டஸ் பிரைம் மென்பொருளில் உள்ள IOPLL மறுகட்டமைப்புத் தொகுதியை (pll_hdmi_reconfig) INI உடன் திருத்தும்போது நீங்கள் ஒரு எச்சரிக்கை செய்தியைக் காண வேண்டும்.
குறிப்பு: இந்த குவார்டஸ் INI இல்லாமல், மறுகட்டமைப்பின் போது IOPLL பூட்டை இழந்தால் IOPLL மறுகட்டமைப்பை முடிக்க முடியாது.
PIO இணை உள்ளீடு/வெளியீடு (PIO) தொகுதி, CPU துணை அமைப்புக்கு அல்லது அதற்கு வெளியே கட்டுப்பாடு, நிலை மற்றும் மீட்டமைப்பு இடைமுகங்களாக செயல்படுகிறது.

அட்டவணை 35. டிரான்ஸ்ஸீவர் தரவு வீதம் மற்றும் ஓவர்கள்ampஒவ்வொரு TMDS கடிகார அதிர்வெண் வரம்பிற்கும் லிங் காரணி

TMDS கடிகார அதிர்வெண் (MHz) TMDS பிட் கடிகார விகிதம் ஓவர்கள்ampலிங் காரணி டிரான்ஸ்ஸீவர் தரவு வீதம் (Mbps)
85–150 1 பொருந்தாது 3400–6000
100–340 0 பொருந்தாது 1000–3400
50–100 0 5 2500–5000
35–50 0 3 1050–1500
30–35 0 4 1200–1400
25–30 0 5 1250–1500

அட்டவணை 36. மேல்-நிலை பொதுவான தொகுதிகள்

தொகுதி

விளக்கம்

டிரான்ஸ்ஸீவர் நடுவர் ஒரே இயற்பியல் சேனலில் உள்ள RX அல்லது TX டிரான்ஸ்ஸீவர்களுக்கு மறுகட்டமைப்பு தேவைப்படும்போது, ​​இந்த பொதுவான செயல்பாட்டுத் தொகுதி டிரான்ஸ்ஸீவர்களை ஒரே நேரத்தில் மறுசீரமைப்பதைத் தடுக்கிறது. ஒரே சேனலில் உள்ள RX மற்றும் TX டிரான்ஸ்ஸீவர்கள் சுயாதீன IP செயலாக்கங்களுக்கு ஒதுக்கப்படும் பயன்பாடுகளை ஒரே நேரத்தில் மறுசீரமைப்பு பாதிக்கிறது.
இந்த டிரான்ஸ்ஸீவர் ஆர்பிட்டர், சிம்ப்ளக்ஸ் TX மற்றும் சிம்ப்ளக்ஸ் RX ஆகியவற்றை ஒரே இயற்பியல் சேனலில் இணைப்பதற்கு பரிந்துரைக்கப்பட்ட தெளிவுத்திறனின் நீட்டிப்பாகும். டிரான்ஸ்ஸீவர்களின் மறுகட்டமைப்பு இடைமுக போர்ட்டை தொடர்ச்சியாக மட்டுமே அணுக முடியும் என்பதால், ஒரு சேனலுக்குள் சிம்ப்ளக்ஸ் RX மற்றும் TX டிரான்ஸ்ஸீவர்களை இலக்காகக் கொண்ட அவலோன்-எம்எம் ஆர்எக்ஸ் மற்றும் டிஎக்ஸ் மறுகட்டமைப்பு கோரிக்கைகளை ஒன்றிணைத்து நடுவர் செய்வதிலும் இந்த டிரான்ஸ்ஸீவர் ஆர்பிட்டர் உதவுகிறது.
டிரான்ஸ்ஸீவர் ஆர்பிட்டர் மற்றும் TX/RX நேட்டிவ் PHY/PHY ரீசெட் கன்ட்ரோலர் தொகுதிகளுக்கு இடையேயான இடைமுக இணைப்பு இந்த வடிவமைப்பில் முன்னாள்ampடிரான்ஸ்ஸீவர் ஆர்பிட்டரைப் பயன்படுத்தி எந்தவொரு ஐபி சேர்க்கைக்கும் பொருந்தும் ஒரு பொதுவான பயன்முறையை le நிரூபிக்கிறது. ஒரு சேனலில் RX அல்லது TX டிரான்ஸ்ஸீவர் மட்டுமே பயன்படுத்தப்படும்போது டிரான்ஸ்ஸீவர் ஆர்பிட்டர் தேவையில்லை.
டிரான்ஸ்ஸீவர் ஆர்பிட்டர், அதன் Avalon-MM மறுகட்டமைப்பு இடைமுகங்கள் மூலம் மறுகட்டமைப்பு கோரிக்கையாளரை அடையாளம் கண்டு, தொடர்புடைய tx_reconfig_cal_busy அல்லது rx_reconfig_cal_busy அதற்கேற்ப கேட் செய்யப்பட்டுள்ளதா என்பதை உறுதிசெய்கிறார். HDMI பயன்பாட்டிற்கு, RX மட்டுமே மறுகட்டமைப்பைத் தொடங்குகிறது. Avalon-MM மறுகட்டமைப்பு கோரிக்கையை நடுவர் மூலம் சேனல் செய்வதன் மூலம், மறுகட்டமைப்பு கோரிக்கை RX இலிருந்து உருவாகிறது என்பதை நடுவர் அடையாளம் காண்கிறார், பின்னர் அது tx_reconfig_cal_busy ஐ உறுதிப்படுத்துவதிலிருந்து தடுக்கிறது மற்றும் rx_reconfig_cal_busy ஐ உறுதிப்படுத்த அனுமதிக்கிறது. கேட்டிங் TX டிரான்ஸ்ஸீவரை தற்செயலாக அளவுத்திருத்த பயன்முறைக்கு நகர்த்துவதைத் தடுக்கிறது.
குறிப்பு: HDMIக்கு RX மறுகட்டமைப்பு மட்டுமே தேவைப்படுவதால், tx_reconfig_mgmt_* சிக்னல்கள் இணைக்கப்பட்டுள்ளன. மேலும், Avalon-MM இடைமுகம் நடுவர் மற்றும் TX நேட்டிவ் PHY தொகுதிக்கு இடையில் தேவையில்லை. தொகுதிகள் வடிவமைப்பு ex இல் இடைமுகத்திற்கு ஒதுக்கப்பட்டுள்ளன.ampTX/RX நேட்டிவ் PHY/PHY ரீசெட் கன்ட்ரோலருடன் பொதுவான டிரான்ஸ்ஸீவர் ஆர்பிட்டர் இணைப்பை நிரூபிக்க le.
RX-TX இணைப்பு • HDMI RX கோர் லூப்பில் இருந்து வீடியோ தரவு வெளியீடு மற்றும் ஒத்திசைவு சமிக்ஞைகள் RX மற்றும் TX வீடியோ கடிகார டொமைன்கள் முழுவதும் DCFIFO மூலம்.
• பொது கட்டுப்பாட்டு பாக்கெட் (GCP), தகவல் சட்டங்கள் (AVI, VSI மற்றும் AI), துணை தரவு மற்றும் ஆடியோ தரவு ஆகியவை RX மற்றும் TX இணைப்பு வேக கடிகார களங்களில் DCFIFOக்கள் வழியாகச் சுழல்கின்றன.
• HDMI TX மையத்தின் துணை தரவு போர்ட் DCFIFO வழியாக பேக்பிரஷர் மூலம் பாயும் துணைத் தரவைக் கட்டுப்படுத்துகிறது. துணை தரவு போர்ட்டில் முழுமையற்ற துணை பாக்கெட் இல்லை என்பதை பின் அழுத்தம் உறுதி செய்கிறது.
• இந்தத் தொகுதி வெளிப்புற வடிகட்டுதலையும் செய்கிறது:
- HDMI TX கோர் துணை தரவு போர்ட்டுக்கு அனுப்பும் முன், துணை தரவு ஸ்ட்ரீமில் இருந்து ஆடியோ தரவு மற்றும் ஆடியோ கடிகார மறு உருவாக்கம் பாக்கெட்டை வடிகட்டுகிறது.
குறிப்பு: இந்த வடிகட்டலை முடக்க, user_pb[2] ஐ அழுத்தவும். மறு பரிமாற்றம் செய்யப்பட்ட துணை தரவு ஸ்ட்ரீமில் ஆடியோ தரவு மற்றும் ஆடியோ கடிகார மீளுருவாக்கம் பாக்கெட்டின் நகல் இல்லை என்பதை உறுதிப்படுத்த இந்த வடிகட்டலை இயக்கவும்.
- HDMI RX துணைத் தரவிலிருந்து உயர் டைனமிக் ரேஞ்ச் (HDR) இன்ஃபோஃப்ரேமை வடிகட்டுகிறது மற்றும் முன்னாள் ஒன்றைச் செருகுகிறதுampஅவலோன் ST மல்டிபிளெக்சர் மூலம் HDMI TX இன் துணை தரவுக்கு HDR இன்ஃபோஃப்ரேமை இணைக்கிறது.
CPU துணை அமைப்பு CPU துணை அமைப்பு SCDC மற்றும் DDC கட்டுப்படுத்திகளாகவும், மூல மறுகட்டமைப்பு கட்டுப்படுத்தியாகவும் செயல்படுகிறது.
• மூல SCDC கட்டுப்படுத்தி I2C முதன்மை கட்டுப்படுத்தியைக் கொண்டுள்ளது. I2C முதன்மை கட்டுப்படுத்தி HDMI 2.0b செயல்பாட்டிற்காக SCDC தரவு கட்டமைப்பை FPGA மூலத்திலிருந்து வெளிப்புற சிங்க்கிற்கு மாற்றுகிறது. உதாரணமாகample, வெளிச்செல்லும் தரவு ஸ்ட்ரீம் 6,000 Mbps ஆக இருந்தால், Nios II செயலியானது TMDS_BIT_CLOCK_RATIO மற்றும் SCRAMBLER_ENABLE பிட்களை சிங்க் TMDS உள்ளமைவுப் பதிவேட்டின் 2க்கு புதுப்பிக்குமாறு I1C முதன்மைக் கட்டுப்படுத்திக்குக் கட்டளையிடுகிறது.
• அதே I2C மாஸ்டர், HDMI மூலத்திற்கும் வெளிப்புற மடுவிற்கும் இடையில் DDC தரவு கட்டமைப்பையும் (E-EDID) மாற்றுகிறது.
• HDMI மூலத்திற்கான மறுகட்டமைப்பு கட்டுப்படுத்தியாக Nios II CPU செயல்படுகிறது. TX க்கு மறுகட்டமைப்பு தேவையா என்பதைத் தீர்மானிக்க, CPU, RX மறுகட்டமைப்பு மேலாண்மை தொகுதியிலிருந்து வரும் காலமுறை விகிதக் கண்டறிதலை நம்பியுள்ளது. Avalon-MM ஸ்லேவ் மொழிபெயர்ப்பாளர், Nios II செயலி Avalon-MM மாஸ்டர் இடைமுகத்திற்கும் வெளிப்புறமாக நிறுவப்பட்ட HDMI மூலத்தின் IOPLL மற்றும் TX நேட்டிவ் PHY இன் Avalon-MM ஸ்லேவ் இடைமுகங்களுக்கும் இடையிலான இடைமுகத்தை வழங்குகிறது.
• TX-க்கான மறுகட்டமைப்பு வரிசை ஓட்டம் RX-ஐப் போலவே உள்ளது, ஆனால் PLL மற்றும் டிரான்ஸ்ஸீவர் மறுகட்டமைப்பு மற்றும் மீட்டமைப்பு வரிசை ஆகியவை தொடர்ச்சியாக செய்யப்படுகின்றன. பக்கம் 24 இல் உள்ள படம் 67 ஐப் பார்க்கவும்.

படம் 24. மறுகட்டமைப்பு வரிசை ஓட்டம்
இந்தப் படம் I2C மாஸ்டர் மற்றும் HDMI மூலத்திற்கான கட்டுப்பாடுகளை உள்ளடக்கிய Nios II மென்பொருள் ஓட்டத்தை விளக்குகிறது.intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 73.5 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் (HDR) இன்ஃபோஃப்ரேம் செருகுதல் மற்றும் வடிகட்டுதல்
HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample ஒரு RX-TX லூப்பேக் அமைப்பில் HDR இன்ஃபோஃப்ரேம் செருகும் செயல்விளக்கத்தை உள்ளடக்கியது.
HDMI விவரக்குறிப்பு பதிப்பு 2.0b, HDMI துணை ஸ்ட்ரீம் மூலம் டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமை அனுப்ப அனுமதிக்கிறது. செயல்விளக்கத்தில், துணை தரவு செருகல் தொகுதி HDR செருகலை ஆதரிக்கிறது. தொகுதியின் சிக்னல் பட்டியல் அட்டவணையில் குறிப்பிடப்பட்டுள்ளபடி நீங்கள் நோக்கம் கொண்ட HDR இன்ஃபோஃப்ரேம் பாக்கெட்டை வடிவமைத்து, ஒவ்வொரு வீடியோ சட்டத்திற்கும் ஒரு முறை HDR இன்ஃபோஃப்ரேமைச் செருகுவதைத் திட்டமிட வழங்கப்பட்ட AUX செருகல் கட்டுப்பாட்டு தொகுதியைப் பயன்படுத்த வேண்டும்.
இதில் முன்னாள்ample கட்டமைப்பு, உள்வரும் துணை ஸ்ட்ரீம் ஏற்கனவே HDR இன்ஃபோஃப்ரேமை உள்ளடக்கிய சந்தர்ப்பங்களில், ஸ்ட்ரீம் செய்யப்பட்ட HDR உள்ளடக்கம் வடிகட்டப்படுகிறது. வடிகட்டுதல் முரண்பட்ட HDR இன்ஃபோஃப்ரேம்களை அனுப்புவதைத் தவிர்க்கிறது மற்றும் HDR S இல் குறிப்பிடப்பட்டுள்ள மதிப்புகள் மட்டுமே என்பதை உறுதி செய்கிறது.ample தரவு தொகுதி பயன்படுத்தப்படுகிறது.
படம் 25. டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் செருகலுடன் RX-TX இணைப்பு
எச்டிஎம்ஐ டிஎக்ஸ் கோர் துணை ஸ்ட்ரீமில் டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் இன்செர்ஷன் உள்ளிட்ட RX-TX இணைப்பின் தொகுதி வரைபடத்தை படம் காட்டுகிறது.
intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 8அட்டவணை 37. துணை தரவு செருகல் தொகுதி (altera_hdmi_aux_hdr) சமிக்ஞைகள்

சிக்னல் திசை அகலம்

விளக்கம்

கடிகாரம் மற்றும் மீட்டமை
clk உள்ளீடு 1 கடிகார உள்ளீடு. இந்த கடிகாரம் இணைப்பு வேக கடிகாரத்துடன் இணைக்கப்பட வேண்டும்.
மீட்டமை உள்ளீடு 1 உள்ளீட்டை மீட்டமைக்கவும்.
துணை பாக்கெட் ஜெனரேட்டர் மற்றும் மல்டிபிளெக்சர் சிக்னல்கள்
மல்டிபிளெக்சர்_அவுட்_டேட்டா வெளியீடு 72 மல்டிபிளெக்சரில் இருந்து அவலோன் ஸ்ட்ரீமிங் வெளியீடு.
மல்டிபிளெக்சர்_செல்லுபடியாகாது வெளியீடு 1
மல்டிபிளெக்சர்_வெளியே_தயார் வெளியீடு 1
மல்டிபிளெக்சர்_அவுட்_ஸ்டார்ட் ஆஃப் பாக்கெட் வெளியீடு 1
மல்டிபிளெக்சர்_வெளியே_பாக்கெட்டின் எண்டோ வெளியீடு 1
மல்டிபிளெக்சர்_அவுட்_சேனல் வெளியீடு 11
மல்டிபிளெக்சர்_இன்_டேட்டா உள்ளீடு 72 மல்டிபிளெக்சரின் In1 போர்ட்டிற்கு Avalon ஸ்ட்ரீமிங் உள்ளீடு.
HDMI TX வீடியோ Vsync. இந்த சிக்னல் இணைப்பு வேக கடிகார டொமைனுடன் ஒத்திசைக்கப்பட வேண்டும்.
இந்த சிக்னலின் உயரும் விளிம்பில் உள்ள துணை ஸ்ட்ரீமில் HDR இன்ஃபோஃப்ரேமை கோர் செருகுகிறது.
மல்டிபிளெக்சர்_செல்லுபடியாகாது உள்ளீடு 1
மல்டிபிளெக்சர்_இன்_ரெடி உள்ளீடு 1
மல்டிபிளெக்சர்_இன்_ஸ்டார்ட் ஆஃப் பாக்கெட் உள்ளீடு 1
மல்டிபிளெக்சர்_இன்_எண்ட் ஆஃப் பாக்கெட்
hdmi_tx_vsync
உள்ளீடு
உள்ளீடு
1
1

அட்டவணை 38. HDR தரவு தொகுதி (altera_hdmi_hdr_infoframe) சிக்னல்கள்

சிக்னல் திசை அகலம்

விளக்கம்

hb0 வெளியீடு 8 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் ஹெடர் பைட் 0: இன்ஃபோஃப்ரேம் வகை குறியீடு.
hb1 வெளியீடு 8 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் ஹெடர் பைட் 1: இன்ஃபோஃப்ரேம் பதிப்பு எண்.
hb2 வெளியீடு 8 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் ஹெடர் பைட் 2: இன்ஃபோஃப்ரேமின் நீளம்.
pb உள்ளீடு 224 டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேமின் டேட்டா பைட்.

அட்டவணை 39. டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் டேட்டா பைட் பண்டில் பிட் ஃபீல்ட்ஸ்

பிட்-ஃபீல்ட்

வரையறை

நிலையான மெட்டாடேட்டா வகை 1

7:0 டேட்டா பைட் 1: {5'h0, EOTF[2:0]}
15:8 தரவு பைட் 2: {5'h0, Static_Metadata_Descriptor_ID[2:0]}
23:16 டேட்டா பைட் 3: Static_Metadata_Descriptor display_primaries_x[0], LSB
31:24 டேட்டா பைட் 4: Static_Metadata_Descriptor display_primaries_x[0], MSB
39:32 டேட்டா பைட் 5: Static_Metadata_Descriptor display_primaries_y[0], LSB
47:40 டேட்டா பைட் 6: Static_Metadata_Descriptor display_primaries_y[0], MSB
55:48 டேட்டா பைட் 7: Static_Metadata_Descriptor display_primaries_x[1], LSB
63:56 டேட்டா பைட் 8: Static_Metadata_Descriptor display_primaries_x[1], MSB
71:64 டேட்டா பைட் 9: Static_Metadata_Descriptor display_primaries_y[1], LSB
79:72 டேட்டா பைட் 10: Static_Metadata_Descriptor display_primaries_y[1], MSB
87:80 டேட்டா பைட் 11: Static_Metadata_Descriptor display_primaries_x[2], LSB
95:88 டேட்டா பைட் 12: Static_Metadata_Descriptor display_primaries_x[2], MSB
103:96 டேட்டா பைட் 13: Static_Metadata_Descriptor display_primaries_y[2], LSB
111:104 டேட்டா பைட் 14: Static_Metadata_Descriptor display_primaries_y[2], MSB
119:112 டேட்டா பைட் 15: Static_Metadata_Descriptor white_point_x, LSB
127:120 டேட்டா பைட் 16: Static_Metadata_Descriptor white_point_x, MSB
135:128 டேட்டா பைட் 17: Static_Metadata_Descriptor white_point_y, LSB
143:136 டேட்டா பைட் 18: Static_Metadata_Descriptor white_point_y, MSB
151:144 டேட்டா பைட் 19: Static_Metadata_Descriptor max_display_mastering_luminance, LSB
159:152 டேட்டா பைட் 20: Static_Metadata_Descriptor max_display_mastering_luminance, MSB
167:160 டேட்டா பைட் 21: Static_Metadata_Descriptor min_display_mastering_luminance, LSB
175:168 டேட்டா பைட் 22: Static_Metadata_Descriptor min_display_mastering_luminance, MSB
183:176 டேட்டா பைட் 23: Static_Metadata_Descriptor அதிகபட்ச உள்ளடக்க ஒளி நிலை, LSB
191:184 டேட்டா பைட் 24: Static_Metadata_Descriptor அதிகபட்ச உள்ளடக்க ஒளி நிலை, MSB
199:192 டேட்டா பைட் 25: Static_Metadata_Descriptor அதிகபட்ச சட்ட-சராசரி ஒளி நிலை, LSB
207:200 டேட்டா பைட் 26: Static_Metadata_Descriptor அதிகபட்ச பிரேம்-சராசரி ஒளி நிலை, MSB
215:208 ஒதுக்கப்பட்டது
223:216 ஒதுக்கப்பட்டது

HDR செருகல் மற்றும் வடிகட்டுதலை முடக்குகிறது
HDR செருகல் மற்றும் வடிப்பானை முடக்குவது, RX-TX ரீட்ரான்ஸ்மிட் டிசைனில் எந்த மாற்றமும் இல்லாமல் ஏற்கனவே ஆதார துணை ஸ்ட்ரீமில் உள்ள HDR உள்ளடக்கத்தின் மறுபரிமாற்றத்தை சரிபார்க்க உங்களை அனுமதிக்கிறது.ampலெ.
HDR InfoFrame செருகுதல் மற்றும் வடிகட்டலை முடக்க:

  1. rxtx_link.v இல் block_ext_hdr_infoframe ஐ 1'b0 ஆக அமைக்கவும் file துணை ஸ்ட்ரீமில் இருந்து HDR இன்ஃபோஃப்ரேமை வடிகட்டுவதைத் தடுக்க.
  2. altera_hdmi_aux_hdr.v இல் avalon_st_multiplexer நிகழ்வின் multiplexer_in0_valid ஐ அமைக்கவும் file துணைப் பொதி ஜெனரேட்டரை உருவாக்கி கூடுதல் HDR இன்ஃபோஃப்ரேமை TX துணை ஸ்ட்ரீமில் செருகுவதைத் தடுக்க 1'b0 வரை.

3.6 கடிகாரத் திட்டம்
க்ளாக்கிங் ஸ்கீம் HDMI இன்டெல் FPGA IP வடிவமைப்பில் உள்ள கடிகார டொமைன்களை விளக்குகிறது.ampலெ.
படம் 26. HDMI இன்டெல் FPGA IP வடிவமைப்பு Example க்ளாக்கிங் ஸ்கீம் (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 9படம் 27. HDMI இன்டெல் FPGA IP வடிவமைப்பு Example க்ளாக்கிங் ஸ்கீம் (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 10அட்டவணை 40. க்ளாக்கிங் ஸ்கீம் சிக்னல்கள்

கடிகாரம் வடிவமைப்பில் சிக்னல் பெயர்

விளக்கம்

TX IOPLL/ TX PLL குறிப்பு கடிகாரம் 1 hdmi_clk_in_இன் TX IOPLL மற்றும் TX PLL க்கான குறிப்பு கடிகாரம். கடிகார அதிர்வெண் HDMI TX TMDS கடிகார சேனலில் இருந்து எதிர்பார்க்கப்படும் TMDS கடிகார அதிர்வெண்ணைப் போன்றது.
இந்த HDMI இன்டெல் FPGA IP வடிவமைப்புக்கு example, இந்த கடிகாரம் ஆர்எக்ஸ் டிஎம்டிஎஸ் கடிகாரத்துடன் ஆர்ப்பாட்ட நோக்கத்திற்காக இணைக்கப்பட்டுள்ளது. உங்கள் பயன்பாட்டில், சிறந்த நடுக்கச் செயல்திறனுக்காக, நிரல்படுத்தக்கூடிய ஆஸிலேட்டரிலிருந்து TMDS கடிகார அதிர்வெண்ணுடன் பிரத்யேக கடிகாரத்தை வழங்க வேண்டும்.
குறிப்பு: டிரான்ஸ்ஸீவர் RX பின்னை TX PLL குறிப்பு கடிகாரமாகப் பயன்படுத்த வேண்டாம். நீங்கள் HDMI TX refclk ஐ RX பின்னில் வைத்தால் உங்கள் வடிவமைப்பு பொருந்தாது.
TX டிரான்ஸ்ஸீவர் கடிகாரம் அவுட் tx_clk டிரான்ஸ்ஸீவரில் இருந்து கடிகாரம் மீட்டெடுக்கப்பட்டது, மேலும் ஒரு கடிகாரத்திற்கான தரவு வீதம் மற்றும் குறியீடுகளைப் பொறுத்து அதிர்வெண் மாறுபடும்.
TX டிரான்ஸ்ஸீவர் கடிகார வெளியீட்டு அதிர்வெண் = டிரான்ஸ்ஸீவர் தரவு வீதம்/ (ஒரு கடிகாரத்திற்கான சின்னம்*10)
TX PLL சீரியல் கடிகாரம் tx_பிணைப்பு_கடிகாரங்கள் TX PLL ஆல் உருவாக்கப்பட்ட தொடர் வேகமான கடிகாரம். கடிகார அதிர்வெண் தரவு வீதத்தின் அடிப்படையில் அமைக்கப்பட்டுள்ளது.
TX/RX இணைப்பு வேக கடிகாரம் ls_clk பற்றி இணைப்பு வேக கடிகாரம். இணைப்பு வேக கடிகார அதிர்வெண் எதிர்பார்க்கப்படும் TMDS கடிகார அதிர்வெண்ணைப் பொறுத்தது, ஓவர்கள்ampலிங் காரணி, ஒரு கடிகாரத்திற்கான குறியீடுகள் மற்றும் TMDS பிட் கடிகார விகிதம்.
TMDS பிட் கடிகார விகிதம் இணைப்பு வேக கடிகார அதிர்வெண்
0 TMDS கடிகார அதிர்வெண்/ ஒரு கடிகாரத்திற்கான சின்னம்
1 TMDS கடிகார அதிர்வெண் *4 / ஒரு கடிகாரத்திற்கு சின்னம்
TX/RX வீடியோ கடிகாரம் vid_clk வீடியோ தரவு கடிகாரம். வீடியோ தரவு கடிகார அதிர்வெண் வண்ண ஆழத்தின் அடிப்படையில் TX இணைப்பு வேக கடிகாரத்திலிருந்து பெறப்படுகிறது.
TMDS பிட் கடிகார விகிதம் வீடியோ தரவு கடிகார அதிர்வெண்
0 TMDS கடிகாரம்/ கடிகாரத்திற்கான சின்னம்/ வண்ண ஆழ காரணி
1 TMDS கடிகாரம் *4 / ஒரு கடிகாரத்திற்கு சின்னம்/ வண்ண ஆழ காரணி
நிறத்திற்கு பிட்கள் வண்ண ஆழக் காரணி
8 1
10 1.25
12 1.5
16 2.0
RX TMDS கடிகாரம் tmds_clk_in பற்றி HDMI RX இலிருந்து TMDS கடிகார சேனலை இணைத்து, குறிப்பு கடிகாரத்துடன் IOPLL உடன் இணைக்கிறது.
RX CDR குறிப்பு கடிகாரம் 0 /TX PLL குறிப்பு கடிகாரம் 0 fr_clk (முழு_நேரம்) RX CDR மற்றும் TX PLL க்கு இலவசமாக இயங்கும் குறிப்பு கடிகாரம். பவர்-அப் அளவுத்திருத்தத்திற்கு இந்த கடிகாரம் தேவை.
RX CDR குறிப்பு கடிகாரம் 1 ஐஓபிஎல்_அவுட்க்ள்க்0 RX டிரான்ஸ்ஸீவரின் RX CDRக்கான குறிப்பு கடிகாரம்.
தரவு விகிதம் RX குறிப்பு கடிகார அதிர்வெண்
தரவு வீதம் <1 Gbps 5× TMDS கடிகார அதிர்வெண்
1 Gbps< தரவு வீதம்

<3.4 ஜிபிபிஎஸ்

TMDS கடிகார அதிர்வெண்
தரவு வீதம் >3.4 Gbps 4× TMDS கடிகார அதிர்வெண்
• டேட்டா வீதம் <1 Gbps: ஓவர்களுக்குampடிரான்ஸ்ஸீவரின் குறைந்தபட்ச தரவு வீதத் தேவையைப் பூர்த்தி செய்யும் வகையில் லிங்க்.
• தரவு வீதம் >3.4 Gbps: டிரான்ஸ்ஸீவர் தரவு வீதம் முதல் கடிகார விகிதம் 1/40 இல் பராமரிக்க TMDS பிட் வீதம் முதல் கடிகார விகிதம் 1/10 ஐ ஈடுசெய்ய.
குறிப்பு: CDR குறிப்பு கடிகாரமாக டிரான்ஸ்ஸீவர் RX பின்னைப் பயன்படுத்த வேண்டாம். நீங்கள் HDMI RX refclk ஐ ஒரு RX பின்னில் வைத்தால் உங்கள் வடிவமைப்பு பொருந்தாது.
RX டிரான்ஸ்ஸீவர் கடிகாரம் அவுட் rx_clk டிரான்ஸ்ஸீவரில் இருந்து கடிகாரம் மீட்டெடுக்கப்பட்டது, மேலும் ஒரு கடிகாரத்திற்கான தரவு வீதம் மற்றும் குறியீடுகளைப் பொறுத்து அதிர்வெண் மாறுபடும்.

RX டிரான்ஸ்ஸீவர் கடிகார வெளியீட்டு அதிர்வெண் = டிரான்ஸ்ஸீவர் தரவு வீதம்/ (ஒரு கடிகாரத்திற்கான சின்னம்*10)

மேலாண்மை கடிகாரம் mgmt_clk இந்த கூறுகளுக்கு இலவசமாக இயங்கும் 100 மெகா ஹெர்ட்ஸ் கடிகாரம்:
• மறுகட்டமைப்பிற்கான Avalon-MM இடைமுகங்கள்
— அதிர்வெண் வரம்பு தேவை 100–125 MHz க்கு இடையில் உள்ளது.
•, டிரான்ஸ்ஸீவர் மீட்டமைப்பு வரிசைக்கான PHY மீட்டமைப்பு கட்டுப்படுத்தி
— அதிர்வெண் வரம்பு தேவை 1–500 மெகா ஹெர்ட்ஸ்.
• IOPLL மறுகட்டமைப்பு
— அதிகபட்ச கடிகார அதிர்வெண் 100 மெகா ஹெர்ட்ஸ் ஆகும்.
• நிர்வாகத்திற்கான RX மறுகட்டமைப்பு
• CPU
• I2C மாஸ்டர்
I2C கடிகாரம் i2c_clk I100C ஸ்லேவை க்ளாக் செய்யும் 2 MHz கடிகார உள்ளீடு, SCDC HDMI RX கோர் மற்றும் EDID RAM இல் பதிவு செய்கிறது.

தொடர்புடைய தகவல்

  • டிரான்ஸ்ஸீவர் RX பின்னை CDR குறிப்பு கடிகாரமாகப் பயன்படுத்துதல்
  • டிரான்ஸ்ஸீவர் RX பின்னை TX PLL குறிப்பு கடிகாரமாகப் பயன்படுத்துதல்

3.7 இடைமுக சமிக்ஞைகள்
HDMI இன்டெல் FPGA IP வடிவமைப்புக்கான சிக்னல்களை அட்டவணைகள் பட்டியலிடுகின்றன.ampலெ.
அட்டவணை 41. மேல் நிலை சமிக்ஞைகள்

சிக்னல் திசை அகலம்

விளக்கம்

ஆன்-போர்டு ஆஸிலேட்டர் சிக்னல்
clk_fpga_b3_p உள்ளீடு 1 முக்கிய குறிப்பு கடிகாரத்திற்கான 100 மெகா ஹெர்ட்ஸ் இலவச இயங்கும் கடிகாரம்
REFCLK_FMCB_P (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1 டிரான்ஸ்ஸீவர் குறிப்பு கடிகாரத்திற்கான 625 MHz இலவச இயங்கும் கடிகாரம்; இந்த கடிகாரம் எந்த அதிர்வெண்ணிலும் இருக்கலாம்.
பயனர் புஷ் பொத்தான்கள் மற்றும் எல்.ஈ
user_pb உள்ளீடு 1 HDMI இன்டெல் FPGA IP வடிவமைப்பு செயல்பாட்டைக் கட்டுப்படுத்த பொத்தானை அழுத்தவும்.
cpu_resetn உள்ளீடு 1 உலகளாவிய மீட்டமைப்பு
user_led_g வெளியீடு 4 பச்சை LED காட்சி
LED செயல்பாடுகள் பற்றிய கூடுதல் தகவலுக்கு பக்கம் 89 இல் உள்ள வன்பொருள் அமைப்பைப் பார்க்கவும்.
பயனர்_தலைமை_ஆர் வெளியீடு 4 சிவப்பு LED காட்சி
LED செயல்பாடுகள் பற்றிய கூடுதல் தகவலுக்கு பக்கம் 89 இல் உள்ள வன்பொருள் அமைப்பைப் பார்க்கவும்.
எஃப்எம்சி போர்ட் பியில் எச்டிஎம்ஐ எஃப்எம்சி மகள் கார்டு பின்கள்
fmcb_gbtclk_m2c_p_0 உள்ளீடு 1 HDMI RX TMDS கடிகாரம்
fmcb_dp_m2c_p உள்ளீடு 3 HDMI RX சிவப்பு, பச்சை மற்றும் நீல தரவு சேனல்கள்
• பிடெக் மகள் அட்டை திருத்தம் 11
— [0]: RX TMDS சேனல் 1 (பச்சை)
— [1]: RX TMDS சேனல் 2 (சிவப்பு)
— [2]: RX TMDS சேனல் 0 (நீலம்)
• பிடெக் மகள் அட்டை திருத்தம் 4 அல்லது 6
— [0]: RX TMDS சேனல் 1 (பச்சை)— துருவமுனைப்பு தலைகீழாக மாற்றப்பட்டது
— [1]: RX TMDS சேனல் 0 (நீலம்)— துருவமுனைப்பு தலைகீழாக மாற்றப்பட்டது
— [2]: RX TMDS சேனல் 2 (சிவப்பு)— துருவமுனைப்பு தலைகீழாக மாற்றப்பட்டது
fmcb_dp_c2m_p வெளியீடு 4 HDMI TX கடிகாரம், சிவப்பு, பச்சை மற்றும் நீல தரவு சேனல்கள்
• Bitec மகள் அட்டை திருத்தம் 11
— [0]: TX TMDS சேனல் 2 (சிவப்பு)
— [1]: TX TMDS சேனல் 1 (பச்சை)
— [2]: TX TMDS சேனல் 0 (நீலம்)
— [3]: TX TMDS கடிகார சேனல்
• பிடெக் மகள் அட்டை திருத்தம் 4 அல்லது 6
— [0]: TX TMDS கடிகார சேனல்
— [1]: TX TMDS சேனல் 0 (நீலம்)
— [2]: TX TMDS சேனல் 1 (பச்சை)
— [3]: TX TMDS சேனல் 2 (சிவப்பு)
fmcb_la_rx_p_9 உள்ளீடு 1 HDMI RX +5V பவர் கண்டறிதல்
fmcb_la_rx_p_8 வெளியே 1 HDMI RX ஹாட் பிளக் கண்டறிதல்
fmcb_la_rx_n_8 வெளியே 1 DDC மற்றும் SCDCக்கான HDMI RX I2C SDA
fmcb_la_tx_p_10 உள்ளீடு 1 DDC மற்றும் SCDCக்கான HDMI RX I2C SCL
fmcb_la_tx_p_12 உள்ளீடு 1 HDMI TX ஹாட் பிளக் கண்டறிதல்
fmcb_la_tx_n_12 வெளியே 1 DDC மற்றும் SCDCக்கான HDMI I2C SDA
fmcb_la_rx_p_10 வெளியே 1 DDC மற்றும் SCDCக்கான HDMI I2C SCL
fmcb_la_tx_p_11 வெளியே 1 ரீட்ரைவர் கட்டுப்பாட்டுக்கான HDMI I2C SDA
fmcb_la_rx_n_9 வெளியே 1 ரீட்ரைவர் கட்டுப்பாட்டுக்கான HDMI I2C SCL

அட்டவணை 42. HDMI RX உயர் நிலை சமிக்ஞைகள்

சிக்னல் திசை அகலம்

விளக்கம்

கடிகாரம் மற்றும் சிக்னல்களை மீட்டமைக்கவும்
mgmt_clk உள்ளீடு 1 கணினி கடிகார உள்ளீடு (100 MHz)
fr_clk (Intel Quartus Prime Pro பதிப்பு) உள்ளீடு 1 முதன்மை டிரான்ஸ்ஸீவர் குறிப்பு கடிகாரத்திற்கான இலவச இயங்கும் கடிகாரம் (625 MHz). பவர்-அப் நிலையில் இருக்கும்போது டிரான்ஸ்ஸீவர் அளவுத்திருத்தத்திற்கு இந்த கடிகாரம் தேவைப்படுகிறது. இந்த கடிகாரம் எந்த அதிர்வெண்ணிலும் இருக்கலாம்.
மீட்டமை உள்ளீடு 1 கணினி மீட்டமைப்பு உள்ளீடு

சிக்னல்

திசை அகலம்

விளக்கம்

கடிகாரம் மற்றும் சிக்னல்களை மீட்டமைக்கவும்
reset_xcvr_powerup (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1 டிரான்ஸ்ஸீவர் மீட்டமைப்பு உள்ளீடு. இந்த சமிக்ஞை பவர்-அப் நிலையில் குறிப்பு கடிகாரங்களை மாற்றும் செயல்முறையின் போது (இலவசமாக இயங்கும் கடிகாரத்திலிருந்து TMDS கடிகாரத்திற்கு) உறுதிப்படுத்தப்படுகிறது.
tmds_clk_in பற்றி உள்ளீடு 1 HDMI RX TMDS கடிகாரம்
i2c_clk உள்ளீடு 1 DDC மற்றும் SCDC இடைமுகத்திற்கான கடிகார உள்ளீடு
வீடியோ_clk_out வெளியீடு 1 வீடியோ கடிகார வெளியீடு
ls_clk_out (கள்_கிளக்_அவுட்) வெளியீடு 1 இணைப்பு வேக கடிகார வெளியீடு
sys_init (sys_init) என்பது sys_init ஆகும். வெளியீடு 1 பவர்-அப் செய்யும்போது சிஸ்டத்தை மீட்டமைக்க சிஸ்டத்தை துவக்குதல்.
RX Transceiver மற்றும் IOPLL சிக்னல்கள்
rx_serial_data உள்ளீடு 3 RX நேட்டிவ் PHY-க்கு HDMI தொடர் தரவு
gxb_rx_தயார் வெளியீடு 1 RX நேட்டிவ் PHY தயாராக இருப்பதைக் குறிக்கிறது.
gxb_rx_cal_busy_out_tamil வெளியீடு 3 டிரான்ஸ்ஸீவர் நடுவருக்கு RX நேட்டிவ் PHY அளவுத்திருத்தம் பிஸியாக உள்ளது.
gxb_rx_cal_busy_in_இன் உள்ளீடு 3 டிரான்ஸ்ஸீவர் ஆர்பிட்டரிலிருந்து RX நேட்டிவ் PHY க்கு அளவுத்திருத்த பிஸி சிக்னல்
ஐஓபிஎல்_லாக் செய்யப்பட்டது வெளியீடு 1 IOPLL பூட்டப்பட்டுள்ளதா என்பதைக் குறிக்கவும்.
gxb_reconfig_write உள்ளீடு 3 டிரான்ஸ்ஸீவர் மறுகட்டமைப்பு RX நேட்டிவ் PHY இலிருந்து டிரான்ஸ்ஸீவர் ஆர்பிட்டருக்கு Avalon-MM இடைமுகம்
gxb_reconfig_read உள்ளீடு 3
gxb_reconfig_முகவரி உள்ளீடு 30
gxb_reconfig_writedata உள்ளீடு 96
gxb_reconfig_readdata ஐப் பதிவிறக்கவும் வெளியீடு 96
gxb_reconfig_waitrequest (காத்திருப்பு கோரிக்கை) வெளியீடு 3
RX மறுகட்டமைப்பு மேலாண்மை
rx_reconfig_en வெளியீடு 1 RX மறுகட்டமைப்பு சிக்னலை செயல்படுத்துகிறது
அளவு வெளியீடு 24 HDMI RX TMDS கடிகார அதிர்வெண் அளவீடு (10 ms இல்)
அளவீடு_செல்லுபடியாகும் வெளியீடு 1 அளவீட்டு சமிக்ஞை செல்லுபடியாகும் என்பதைக் குறிக்கிறது.
os வெளியீடு 1 ஓவர்கள்ampலிங்க் காரணி:
• 0: ஓவர்கள் இல்லைampலிங்
• 1: 5× ஓவர்கள்ampலிங்
மறுகட்டமைப்பு_mgmt_எழுது வெளியீடு 1 RX மறுகட்டமைப்பு மேலாண்மை அவலோன் நினைவக-வரைபட இடைமுகம் டிரான்ஸ்ஸீவர் நடுவர்
மறுகட்டமைப்பு_mgmt_படிப்பு வெளியீடு 1
மறுகட்டமைப்பு_mgmt_முகவரி வெளியீடு 12
reconfig_mgmt_writedata வெளியீடு 32
மறுகட்டமைப்பு_mgmt_படித்த தரவு உள்ளீடு 32
reconfig_mgmt_waitrequest (காத்திருப்பு கோரிக்கை) உள்ளீடு 1
HDMI RX கோர் சிக்னல்கள்
TMDS_பிட்_கடிகார_விகிதம் வெளியீடு 1 SCDC பதிவு இடைமுகங்கள்
ஆடியோ_டி வெளியீடு 1 HDMI RX கோர் ஆடியோ இடைமுகங்கள்
மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள சிங்க் இடைமுகங்கள் பகுதியைப் பார்க்கவும்.
ஆடியோ_தரவு வெளியீடு 256
ஆடியோ_தகவல்_ஐ வெளியீடு 48
ஆடியோ_என் வெளியீடு 20
ஆடியோ_CTS வெளியீடு 20
ஆடியோ_மெட்டாடேட்டா வெளியீடு 165
ஆடியோ_வடிவம் வெளியீடு 5
aux_pkt_தரவு வெளியீடு 72 HDMI RX மைய துணை இடைமுகங்கள்
மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள சிங்க் இடைமுகங்கள் பகுதியைப் பார்க்கவும்.
aux_pkt_addr வெளியீடு 6
aux_pkt_wr_ஆஃப்லைன் வெளியீடு 1
aux_data (ஆக்ஸ்_டேட்டா) வெளியீடு 72
ஆக்ஸ்_சாப் வெளியீடு 1
ஆக்ஸ்_ஈஓபி வெளியீடு 1
aux_valid (ஆக்ஸ்_செல்லுபடியாகும்) வெளியீடு 1
துணைப் பிழை வெளியீடு 1
ஜிசிபி வெளியீடு 6 HDMI RX கோர் சைடுபேண்ட் சிக்னல்கள்
மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள சிங்க் இடைமுகங்கள் பகுதியைப் பார்க்கவும்.
தகவல்_avi வெளியீடு 112
தகவல்_விஎஸ்ஐ வெளியீடு 61
வண்ண ஆழம்_mgmt_ஒத்திசைவு வெளியீடு 2
வீடியோ_தரவு வெளியீடு N*48 HDMI RX கோர் வீடியோ போர்ட்கள்
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு சின்னங்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
vid_vsync வெளியீடு N
vid_hsync வெளியீடு N
வீடியோ_டி வெளியீடு N
முறை வெளியீடு 1 HDMI RX கோர் கட்டுப்பாடு மற்றும் நிலை போர்ட்கள்
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு சின்னங்கள்
பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
ctrl வெளியீடு N*6
பூட்டப்பட்டது வெளியீடு 3
வீடியோ_லாக் வெளியீடு 1
இன்_5வி_பவர் உள்ளீடு 1 HDMI RX 5V கண்டறிதல் மற்றும் ஹாட்பிளக் கண்டறிதல் ஆகியவற்றைப் பார்க்கவும் சிங்க் இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.
hdmi_rx_hpd_n பற்றி வெளியே 1
hdmi_rx_i2c_sda வெளியே 1 HDMI RX DDC மற்றும் SCDC இடைமுகம்
hdmi_rx_i2c_scl வெளியே 1
RX EDID ரேம் சிக்னல்கள்
எடிட்_ராம்_அணுகல் உள்ளீடு 1 HDMI RX EDID ரேம் அணுகல் இடைமுகம்.
நீங்கள் EDID RAM இலிருந்து எழுத அல்லது படிக்க விரும்பும் போது edid_ram_access ஐ உறுதிப்படுத்தவும், இல்லையெனில் இந்த சமிக்ஞை குறைவாக இருக்க வேண்டும்.
எடிட்_ராம்_முகவரி உள்ளீடு 8
எடிட்_ராம்_ரைட் உள்ளீடு 1
எடிட்_ராம்_படித்தேன் உள்ளீடு 1
எடிட்_ராம்_ரீட்டேட்டா வெளியீடு 8
எடிட்_ராம்_ரைட்டேட்டா உள்ளீடு 8
edid_ram_waitrequest வெளியீடு 1

அட்டவணை 43. HDMI TX உயர்-நிலை சமிக்ஞைகள்

சிக்னல் திசை அகலம் விளக்கம்
கடிகாரம் மற்றும் சிக்னல்களை மீட்டமைக்கவும்
mgmt_clk உள்ளீடு 1 கணினி கடிகார உள்ளீடு (100 MHz)
fr_clk (Intel Quartus Prime Pro பதிப்பு) உள்ளீடு 1 முதன்மை டிரான்ஸ்ஸீவர் குறிப்பு கடிகாரத்திற்கான இலவச இயங்கும் கடிகாரம் (625 MHz). பவர்-அப் நிலையில் இருக்கும்போது டிரான்ஸ்ஸீவர் அளவுத்திருத்தத்திற்கு இந்த கடிகாரம் தேவைப்படுகிறது. இந்த கடிகாரம் எந்த அதிர்வெண்ணிலும் இருக்கலாம்.
மீட்டமை உள்ளீடு 1 கணினி மீட்டமைப்பு உள்ளீடு
hdmi_clk_in_இன் உள்ளீடு 1 TX IOPLL மற்றும் TX PLL க்கான குறிப்பு கடிகாரம். கடிகார அதிர்வெண் TMDS கடிகார அதிர்வெண்ணைப் போன்றது.
வீடியோ_clk_out வெளியீடு 1 வீடியோ கடிகார வெளியீடு
ls_clk_out (கள்_கிளக்_அவுட்) வெளியீடு 1 இணைப்பு வேக கடிகார வெளியீடு
sys_init (sys_init) என்பது sys_init ஆகும். வெளியீடு 1 பவர்-அப் செய்யும்போது சிஸ்டத்தை மீட்டமைக்க சிஸ்டத்தை துவக்குதல்.
மீட்டமை_xcvr உள்ளீடு 1 TX டிரான்ஸ்ஸீவருக்கு மீட்டமைக்கவும்
மீட்டமை_pll உள்ளீடு 1 IOPLL மற்றும் TX PLL க்கு மீட்டமைக்கவும்.
மீட்டமை_pll_மறுகட்டமைப்பு வெளியீடு 1 PLL மறுகட்டமைப்பிற்கு மீட்டமைக்கவும்
TX டிரான்ஸ்ஸீவர் மற்றும் IOPLL சிக்னல்கள்
tx_serial_data வெளியீடு 4 TX நேட்டிவ் PHY இலிருந்து HDMI தொடர் தரவு
gxb_tx_தயார் வெளியீடு 1 TX நேட்டிவ் PHY தயாராக இருப்பதைக் குறிக்கிறது.
gxb_tx_cal_busy_out (பணியே_வேண்டாம்) வெளியீடு 4 டிரான்ஸ்ஸீவர் நடுவருக்கு TX நேட்டிவ் PHY அளவுத்திருத்த பிஸி சிக்னல்
gxb_tx_cal_busy_in_இன் உள்ளீடு 4 டிரான்ஸ்ஸீவர் ஆர்பிட்டரிலிருந்து TX நேட்டிவ் PHYக்கு அளவுத்திருத்த பிஸி சிக்னல்
TX டிரான்ஸ்ஸீவர் மற்றும் IOPLL சிக்னல்கள்
ஐஓபிஎல்_லாக் செய்யப்பட்டது வெளியீடு 1 IOPLL பூட்டப்பட்டுள்ளதா என்பதைக் குறிக்கவும்.
txpll_locked (txpll_locked) என்பது ட்விட்டர் செயலியின் ஒரு பகுதியாகும். வெளியீடு 1 TX PLL பூட்டப்பட்டுள்ளதைக் குறிக்கவும்.
gxb_reconfig_write உள்ளீடு 4 டிரான்ஸ்ஸீவர் மறுகட்டமைப்பு TX நேட்டிவ் PHY இலிருந்து டிரான்ஸ்ஸீவர் ஆர்பிட்டருக்கு அவலோன் நினைவக-வரைபட இடைமுகம்
gxb_reconfig_read உள்ளீடு 4
gxb_reconfig_முகவரி உள்ளீடு 40
gxb_reconfig_writedata உள்ளீடு 128
gxb_reconfig_readdata ஐப் பதிவிறக்கவும் வெளியீடு 128
gxb_reconfig_waitrequest (காத்திருப்பு கோரிக்கை) வெளியீடு 4
TX IOPLL மற்றும் TX PLL மறுகட்டமைப்பு சமிக்ஞைகள்
pll_reconfig_write/ tx_pll_reconfig_write உள்ளீடு 1 TX IOPLL/TX PLL மறுகட்டமைப்பு அவலோன் நினைவக-வரைபட இடைமுகங்கள்
pll_reconfig_read/ tx_pll_reconfig_read உள்ளீடு 1
pll_reconfig_address/ tx_pll_reconfig_address உள்ளீடு 10
pll_reconfig_writedata/ tx_pll_reconfig_writedata உள்ளீடு 32
pll_reconfig_readdata/ tx_pll_reconfig_readdata வெளியீடு 32
pll_reconfig_waitrequest/ tx_pll_reconfig_waitrequest வெளியீடு 1
os உள்ளீடு 2 ஓவர்கள்ampலிங்க் காரணி:
• 0: ஓவர்கள் இல்லைampலிங்
• 1: 3× ஓவர்கள்ampலிங்
• 2: 4× ஓவர்கள்ampலிங்
• 3: 5× ஓவர்கள்ampலிங்
அளவு உள்ளீடு 24 கடத்தும் வீடியோ தெளிவுத்திறனின் TMDS கடிகார அதிர்வெண்ணைக் குறிக்கிறது.
HDMI TX கோர் சிக்னல்கள்
ctrl உள்ளீடு 6*N HDMI TX மைய கட்டுப்பாட்டு இடைமுகங்கள்
குறிப்பு: என் = ஒரு கடிகாரத்திற்கான சின்னங்கள்
மூல இடைமுகங்கள் பகுதியைப் பார்க்கவும் HDMI மேலும் தகவலுக்கு இன்டெல் FPGA IP பயனர் வழிகாட்டி.
முறை உள்ளீடு 1
TMDS_பிட்_கடிகார_விகிதம் உள்ளீடு 1 SCDC பதிவு இடைமுகங்கள்

மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள மூல இடைமுகங்கள் பகுதியைப் பார்க்கவும்.

ஸ்க்ராம்ப்ளர்_இயக்கு உள்ளீடு 1
ஆடியோ_டி உள்ளீடு 1 HDMI TX கோர் ஆடியோ இடைமுகங்கள்

பார்க்கவும் மூல இடைமுகங்கள் பிரிவில் HDMI இன்டெல் FPGA IP பயனர் கையேடு மேலும் தகவலுக்கு.

ஆடியோ_முடக்கு உள்ளீடு 1
ஆடியோ_தரவு உள்ளீடு 256
தொடர்ந்தது…
HDMI TX கோர் சிக்னல்கள்
ஆடியோ_தகவல்_ஐ உள்ளீடு 49
ஆடியோ_என் உள்ளீடு 22
ஆடியோ_CTS உள்ளீடு 22
ஆடியோ_மெட்டாடேட்டா உள்ளீடு 166
ஆடியோ_வடிவம் உள்ளீடு 5
i2c_மாஸ்டர்_எழுது உள்ளீடு 1 TX I2C மாஸ்டர் Avalon நினைவக-மேப் செய்யப்பட்ட இடைமுகம் TX மையத்திற்குள் I2C மாஸ்டருக்கு.
குறிப்பு: நீங்கள் இயக்கினால் மட்டுமே இந்த சிக்னல்கள் கிடைக்கும் I2C ஐ சேர்க்கவும் அளவுரு.
i2c_மாஸ்டர்_படித்தேன் உள்ளீடு 1
i2c_மாஸ்டர்_முகவரி உள்ளீடு 4
i2c_மாஸ்டர்_எழுது தரவு உள்ளீடு 32
i2c_மாஸ்டர்_ரீட்டேட்டா வெளியீடு 32
ஆக்ஸ்_ரெடி வெளியீடு 1 HDMI TX கோர் துணை இடைமுகங்கள்

மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள மூல இடைமுகங்கள் பகுதியைப் பார்க்கவும்.

aux_data (ஆக்ஸ்_டேட்டா) உள்ளீடு 72
ஆக்ஸ்_சாப் உள்ளீடு 1
ஆக்ஸ்_ஈஓபி உள்ளீடு 1
aux_valid (ஆக்ஸ்_செல்லுபடியாகும்) உள்ளீடு 1
ஜிசிபி உள்ளீடு 6 HDMI TX கோர் சைடுபேண்ட் சிக்னல்கள்
மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள மூல இடைமுகங்கள் பகுதியைப் பார்க்கவும்.
தகவல்_avi உள்ளீடு 113
தகவல்_விஎஸ்ஐ உள்ளீடு 62
வீடியோ_தரவு உள்ளீடு N*48 HDMI TX கோர் வீடியோ போர்ட்கள்
குறிப்பு: N = ஒரு கடிகாரத்திற்கு குறியீடுகள்
மேலும் தகவலுக்கு HDMI Intel FPGA IP பயனர் வழிகாட்டியில் உள்ள மூல இடைமுகங்கள் பகுதியைப் பார்க்கவும்.
vid_vsync உள்ளீடு N
vid_hsync உள்ளீடு N
வீடியோ_டி உள்ளீடு N
I2C மற்றும் ஹாட் பிளக் கண்டறிதல் சிக்னல்கள்
nios_tx_i2c_sda_in (Intel Quartus Prime Pro பதிப்பு)
குறிப்பு: நீங்கள் இயக்கும் போது I2C ஐ சேர்க்கவும் அளவுருவைப் பயன்படுத்தினால், இந்த சமிக்ஞை TX மையத்தில் வைக்கப்பட்டுள்ளது, மேலும் இந்த மட்டத்தில் அது தெரியாது.
வெளியீடு 1 I2C மாஸ்டர் அவலோன் நினைவக-வரைபட இடைமுகங்கள்
nios_tx_i2c_scl_in (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
குறிப்பு: நீங்கள் இயக்கும் போது I2C ஐ சேர்க்கவும் அளவுருவைப் பயன்படுத்தினால், இந்த சமிக்ஞை TX மையத்தில் வைக்கப்பட்டுள்ளது, மேலும் இந்த மட்டத்தில் அது தெரியாது.
வெளியீடு 1
nios_tx_i2c_sda_oe (Intel Quartus Prime Pro பதிப்பு)
குறிப்பு: நீங்கள் இயக்கும் போது I2C ஐ சேர்க்கவும் அளவுருவைப் பயன்படுத்தினால், இந்த சமிக்ஞை TX மையத்தில் வைக்கப்பட்டுள்ளது, மேலும் இந்த மட்டத்தில் அது தெரியாது.
உள்ளீடு 1
தொடர்ந்தது…
I2C மற்றும் ஹாட் பிளக் கண்டறிதல் சிக்னல்கள்
nios_tx_i2c_scl_oe (Intel Quartus Prime Pro பதிப்பு)
குறிப்பு: நீங்கள் இயக்கும் போது I2C ஐ சேர்க்கவும் அளவுருவைப் பயன்படுத்தினால், இந்த சமிக்ஞை TX மையத்தில் வைக்கப்பட்டுள்ளது, மேலும் இந்த மட்டத்தில் அது தெரியாது.
உள்ளீடு 1
nios_ti_i2c_sda_in (Intel Quartus Prime Pro பதிப்பு) வெளியீடு 1
nios_ti_i2c_scl_in (Intel Quartus Prime Pro பதிப்பு) வெளியீடு 1
nios_ti_i2c_sda_oe (Intel Quartus Prime Pro பதிப்பு) உள்ளீடு 1
nios_ti_i2c_scl_oe (Intel Quartus Prime Pro பதிப்பு) உள்ளீடு 1
hdmi_tx_i2c_sda வெளியே 1 HDMI TX DDC மற்றும் SCDC இடைமுகங்கள்
hdmi_tx_i2c_scl வெளியே 1
hdmi_ti_i2c_sda (Intel Quartus Prime Pro பதிப்பு) வெளியே 1 Bitec Daughter Card Revision 2 TI11 கட்டுப்பாட்டுக்கான I181C இடைமுகம்
hdmi_tx_ti_i2c_sda (Intel Quartus Prime Standard Edition) வெளியே 1
hdmi_ti_i2c_scl (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியே 1
hdmi_tx_ti_i2c_scl (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியே 1
tx_i2c_avalon_waitrequest (காத்திருப்பு கோரிக்கை) வெளியீடு 1 I2C மாஸ்டரின் அவலோன் நினைவக-வரைபட இடைமுகங்கள்
tx_i2c_avalon_address (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 3
tx_i2c_avalon_writedata (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 8
tx_i2c_avalon_readdata (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 8
tx_i2c_avalon_chipselect (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 1
tx_i2c_avalon_write (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 1
tx_i2c_irq (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 1
tx_ti_i2c_avalon_waitrequest

(இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு)

வெளியீடு 1
tx_ti_i2c_avalon_address (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 3
tx_ti_i2c_avalon_writedata (Intel Quartus Prime Standard Edition) உள்ளீடு 8
tx_ti_i2c_avalon_readdata (Intel Quartus Prime Standard Edition) வெளியீடு 8
தொடர்ந்தது…
I2C மற்றும் ஹாட் பிளக் கண்டறிதல் சிக்னல்கள்
tx_ti_i2c_avalon_chipselect (Intel Quartus Prime Standard Edition) உள்ளீடு 1
tx_ti_i2c_avalon_write (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 1
tx_ti_i2c_irq (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 1
hdmi_tx_hpd_n உள்ளீடு 1 HDMI TX ஹாட்பிளக் கண்டறிதல் இடைமுகங்கள்
tx_hpd_ack_ஐப் பதிவிறக்கவும் உள்ளீடு 1
tx_hpd_req வெளியீடு 1

அட்டவணை 44. டிரான்ஸ்ஸீவர் ஆர்பிட்டர் சிக்னல்கள்

சிக்னல் திசை அகலம் விளக்கம்
clk உள்ளீடு 1 மறுசீரமைப்பு கடிகாரம். இந்த கடிகாரம் அதே கடிகாரத்தை மறுகட்டமைப்பு மேலாண்மை தொகுதிகளுடன் பகிர்ந்து கொள்ள வேண்டும்.
மீட்டமை உள்ளீடு 1 சமிக்ஞையை மீட்டமைக்கவும். இந்த மீட்டமைப்பு அதே மீட்டமைப்பை மறுகட்டமைப்பு மேலாண்மை தொகுதிகளுடன் பகிர்ந்து கொள்ள வேண்டும்.
rx_rcfg_en உள்ளீடு 1 RX மறுகட்டமைப்பு செயல்படுத்தும் சமிக்ஞை
tx_rcfg_en உள்ளீடு 1 TX மறுகட்டமைப்பு செயல்படுத்தும் சமிக்ஞை
rx_rcfg_ch உள்ளீடு 2 RX மையத்தில் எந்த சேனலை மறுகட்டமைக்க வேண்டும் என்பதைக் குறிக்கிறது. இந்த சமிக்ஞை எப்போதும் உறுதியாக இருக்க வேண்டும்.
tx_rcfg_ch உள்ளீடு 2 TX மையத்தில் எந்த சேனலை மறுகட்டமைக்க வேண்டும் என்பதைக் குறிக்கிறது. இந்த சமிக்ஞை எப்போதும் உறுதியாக இருக்க வேண்டும்.
rx_reconfig_mgmt_write உள்ளீடு 1 RX மறுகட்டமைப்பு நிர்வாகத்திலிருந்து மறுகட்டமைப்பு அவலோன்-எம்எம் இடைமுகங்கள்
rx_reconfig_mgmt_read உள்ளீடு 1
rx_reconfig_mgmt_address உள்ளீடு 10
rx_reconfig_mgmt_writedata உள்ளீடு 32
rx_reconfig_mgmt_readdata வெளியீடு 32
rx_reconfig_mgmt_waitrequest வெளியீடு 1
tx_reconfig_mgmt_எழுது உள்ளீடு 1 TX மறுகட்டமைப்பு நிர்வாகத்திலிருந்து மறுகட்டமைப்பு அவலோன்-எம்எம் இடைமுகங்கள்
tx_reconfig_mgmt_read உள்ளீடு 1
tx_reconfig_mgmt_address உள்ளீடு 10
tx_reconfig_mgmt_writedata உள்ளீடு 32
tx_reconfig_mgmt_readdata வெளியீடு 32
tx_reconfig_mgmt_waitrequest வெளியீடு 1
reconfig_write வெளியீடு 1 டிரான்ஸ்ஸீவருக்கு Avalon-MM இடைமுகங்களை மறுகட்டமைத்தல்
reconfig_read வெளியீடு 1
தொடர்ந்தது…
சிக்னல் திசை அகலம் விளக்கம்
reconfig_address வெளியீடு 10
reconfig_writedata (எழுத்துத் தரவு) வெளியீடு 32
rx_reconfig_readdata உள்ளீடு 32
rx_reconfig_waitrequest (காத்திருப்பு கோரிக்கை) உள்ளீடு 1
tx_reconfig_readdata ஐப் படிக்கவும் உள்ளீடு 1
tx_reconfig_waitrequest உள்ளீடு 1
rx_cal_busy உள்ளீடு 1 RX டிரான்ஸ்ஸீவரிலிருந்து அளவுத்திருத்த நிலை சமிக்ஞை
tx_cal_busy உள்ளீடு 1 TX டிரான்ஸ்ஸீவரிலிருந்து அளவுத்திருத்த நிலை சமிக்ஞை
rx_reconfig_cal_busy வெளியீடு 1 RX டிரான்ஸ்ஸீவர் PHY மீட்டமைப்பு கட்டுப்பாட்டுக்கு அளவுத்திருத்த நிலை சமிக்ஞை.
tx_reconfig_cal_busy வெளியீடு 1 TX டிரான்ஸ்ஸீவர் PHY மீட்டமைப்பு கட்டுப்பாட்டிலிருந்து அளவுத்திருத்த நிலை சமிக்ஞை.

அட்டவணை 45. RX-TX இணைப்பு சமிக்ஞைகள்

சிக்னல் திசை அகலம் விளக்கம்
மீட்டமை உள்ளீடு 1 வீடியோ/ஆடியோ/துணை/பக்கப்பட்டைகள் FIFO இடையகத்திற்கு மீட்டமைக்கவும்.
hdmi_tx_ls_clk_ உள்ளீடு 1 HDMI TX இணைப்பு வேக கடிகாரம்
hdmi_rx_ls_clk_ உள்ளீடு 1 HDMI RX இணைப்பு வேக கடிகாரம்
hdmi_tx_vid_clk_எழுத்துரு உள்ளீடு 1 HDMI TX வீடியோ கடிகாரம்
hdmi_rx_vid_clk_எழுத்துரு உள்ளீடு 1 HDMI RX வீடியோ கடிகாரம்
hdmi_rx_locked (hdmi_rx_locked) என்பது हिंदी समानी स्तुती स्तुती स्तुती स्तीयान உள்ளீடு 3 HDMI RX பூட்டப்பட்ட நிலையைக் குறிக்கிறது
hdmi_rx_de பற்றி உள்ளீடு N HDMI RX வீடியோ இடைமுகங்கள்
குறிப்பு: என் = ஒரு கடிகாரத்திற்கு சின்னங்கள்
hdmi_rx_hsync உள்ளீடு N
hdmi_rx_vsync உள்ளீடு N
hdmi_rx_தரவு உள்ளீடு N*48
rx_ஆடியோ_வடிவம் உள்ளீடு 5 HDMI RX ஆடியோ இடைமுகங்கள்
rx_ஆடியோ_மெட்டாடேட்டா உள்ளீடு 165
rx_ஆடியோ_தகவல்_ஐ உள்ளீடு 48
rx_ஆடியோ_CTS உள்ளீடு 20
rx_ஆடியோ_என் உள்ளீடு 20
rx_ஆடியோ_டி உள்ளீடு 1
rx_ஆடியோ_தரவு உள்ளீடு 256
rx_gcp பற்றி உள்ளீடு 6 HDMI RX பக்கப்பட்டி இடைமுகங்கள்
rx_தகவல்_avi உள்ளீடு 112
rx_தகவல்_vsi உள்ளீடு 61
தொடர்ந்தது…
சிக்னல் திசை அகலம் விளக்கம்
rx_aux_eop உள்ளீடு 1 HDMI RX துணை இடைமுகங்கள்
rx_aux_sop உள்ளீடு 1
rx_aux_valid உள்ளீடு 1
rx_aux_data உள்ளீடு 72
hdmi_tx_de (எச்டிஎம்ஐ_டிஎக்ஸ்_டி) வெளியீடு N HDMI TX வீடியோ இடைமுகங்கள்

குறிப்பு: என் = ஒரு கடிகாரத்திற்கு சின்னங்கள்

hdmi_tx_hsync வெளியீடு N
hdmi_tx_vsync வெளியீடு N
hdmi_tx_தரவு வெளியீடு N*48
tx_ஆடியோ_வடிவம் வெளியீடு 5 HDMI TX ஆடியோ இடைமுகங்கள்
tx_ஆடியோ_மெட்டாடேட்டா வெளியீடு 165
tx_ஆடியோ_தகவல்_ஐ வெளியீடு 48
tx_ஆடியோ_CTS வெளியீடு 20
tx_ஆடியோ_என் வெளியீடு 20
tx_ஆடியோ_டி வெளியீடு 1
tx_ஆடியோ_தரவு வெளியீடு 256
tx_gcp பற்றி வெளியீடு 6 HDMI TX பக்கப்பட்டி இடைமுகங்கள்
tx_தகவல்_avi வெளியீடு 112
tx_தகவல்_vsi வெளியீடு 61
tx_aux_eop வெளியீடு 1 HDMI TX துணை இடைமுகங்கள்
tx_aux_sop வெளியீடு 1
tx_aux_valid வெளியீடு 1
tx_aux_data வெளியீடு 72
tx_aux_ready வெளியீடு 1

அட்டவணை 46. பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம் சிக்னல்கள்

சிக்னல் திசை அகலம் விளக்கம்
cpu_clk (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 1 CPU கடிகாரம்
clock_bridge_0_in_clk_clk (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
cpu_clk_reset_n (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 1 CPU மீட்டமைப்பு
reset_bridge_0_reset_reset_n (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு)
tmds_bit_clock_ratio_pio_external_connection n_export உள்ளீடு 1 TMDS பிட் கடிகார விகிதம்
அளவீடு_பியோ_வெளிப்புற_இணைப்பு_ஏற்றுமதி உள்ளீடு 24 எதிர்பார்க்கப்படும் TMDS கடிகார அதிர்வெண்
தொடர்ந்தது…
சிக்னல் திசை அகலம் விளக்கம்
measure_valid_pio_external_connection_expor t உள்ளீடு 1 PIO அளவீடு செல்லுபடியாகும் என்பதைக் குறிக்கிறது.
i2c_master_i2c_serial_sda_in (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1 I2C மாஸ்டர் இடைமுகங்கள்
i2c_master_i2c_serial_scl_in (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1
i2c_master_i2c_serial_sda_oe (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
i2c_master_i2c_serial_scl_oe (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
i2c_master_ti_i2c_serial_sda_in (Intel Quartus Prime Pro பதிப்பு) உள்ளீடு 1
i2c_master_ti_i2c_serial_scl_in (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1
i2c_master_ti_i2c_serial_sda_oe (Intel Quartus Prime Pro பதிப்பு) வெளியீடு 1
i2c_master_ti_i2c_serial_scl_oe (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_address (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 3 DDC மற்றும் SCDC க்கான I2C மாஸ்டர் அவலோன் நினைவக-வரைபட இடைமுகங்கள்
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_write (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_readdata (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 32
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_writedata (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 32
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_waitrequest (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1
oc_i2c_master_av_slave_translator_avalon_an ti_slave_0_chipselect (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
oc_i2c_master_ti_avalon_anti_slave_address (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 3 Bitec மகள் அட்டை திருத்தம் 2, T11 கட்டுப்பாட்டிற்கான I1181C மாஸ்டர் அவலோன் நினைவக-வரைபட இடைமுகங்கள்
oc_i2c_master_ti_avalon_anti_slave_write (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 1
oc_i2c_master_ti_avalon_anti_slave_readdata (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) உள்ளீடு 32
oc_i2c_master_ti_avalon_anti_slave_writedat a (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 32
oc_i2c_master_ti_avalon_anti_slave_waitrequ est (Intel Quartus Prime Standard Edition) உள்ளீடு 1
oc_i2c_master_ti_avalon_anti_slave_chipsele ct (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) வெளியீடு 1
தொடர்ந்தது…
சிக்னல் திசை அகலம் விளக்கம்
எடிட்_ராம்_அணுகல்_பியோ_வெளிப்புற_இணைப்பு_எக்ஸ்பி ஆர்ட் வெளியீடு 1 EDID RAM அணுகல் இடைமுகங்கள்.
RX மேலே உள்ள EDID RAM-க்கு எழுத அல்லது படிக்க விரும்பும்போது edid_ram_access_pio_ external_connection_ export ஐ உறுதிப்படுத்தவும். பிளாட்ஃபார்ம் டிசைனரில் உள்ள EDID RAM அணுகல் Avalon-MM ஸ்லேவை உயர்மட்ட RX தொகுதிகளில் உள்ள EDID RAM இடைமுகத்துடன் இணைக்கவும்.
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_முகவரி வெளியீடு 8
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_எழுது வெளியீடு 1
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_படித்தார் வெளியீடு 1
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_படித்த தரவு உள்ளீடு 8
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_எழுது தரவு வெளியீடு 8
எடிட்_ராம்_ஸ்லேவ்_மொழிபெயர்ப்பாளர்_காத்திருப்பு கோரிக்கை உள்ளீடு 1
powerup_cal_done_export (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1 RX PMA மறுகட்டமைப்பு அவலோன் நினைவக-வரைபட இடைமுகங்கள்
rx_pma_cal_busy_export (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1
rx_pma_ch_export (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 2
rx_pma_rcfg_mgmt_address (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 12
rx_pma_rcfg_mgmt_write (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
rx_pma_rcfg_mgmt_read (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
rx_pma_rcfg_mgmt_readdata (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 32
rx_pma_rcfg_mgmt_writedata (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 32
rx_pma_rcfg_mgmt_waitrequest (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1
rx_pma_waitrequest_export (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) உள்ளீடு 1
rx_rcfg_en_export (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
rx_rst_xcvr_export (இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு) வெளியீடு 1
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_waitrequest உள்ளீடு 1 TX PLL மறுகட்டமைப்பு அவலோன் நினைவக-வரைபட இடைமுகங்கள்
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_writedata வெளியீடு 32
tx_pll_rcfg_mgmt_translator_avalon_anti_sla முகவரி வெளியீடு 10
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_write வெளியீடு 1
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_read வெளியீடு 1
tx_pll_rcfg_mgmt_translator_avalon_anti_sla ve_readdata உள்ளீடு 32
தொடர்ந்தது…
சிக்னல் திசை அகலம் விளக்கம்
tx_pll_waitrequest_pio_external_connection_ ஏற்றுமதி உள்ளீடு 1 TX PLL காத்திருப்பு கோரிக்கை
tx_pma_rcfg_mgmt_மொழிபெயர்ப்பாளர்_avalon_anti_sla முகவரி வெளியீடு 12 TX PMA மறுகட்டமைப்பு அவலோன் நினைவக-வரைபட இடைமுகங்கள்
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_write வெளியீடு 1
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_read வெளியீடு 1
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_readdata உள்ளீடு 32
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_writedata வெளியீடு 32
tx_pma_rcfg_mgmt_translator_avalon_anti_sla ve_waitrequest உள்ளீடு 1
tx_pma_waitrequest_pio_external_connection_ ஏற்றுமதி உள்ளீடு 1 TX PMA காத்திருப்பு கோரிக்கை
tx_pma_cal_busy_pio_external_connection_exp ort உள்ளீடு 1 TX PMA மறுசீரமைப்பு பணி பிஸியாக உள்ளது
tx_pma_ch_ஏற்றுமதி வெளியீடு 2 TX PMA சேனல்கள்
tx_rcfg_en_pio_வெளிப்புற_இணைப்பு_ஏற்றுமதி வெளியீடு 1 TX PMA மறுகட்டமைப்பு இயக்கு
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_writedata வெளியீடு 32 TX IOPLL மறுகட்டமைப்பு அவலோன் நினைவக-வரைபட இடைமுகங்கள்
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_readdata உள்ளீடு 32
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_waitrequest உள்ளீடு 1
tx_iopll_rcfg_mgmt_மொழிபெயர்ப்பாளர்_avalon_anti_s lave_address வெளியீடு 9
tx_iopll_rcfg_mgmt_translator_avalon_anti_s lave_write வெளியீடு 1
tx_iopll_rcfg_mgmt_மொழிபெயர்ப்பாளர்_avalon_anti_s lave_read வெளியீடு 1
tx_os_pio_வெளிப்புற_இணைப்பு_ஏற்றுமதி வெளியீடு 2 ஓவர்கள்ampலிங்க் காரணி:
• 0: ஓவர்கள் இல்லைampலிங்
• 1: 3× ஓவர்கள்ampலிங்
• 2: 4× ஓவர்கள்ampலிங்
• 3: 5× ஓவர்கள்ampலிங்
tx_rst_pll_pio_வெளிப்புற_இணைப்பு_ஏற்றுமதி வெளியீடு 1 IOPLL மற்றும் TX PLL க்கு மீட்டமைக்கவும்.
tx_rst_xcvr_pio_வெளிப்புற_இணைப்பு_ஏற்றுமதி வெளியீடு 1 TX நேட்டிவ் PHYக்கு மீட்டமைக்கவும்
wd_timer_resetrequest_reset வெளியீடு 1 வாட்ச்டாக் டைமர் ரீசெட்
வண்ண_ஆழம்_பியோ_வெளிப்புற_இணைப்பு_ஏற்றுமதி உள்ளீடு 2 வண்ண ஆழம்
tx_hpd_ack_pio_வெளிப்புற_இணைப்பு_ஏற்றுமதி வெளியீடு 1 TX ஹாட்பிளக்கிற்கு கைகுலுக்கலைக் கண்டறியவும்
tx_hpd_req_pio_வெளிப்புற_இணைப்பு_ஏற்றுமதி உள்ளீடு 1

3.8. வடிவமைப்பு RTL அளவுருக்கள்
வடிவமைப்பைத் தனிப்பயனாக்க HDMI TX மற்றும் RX டாப் RTL அளவுருக்களைப் பயன்படுத்தவும்ampலெ.
பெரும்பாலான வடிவமைப்பு அளவுருக்கள் வடிவமைப்பு Ex இல் கிடைக்கின்றன.ampHDMI இன்டெல் FPGA IP அளவுரு எடிட்டரின் le தாவல். நீங்கள் இன்னும் வடிவமைப்பை மாற்றலாம் exampநீங்கள் அமைக்கிறீர்கள்
RTL அளவுருக்கள் மூலம் அளவுரு எடிட்டரில் உருவாக்கப்பட்டது.

அட்டவணை 47. HDMI RX சிறந்த அளவுருக்கள்

அளவுரு மதிப்பு விளக்கம்
ஆதரவு_டீப்_வண்ணம் • 0: ஆழமான நிறம் இல்லை
• 1: ஆழமான நிறம்
மையமானது ஆழமான வண்ண வடிவங்களை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
SUPPORT_AUXILIARY • 0: AUX இல்லை
• 1: AUX
துணை சேனல் குறியாக்கம் சேர்க்கப்பட்டுள்ளதா என்பதை தீர்மானிக்கிறது.
SYMBOLS_PER_CLOCK 8 Intel Arria 8 சாதனங்களுக்கு ஒரு கடிகாரத்திற்கு 10 சின்னங்களை ஆதரிக்கிறது.
SUPPORT_AUDIO • 0: ஆடியோ இல்லை
• 1: ஆடியோ
கோர் ஆடியோவை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
EDID_RAM_ADDR_WIDTH (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு) 8 (இயல்புநிலை மதிப்பு) EDID RAM அளவின் அடிப்படை 2 பதிவு.
BITEC_மகள்_கார்டு_REV • 0: எந்த Bitec HDMI மகள் கார்டையும் குறிவைக்கவில்லை
• 4: Bitec HDMI மகள் அட்டை திருத்தத்தை ஆதரிக்கிறது 4
• 6: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 6
•11: Bitec HDMI மகள் அட்டை திருத்தம் 11 ஐ இலக்காகக் கொண்டது (இயல்புநிலை)
பயன்படுத்தப்படும் Bitec HDMI மகள் அட்டையின் திருத்தத்தைக் குறிப்பிடுகிறது. திருத்தத்தை மாற்றும்போது, ​​வடிவமைப்பு Bitec HDMI மகள் அட்டை தேவைகளுக்கு ஏற்ப டிரான்ஸ்ஸீவர் சேனல்களை மாற்றி துருவமுனைப்பை மாற்றக்கூடும். நீங்கள் BITEC_DAUGHTER_CARD_REV அளவுருவை 0 ஆக அமைத்தால், வடிவமைப்பு டிரான்ஸ்ஸீவர் சேனல்கள் மற்றும் துருவமுனைப்பில் எந்த மாற்றங்களையும் செய்யாது.
POLARITY_INVERSION • 0: தலைகீழ் துருவமுனைப்பு
• 1: துருவமுனைப்பை தலைகீழாக மாற்ற வேண்டாம்
உள்ளீட்டுத் தரவின் ஒவ்வொரு பிட்டின் மதிப்பையும் மாற்ற, இந்த அளவுருவை 1 ஆக அமைக்கவும். இந்த அளவுருவை 1 ஆக அமைப்பது RX டிரான்ஸ்ஸீவரின் rx_polinv போர்ட்டிற்கு 4'b1111 ஐ ஒதுக்குகிறது.

அட்டவணை 48. HDMI TX மேல் அளவுருக்கள்

அளவுரு மதிப்பு விளக்கம்
USE_FPLL 1 Intel Cyclone® 10 GX சாதனங்களுக்கு மட்டுமே fPLL ஐ TX PLL ஆக ஆதரிக்கிறது. இந்த அளவுருவை எப்போதும் 1 ஆக அமைக்கவும்.
ஆதரவு_டீப்_வண்ணம் • 0: ஆழமான நிறம் இல்லை
• 1: ஆழமான நிறம்
மையமானது ஆழமான வண்ண வடிவங்களை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
SUPPORT_AUXILIARY • 0: AUX இல்லை
• 1: AUX
துணை சேனல் குறியாக்கம் சேர்க்கப்பட்டுள்ளதா என்பதை தீர்மானிக்கிறது.
SYMBOLS_PER_CLOCK 8 Intel Arria 8 சாதனங்களுக்கு ஒரு கடிகாரத்திற்கு 10 சின்னங்களை ஆதரிக்கிறது.
தொடர்ந்தது…
அளவுரு மதிப்பு விளக்கம்
SUPPORT_AUDIO • 0: ஆடியோ இல்லை
• 1: ஆடியோ
கோர் ஆடியோவை குறியாக்கம் செய்ய முடியுமா என்பதை தீர்மானிக்கிறது.
BITEC_மகள்_கார்டு_REV • 0: எந்த Bitec HDMI மகள் அட்டையையும் இலக்காகக் கொண்டிருக்கவில்லை
• 4: Bitec HDMI மகள் அட்டை திருத்தத்தை ஆதரிக்கிறது 4
• 6: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 6
• 11: இலக்கு Bitec HDMI மகள் அட்டை திருத்தம் 11 (இயல்புநிலை)
பயன்படுத்தப்படும் Bitec HDMI மகள் அட்டையின் திருத்தத்தைக் குறிப்பிடுகிறது. திருத்தத்தை மாற்றும்போது, ​​வடிவமைப்பு Bitec HDMI மகள் அட்டை தேவைகளுக்கு ஏற்ப டிரான்ஸ்ஸீவர் சேனல்களை மாற்றி துருவமுனைப்பை மாற்றக்கூடும். நீங்கள் BITEC_DAUGHTER_CARD_REV அளவுருவை 0 ஆக அமைத்தால், வடிவமைப்பு டிரான்ஸ்ஸீவர் சேனல்கள் மற்றும் துருவமுனைப்பில் எந்த மாற்றங்களையும் செய்யாது.
POLARITY_INVERSION • 0: தலைகீழ் துருவமுனைப்பு
• 1: துருவமுனைப்பை தலைகீழாக மாற்ற வேண்டாம்
உள்ளீட்டுத் தரவின் ஒவ்வொரு பிட்டின் மதிப்பையும் மாற்ற, இந்த அளவுருவை 1 ஆக அமைக்கவும். இந்த அளவுருவை 1 ஆக அமைப்பது TX டிரான்ஸ்ஸீவரின் tx_polinv போர்ட்டிற்கு 4'b1111 ஐ ஒதுக்குகிறது.

3.9. வன்பொருள் அமைப்பு
HDMI இன்டெல் FPGA IP வடிவமைப்பு முன்னாள்ample HDMI 2.0b திறன் கொண்டது மற்றும் ஒரு நிலையான HDMI வீடியோ ஸ்ட்ரீமிற்கான லூப்த்ரூ ஆர்ப்பாட்டத்தை செய்கிறது.
வன்பொருள் சோதனையை இயக்க, HDMI-இயக்கப்பட்ட சாதனத்தை - HDMI இடைமுகத்துடன் கூடிய கிராபிக்ஸ் அட்டை போன்றவற்றை - டிரான்ஸ்ஸீவர் நேட்டிவ் PHY RX தொகுதி மற்றும் HDMI சிங்க்குடன் இணைக்கவும்.
உள்ளீடு.

  1. HDMI சிங்க் போர்ட்டை ஒரு நிலையான வீடியோ ஸ்ட்ரீமில் டிகோட் செய்து கடிகார மீட்பு மையத்திற்கு அனுப்புகிறது.
  2. HDMI RX கோர் DCFIFO மூலம் HDMI TX மையத்திற்கு இணையாக மீண்டும் லூப் செய்யப்பட வேண்டிய வீடியோ, துணை மற்றும் ஆடியோ தரவை டிகோட் செய்கிறது.
  3. FMC மகள் கார்டின் HDMI மூல போர்ட் படத்தை ஒரு மானிட்டருக்கு அனுப்புகிறது.

குறிப்பு:
நீங்கள் மற்றொரு Intel FPGA டெவலப்மெண்ட் போர்டைப் பயன்படுத்த விரும்பினால், சாதனப் பணிகள் மற்றும் பின் அசைன்மென்ட்களை மாற்ற வேண்டும். டிரான்ஸ்ஸீவர் அனலாக் அமைப்பு Intel Arria 10 FPGA டெவலப்மெண்ட் கிட் மற்றும் Bitec HDMI 2.0 மகள் கார்டுக்காக சோதிக்கப்பட்டது. உங்கள் சொந்த பலகைக்கான அமைப்புகளை நீங்கள் மாற்றலாம்.

அட்டவணை 49. ஆன்-போர்டு புஷ் பட்டன் மற்றும் பயனர் LED செயல்பாடுகள்

புஷ் பட்டன்/எல்இடி செயல்பாடு
cpu_resetn கணினியை மீட்டமைக்க ஒருமுறை அழுத்தவும்.
user_pb[0] HPD சிக்னலை நிலையான HDMI மூலத்திற்கு மாற்ற ஒருமுறை அழுத்தவும்.
user_pb[1] • DVI குறியிடப்பட்ட சிக்னலை அனுப்ப TX மையத்தை அறிவுறுத்த அழுத்திப் பிடிக்கவும்.
• HDMI குறியிடப்பட்ட சிக்னலை அனுப்ப விடுவிக்கவும்.
user_pb[2] • பக்கப்பட்டி சிக்னல்களிலிருந்து இன்ஃபோஃப்ரேம்களை அனுப்புவதை நிறுத்த TX கோருக்கு அறிவுறுத்த அழுத்திப் பிடிக்கவும்.
• பக்கப்பட்டி சிக்னல்களிலிருந்து இன்ஃபோஃப்ரேம்களை அனுப்புவதை மீண்டும் தொடங்க விடுவிக்கவும்.
USER_LED[0] RX HDMI PLL பூட்டு நிலை.
• 0 = திறக்கப்பட்டது
• 1 = பூட்டப்பட்டது
USER_LED[1] RX டிரான்ஸ்ஸீவர் தயார் நிலை.
தொடர்ந்தது…
புஷ் பட்டன்/எல்இடி செயல்பாடு
• 0 = தயாராக இல்லை
• 1 = தயார்
USER_LED[2] RX HDMI கோர் பூட்டு நிலை.
• 0 = குறைந்தது 1 சேனல் திறக்கப்பட்டது
• 1 = 3 சேனல்களும் பூட்டப்பட்டுள்ளன
USER_LED[3] RX ஓவர்கள்ampலிங் நிலை.
• 0 = ஓவர்கள் அல்லாதவைampled (இன்டெல் அர்ரியா 1,000 சாதனத்தில் தரவு வீதம் > 10 Mbps)
• 1 = ஓவர்கள்ampled (இன்டெல் அர்ரியா 100 சாதனத்தில் தரவு வீதம் < 10 Mbps)
USER_LED[4] TX HDMI PLL பூட்டு நிலை.
• 0 = திறக்கப்பட்டது
• 1 = பூட்டப்பட்டது
USER_LED[5] TX டிரான்ஸ்ஸீவர் தயார் நிலை.
• 0 = தயாராக இல்லை
• 1 = தயார்
USER_LED[6] TX டிரான்ஸ்ஸீவர் PLL பூட்டு நிலை.
• 0 = திறக்கப்பட்டது
• 1 = பூட்டப்பட்டது
USER_LED[7] டெக்சாஸ் ஓவர்கள்ampலிங் நிலை.
• 0 = ஓவர்கள் அல்லாதவைampled (இன்டெல் அர்ரியா 1,000 சாதனத்தில் தரவு வீதம் > 10 Mbps)
• 1 = ஓவர்கள்ampled (இன்டெல் அர்ரியா 1,000 சாதனத்தில் தரவு வீதம் < 10 Mbps)

3.10 சிமுலேஷன் டெஸ்ட்பெஞ்ச்
சிமுலேஷன் டெஸ்ட்பெஞ்ச் HDMI TX சீரியல் லூப்பேக்கை RX மையத்திற்கு உருவகப்படுத்துகிறது.
குறிப்பு:
இந்த சிமுலேஷன் டெஸ்ட்பெஞ்ச், Include I2C அளவுரு இயக்கப்பட்ட வடிவமைப்புகளுக்கு ஆதரவளிக்காது.

3. HDMI 2.0 வடிவமைப்பு முன்னாள்ample (ஆதரவு FRL = 0)
683156 | 2022.12.27
படம் 28. HDMI இன்டெல் FPGA IP சிமுலேஷன் டெஸ்ட்பெஞ்ச் தொகுதி வரைபடம்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 11

அட்டவணை 50. டெஸ்ட்பெஞ்ச் கூறுகள்

கூறு விளக்கம்
வீடியோ TPG வீடியோ சோதனை முறை ஜெனரேட்டர் (TPG) வீடியோ தூண்டுதலை வழங்குகிறது.
ஆடியோ எஸ்ampலெ ஜெனரல் ஆடியோ எஸ்ample ஜெனரேட்டர் ஆடியோவை வழங்குகிறதுample தூண்டுதல். ஜெனரேட்டர், ஆடியோ சேனல் மூலம் கடத்தப்படும் சோதனை தரவு வடிவத்தை அதிகரிக்கும்.
ஆக்ஸ் எஸ்ampலெ ஜெனரல் துணை எஸ்ample ஜெனரேட்டர் துணை s ஐ வழங்குகிறதுample தூண்டுதல். ஜெனரேட்டர் டிரான்ஸ்மிட்டரிலிருந்து அனுப்பப்பட வேண்டிய நிலையான தரவை உருவாக்குகிறது.
CRC சோதனை TX டிரான்ஸ்ஸீவர் மீட்டெடுக்கப்பட்ட கடிகார அதிர்வெண் விரும்பிய தரவு விகிதத்துடன் பொருந்துகிறதா என்பதை இந்த சரிபார்ப்பு சரிபார்க்கிறது.
ஆடியோ தரவு சோதனை ஆடியோ தரவுச் சரிபார்ப்பு, அதிகரிக்கும் சோதனைத் தரவு முறை சரியாகப் பெறப்பட்டு டிகோட் செய்யப்பட்டதா என்பதை ஒப்பிடுகிறது.
Aux தரவு சோதனை aux தரவு சரிபார்ப்பு எதிர்பார்க்கப்படும் aux தரவு பெறப்பட்டதா மற்றும் பெறுநரின் பக்கத்தில் சரியாக டிகோட் செய்யப்பட்டதா என்பதை ஒப்பிடுகிறது.

HDMI சிமுலேஷன் டெஸ்ட்பெஞ்ச் பின்வரும் சரிபார்ப்பு சோதனைகளை செய்கிறது:

HDMI அம்சம் சரிபார்ப்பு
வீடியோ தரவு • டெஸ்ட்பெஞ்ச் உள்ளீடு மற்றும் வெளியீட்டு வீடியோவில் CRC சரிபார்ப்பை செயல்படுத்துகிறது.
• பெறப்பட்ட வீடியோ தரவில் கணக்கிடப்பட்ட CRCக்கு எதிராக அனுப்பப்பட்ட தரவின் CRC மதிப்பை இது சரிபார்க்கிறது.
• ரிசீவரிலிருந்து 4 நிலையான V-SYNC சிக்னல்களைக் கண்டறிந்த பிறகு, சோதனைப் பெஞ்ச் சரிபார்ப்பைச் செய்கிறது.
துணை தரவு • ஆக்ஸ் எஸ்ample ஜெனரேட்டர் டிரான்ஸ்மிட்டரிலிருந்து அனுப்பப்பட வேண்டிய நிலையான தரவை உருவாக்குகிறது.
• பெறுநரின் பக்கத்தில், ஜெனரேட்டர் எதிர்பார்க்கப்படும் துணைத் தரவு சரியாகப் பெறப்பட்டு டிகோட் செய்யப்பட்டதா என்பதை ஒப்பிடுகிறது.
ஆடியோ தரவு • ஆடியோக்கள்ample ஜெனரேட்டர், ஆடியோ சேனல் மூலம் அனுப்பப்படும் ஒரு அதிகரிக்கும் சோதனை தரவு வடிவத்தை உருவாக்குகிறது.
• ரிசீவர் பக்கத்தில், ஆடியோ டேட்டா செக்கர், அதிகரிக்கும் சோதனை தரவு முறை பெறப்பட்டு சரியாக டிகோட் செய்யப்பட்டுள்ளதா என்பதை சரிபார்த்து ஒப்பிடுகிறது.

வெற்றிகரமான உருவகப்படுத்துதல் பின்வரும் செய்தியுடன் முடிவடைகிறது:
# SYMBOLS_PER_CLOCK = 2
# விஐசி = 4
# FRL_RATE = 0
# பிபிபி = 0
# AUDIO_FREQUENCY (kHz) = 48
# ஆடியோ_சேனல் = 8
# உருவகப்படுத்துதல் பாஸ்

அட்டவணை 51. HDMI இன்டெல் FPGA IP வடிவமைப்பு Example ஆதரிக்கப்படும் சிமுலேட்டர்கள்

சிமுலேட்டர் வெரிலாக் எச்.டி.எல் வி.எச்.டி.எல்
ModelSim – Intel FPGA பதிப்பு/ ModelSim – Intel FPGA ஸ்டார்டர் பதிப்பு ஆம் ஆம்
VCS/VCS MX ஆம் ஆம்
ரிவியரா-பிஆர்ஓ ஆம் ஆம்
Xcelium இணை ஆம் இல்லை

3.11. உங்கள் வடிவமைப்பை மேம்படுத்துதல்
அட்டவணை 52. HDMI வடிவமைப்பு Example முந்தைய இன்டெல் குவாட்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருள் பதிப்புடன் இணக்கத்தன்மை

வடிவமைப்பு முன்னாள்ampலெ மாறுபாடு இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு 20.3 க்கு மேம்படுத்தும் திறன்
HDMI 2.0 வடிவமைப்பு Example (ஆதரவு FRL = 0) இல்லை

எந்தவொரு பொருந்தாத வடிவமைப்பிற்கும், exampசரி, நீங்கள் பின்வருவனவற்றைச் செய்ய வேண்டும்:

  1. ஒரு புதிய வடிவமைப்பை உருவாக்குங்கள், exampஉங்கள் தற்போதைய வடிவமைப்பின் அதே உள்ளமைவுகளைப் பயன்படுத்தி தற்போதைய இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருள் பதிப்பில் le.
  2. முழு வடிவமைப்பையும் ஒப்பிடுக, exampவடிவமைப்பு கொண்ட le அடைவு exampமுந்தைய இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருள் பதிப்பைப் பயன்படுத்தி உருவாக்கப்பட்டது. கண்டறியப்பட்ட மாற்றங்களின் மேல் போர்ட் செய்யவும்.

HDCP ஓவர் HDMI 2.0/2.1 வடிவமைப்பு Example

HDCP ஓவர் HDMI வன்பொருள் வடிவமைப்பு example HDCP அம்சத்தின் செயல்பாட்டை மதிப்பிட உதவுகிறது மற்றும் உங்கள் Intel Arria 10 வடிவமைப்புகளில் இந்த அம்சத்தைப் பயன்படுத்த உங்களை அனுமதிக்கிறது.
குறிப்பு:
இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருளில் HDCP அம்சம் சேர்க்கப்படவில்லை. HDCP அம்சத்தை அணுக, இன்டெல்லை இங்கு தொடர்பு கொள்ளவும்: https://www.intel.com/content/www/us/en/broadcast/products/programmable/applications/connectivity-solutions.html.

4.1. உயர்-அகல அகல டிஜிட்டல் உள்ளடக்க பாதுகாப்பு (HDCP)
உயர்-அலைவரிசை டிஜிட்டல் உள்ளடக்க பாதுகாப்பு (HDCP) என்பது மூலத்திற்கும் காட்சிக்கும் இடையே ஒரு பாதுகாப்பான இணைப்பை உருவாக்குவதற்கான டிஜிட்டல் உரிமைகள் பாதுகாப்பின் ஒரு வடிவமாகும்.
டிஜிட்டல் கன்டென்ட் ப்ரொடெக்ஷன் எல்எல்சி குழுவால் உரிமம் பெற்ற அசல் தொழில்நுட்பத்தை இன்டெல் உருவாக்கியது. HDCP என்பது ஒரு நகல் பாதுகாப்பு முறையாகும், இதில் ஆடியோ/வீடியோ ஸ்ட்ரீம் டிரான்ஸ்மிட்டருக்கும் ரிசீவருக்கும் இடையில் குறியாக்கம் செய்யப்பட்டு, சட்டவிரோத நகலெடுப்பிலிருந்து பாதுகாக்கப்படுகிறது.
HDCP அம்சங்கள் HDCP விவரக்குறிப்பு பதிப்பு 1.4 மற்றும் HDCP விவரக்குறிப்பு பதிப்பு 2.3 ஐப் பின்பற்றுகின்றன.
HDCP 1.4 மற்றும் HDCP 2.3 IPகள் வன்பொருள் மைய தர்க்கத்திற்குள் அனைத்து கணக்கீடுகளையும் செய்கின்றன, மறைகுறியாக்கப்பட்ட IPக்கு வெளியே இருந்து எந்த ரகசிய மதிப்புகளையும் (தனியார் விசை மற்றும் அமர்வு விசை போன்றவை) அணுக முடியாது.

அட்டவணை 53. HDCP IP செயல்பாடுகள்

HDCP ஐபி செயல்பாடுகள்
HDCP 1.4 ஐபி • அங்கீகார பரிமாற்றம்
— முதன்மை விசையின் கணக்கீடு (கி.மீ)
— சீரற்ற An உருவாக்கம்
— அமர்வு விசை (Ks), M0 மற்றும் R0 கணக்கீடு.
• ரிப்பீட்டர் மூலம் அங்கீகாரம்
— V மற்றும் V' இன் கணக்கீடு மற்றும் சரிபார்ப்பு
• இணைப்பு ஒருமைப்பாடு சரிபார்ப்பு
— சட்ட விசை (Ki), Mi மற்றும் Ri ஆகியவற்றின் கணக்கீடு.
தொடர்ந்தது…

இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் எந்தவொரு வெளியிடப்பட்ட தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள்.
*பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.

ஐஎஸ்ஓ
9001:2015
பதிவு செய்யப்பட்டது

HDCP ஐபி செயல்பாடுகள்
• hdcpBlockCipher, hdcpStreamCipher, hdcpRekeyCipher மற்றும் hdcpRngCipher உள்ளிட்ட அனைத்து சைஃபர் முறைகளும்
• அசல் குறியாக்க நிலை சமிக்ஞை (DVI) மற்றும் மேம்படுத்தப்பட்ட குறியாக்க நிலை சமிக்ஞை (HDMI)
• உண்மையான சீரற்ற எண் ஜெனரேட்டர் (TRNG)
— வன்பொருள் அடிப்படையிலான, முழு டிஜிட்டல் செயல்படுத்தல் மற்றும் நிர்ணயிக்கப்படாத சீரற்ற எண் ஜெனரேட்டர்
HDCP 2.3 ஐபி • முதன்மை விசை (கிமீ), அமர்வு விசை (ks) மற்றும் nonce (rn, riv) உருவாக்கம்
— NIST.SP800-90A சீரற்ற எண் உருவாக்கத்திற்கு இணங்குதல்
• அங்கீகாரம் மற்றும் விசை பரிமாற்றம்
— NIST.SP800-90A சீரற்ற எண் உருவாக்கத்திற்கு இணங்க rtx மற்றும் rrx க்கான சீரற்ற எண்களை உருவாக்குதல்.
— DCP பொது விசையை (kpubdcp) பயன்படுத்தி பெறுநர் சான்றிதழின் (certrx) கையொப்ப சரிபார்ப்பு.
— 3072 பிட்கள் RSASSA-PKCS#1 v1.5
— RSAES-OAEP (PKCS#1 v2.1) முதன்மை விசையின் குறியாக்கம் மற்றும் மறைகுறியாக்கம் (கிமீ)
— AES-CTR பயன்முறையைப் பயன்படுத்தி kd (dkey0, dkey1) இன் வழித்தோன்றல்
— H மற்றும் H' இன் கணக்கீடு மற்றும் சரிபார்ப்பு
— Ekh(கிமீ) மற்றும் km (இணைத்தல்) கணக்கீடு
• ரிப்பீட்டர் மூலம் அங்கீகாரம்
— V மற்றும் V' இன் கணக்கீடு மற்றும் சரிபார்ப்பு
— M மற்றும் M' இன் கணக்கீடு மற்றும் சரிபார்ப்பு
• கணினி புதுப்பித்தல் (SRM)
— kpubdcp ஐப் பயன்படுத்தி SRM கையொப்ப சரிபார்ப்பு
— 3072 பிட்கள் RSASSA-PKCS#1 v1.5
• அமர்வு விசை பரிமாற்றம்
• எட்கி(கேஎஸ்) மற்றும் ரிவ் ஆகியவற்றின் உருவாக்கம் மற்றும் கணக்கீடு.
• AES-CTR பயன்முறையைப் பயன்படுத்தி dkey2 இன் வழித்தோன்றல்.
• இருப்பிடச் சரிபார்ப்பு
— L மற்றும் L' இன் கணக்கீடு மற்றும் சரிபார்ப்பு
— அல்லாத தலைமுறை (rn)
• தரவு ஸ்ட்ரீம் மேலாண்மை
— AES-CTR பயன்முறை அடிப்படையிலான விசை ஸ்ட்ரீம் உருவாக்கம்
• சமச்சீரற்ற கிரிப்டோ வழிமுறைகள்
— 1024 (kpubrx) மற்றும் 3072 (kpubdcp) பிட்களின் மாடுலஸ் நீளம் கொண்ட RSA
— 512 (kprivrx) பிட்களின் மாடுலஸ் நீளம் மற்றும் 512 (kprivrx) பிட்களின் அடுக்கு நீளம் கொண்ட RSA-CRT (சீன எச்ச தேற்றம்).
• குறைந்த-நிலை குறியாக்கவியல் செயல்பாடு
— சமச்சீர் கிரிப்டோ அல்காரிதம்கள்
• 128 பிட்கள் கொண்ட விசை நீளம் கொண்ட AES-CTR பயன்முறை
— ஹாஷ், எம்ஜிஎஃப் மற்றும் எச்எம்ஏசி வழிமுறைகள்
• எஸ்.எச்.ஏ256
• HMAC-SHA256
• எம்ஜிஎஃப்1-எஸ்ஹெச்ஏ256
— உண்மையான சீரற்ற எண் ஜெனரேட்டர் (TRNG)
• NIST.SP800-90A இணக்கமானது
• வன்பொருள் அடிப்படையிலான, முழு டிஜிட்டல் செயல்படுத்தல் மற்றும் நிர்ணயிக்கப்படாத சீரற்ற எண் ஜெனரேட்டர்

4.1.1. HDMI வடிவமைப்பு எக்ஸ் வழியாக HDCPampகட்டிடக்கலை
HDMI அல்லது பிற HDCP-பாதுகாக்கப்பட்ட டிஜிட்டல் இடைமுகங்கள் மூலம் இணைக்கப்பட்ட சாதனங்களுக்கு இடையில் தரவு அனுப்பப்படுவதால், HDCP அம்சம் தரவைப் பாதுகாக்கிறது.
HDCP-பாதுகாக்கப்பட்ட அமைப்புகளில் மூன்று வகையான சாதனங்கள் உள்ளன:

4. HDMI 2.0/2.1 வழியாக HDCP வடிவமைப்பு Example
683156 | 2022.12.27
• ஆதாரங்கள் (TX)
• மூழ்கிகள் (RX)
• ரிப்பீட்டர்கள்
இந்த வடிவமைப்பு முன்னாள்ample ஒரு ரிப்பீட்டர் சாதனத்தில் HDCP அமைப்பை நிரூபிக்கிறது, அங்கு அது தரவை ஏற்றுக்கொண்டு, மறைகுறியாக்கி, பின்னர் தரவை மீண்டும் குறியாக்கம் செய்து, இறுதியாக தரவை மீண்டும் அனுப்புகிறது. ரிப்பீட்டர்கள் HDMI உள்ளீடுகள் மற்றும் வெளியீடுகள் இரண்டையும் கொண்டுள்ளன. இது HDMI சிங்க் மற்றும் மூலத்திற்கு இடையில் நேரடி HDMI வீடியோ ஸ்ட்ரீம் பாஸ்-த்ரூவைச் செய்ய FIFO பஃபர்களை உடனடிப்படுத்துகிறது. FIFO பஃபர்களை வீடியோ மற்றும் பட செயலாக்கம் (VIP) சூட் IP கோர்களுடன் மாற்றுவதன் மூலம் வீடியோக்களை உயர் தெளிவுத்திறன் வடிவமாக மாற்றுவது போன்ற சில சமிக்ஞை செயலாக்கத்தை இது செய்யக்கூடும்.

படம் 29. HDMI வடிவமைப்புக்கு மேல் HDCP Example தொகுதி வரைபடம்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 12

வடிவமைப்பின் கட்டிடக்கலை பற்றிய பின்வரும் விளக்கங்கள் முன்னாள்ample HDMI வடிவமைப்பு ex மீது HDCP உடன் ஒத்துள்ளதுample தொகுதி வரைபடம். ஆதரவு FRL = 1 அல்லது
ஆதரவு HDCP விசை மேலாண்மை = 1, வடிவமைப்பு முன்னாள்ampபக்கம் 29 இல் உள்ள படம் 95 இலிருந்து படிநிலை சற்று வித்தியாசமானது, ஆனால் அடிப்படை HDCP செயல்பாடுகள் அப்படியே உள்ளன.
அதே.

  1. HDCP1x மற்றும் HDCP2x ஆகியவை HDMI Intel FPGA IP அளவுரு எடிட்டர் மூலம் கிடைக்கும் IPகள் ஆகும். அளவுரு எடிட்டரில் HDMI IP ஐ உள்ளமைக்கும்போது, ​​துணை அமைப்பின் ஒரு பகுதியாக HDCP1x அல்லது HDCP2x அல்லது இரண்டு IPகளையும் இயக்கலாம் மற்றும் சேர்க்கலாம். இரண்டு HDCP IPகளும் இயக்கப்பட்டிருந்தால், HDCP2x மற்றும் HDCP1x IPகள் ஒன்றன் பின் ஒன்றாக இணைக்கப்பட்ட கேஸ்கேட் டோபாலஜியில் HDMI IP தன்னை உள்ளமைத்துக் கொள்கிறது.
    • HDMI TX இன் HDCP வெளியேற்ற இடைமுகம் மறைகுறியாக்கப்படாத ஆடியோ வீடியோ தரவை அனுப்புகிறது.
    • மறைகுறியாக்கப்படாத தரவு செயலில் உள்ள HDCP தொகுதியால் குறியாக்கம் செய்யப்பட்டு, இணைப்பு வழியாக பரிமாற்றத்திற்காக HDCP இன்க்ரெஸ் இடைமுகம் வழியாக HDMI TX க்கு மீண்டும் அனுப்பப்படும்.
    • அங்கீகார முதன்மை கட்டுப்படுத்தியாக CPU துணை அமைப்பு, எந்த நேரத்திலும் HDCP TX IPகளில் ஒன்று மட்டுமே செயலில் இருப்பதையும், மற்றொன்று செயலற்றதாக இருப்பதையும் உறுதி செய்கிறது.
    • இதேபோல், HDCP RX வெளிப்புற HDCP TX இலிருந்து இணைப்பு வழியாகப் பெறப்பட்ட தரவையும் மறைகுறியாக்குகிறது.
  2. டிஜிட்டல் உள்ளடக்க பாதுகாப்பு (DCP) வழங்கிய தயாரிப்பு விசைகளைப் பயன்படுத்தி HDCP IPகளை நிரல் செய்ய வேண்டும். பின்வரும் விசைகளை ஏற்றவும்:
    அட்டவணை 54. DCP-வழங்கப்பட்ட உற்பத்தி விசைகள்
    HDCP TX/RX விசைகள்
    HDCP2x TX 16 பைட்டுகள்: குளோபல் கான்ஸ்டன்ட் (lc128)
    RX • 16 பைட்டுகள் (TX போலவே): குளோபல் கான்ஸ்டன்ட் (lc128)
    • 320 பைட்டுகள்: RSA தனியார் விசை (kprivrx)
    • 522 பைட்டுகள்: RSA பொது விசைச் சான்றிதழ் (certrx)
    HDCP1x TX • 5 பைட்டுகள்: TX விசைத் தேர்வு வெக்டர் (Aksv)
    • 280 பைட்டுகள்: TX தனியார் சாதன விசைகள் (Akeys)
    RX • 5 பைட்டுகள்: RX கீ செலக்ஷன் வெக்டர் (Bksv)
    • 280 பைட்டுகள்: RX தனியார் சாதன விசைகள் (Bkeys)

    வடிவமைப்பு முன்னாள்ample விசை நினைவகங்களை எளிய இரட்டை-போர்ட், இரட்டை-கடிகார ஒத்திசைவான RAM ஆக செயல்படுத்துகிறது. HDCP2x TX போன்ற சிறிய விசை அளவிற்கு, IP வழக்கமான தர்க்கத்தில் பதிவேடுகளைப் பயன்படுத்தி விசை நினைவகத்தை செயல்படுத்துகிறது.
    குறிப்பு: இன்டெல் HDCP தயாரிப்பு விசைகளை வடிவமைப்பு ex உடன் வழங்கவில்லைampஎந்த சூழ்நிலையிலும் le அல்லது Intel FPGA IPகள். HDCP IPகளைப் பயன்படுத்த அல்லது வடிவமைப்பு example, நீங்கள் ஒரு HDCP-ஐ ஏற்றுக்கொள்பவராக மாறி, டிஜிட்டல் உள்ளடக்க பாதுகாப்பு LLC (DCP)-யிடமிருந்து நேரடியாக தயாரிப்பு விசைகளைப் பெற வேண்டும்.
    வடிவமைப்பை இயக்குவதற்கு முன்னாள்ampசரி, நீங்கள் முக்கிய நினைவகத்தைத் திருத்தலாம். fileதொகுக்கும் நேரத்தில் உற்பத்தி விசைகளைச் சேர்க்க அல்லது வெளிப்புற சேமிப்பக சாதனத்திலிருந்து உற்பத்தி விசைகளைப் பாதுகாப்பாகப் படிக்கவும், இயக்க நேரத்தில் அவற்றை முக்கிய நினைவகங்களில் எழுதவும் லாஜிக் தொகுதிகளை செயல்படுத்தவும் s.

  3. HDCP2x IP இல் செயல்படுத்தப்பட்ட கிரிப்டோகிராஃபிக் செயல்பாடுகளை 200 MHz வரையிலான எந்த அதிர்வெண்ணிலும் நீங்கள் க்ளாக் செய்யலாம். இந்த க்ளாக்கின் அதிர்வெண் எவ்வளவு விரைவாக
    HDCP2x அங்கீகாரம் செயல்படுகிறது. Nios II செயலிக்கு பயன்படுத்தப்படும் 100 MHz கடிகாரத்தைப் பகிர நீங்கள் தேர்வுசெய்யலாம், ஆனால் 200 MHz கடிகாரத்தைப் பயன்படுத்துவதை விட அங்கீகார தாமதம் இரட்டிப்பாகும்.
  4. HDCP TX மற்றும் HDCP RX க்கு இடையில் பரிமாறிக்கொள்ள வேண்டிய மதிப்புகள் HDCP-யின் HDMI DDC இடைமுகம் (I2 C தொடர் இடைமுகம்) வழியாகத் தெரிவிக்கப்படுகின்றன-
    பாதுகாக்கப்பட்ட இடைமுகம். HDCP RX, அது ஆதரிக்கும் ஒவ்வொரு இணைப்பிற்கும் I2C பஸ்ஸில் ஒரு தருக்க சாதனத்தை வழங்க வேண்டும். I2C ஸ்லேவ் 0x74 சாதன முகவரியுடன் HDCP போர்ட்டுக்கு நகலெடுக்கப்படுகிறது. இது HDCP2x மற்றும் HDCP1x RX IPகள் இரண்டின் HDCP பதிவு போர்ட்டை (Avalon-MM) இயக்குகிறது.
  5. HDMI TX, IC மாஸ்டரைப் பயன்படுத்தி RX இலிருந்து EDID ஐப் படித்து, HDMI 2.0 செயல்பாட்டிற்குத் தேவையான SCDC தரவை RX க்கு மாற்றுகிறது. Nios II செயலியால் இயக்கப்படும் அதே I2C மாஸ்டர், TX மற்றும் RX க்கு இடையில் HDCP செய்திகளை மாற்றவும் பயன்படுத்தப்படுகிறது. I2C மாஸ்டர் CPU துணை அமைப்பில் உட்பொதிக்கப்பட்டுள்ளது.
  6. Nios II செயலி அங்கீகார நெறிமுறையில் முதன்மையாகச் செயல்படுகிறது மற்றும் HDCP2x மற்றும் HDCP1x TX இரண்டின் கட்டுப்பாடு மற்றும் நிலைப் பதிவேடுகளை (Avalon-MM) இயக்குகிறது.
    IPகள். மென்பொருள் இயக்கிகள் சான்றிதழ் கையொப்ப சரிபார்ப்பு, முதன்மை விசை பரிமாற்றம், இருப்பிடச் சரிபார்ப்பு, அமர்வு விசை பரிமாற்றம், இணைத்தல், இணைப்பு ஒருமைப்பாடு சரிபார்ப்பு (HDCP1x), மற்றும் இடவியல் தகவல் பரவல் மற்றும் ஸ்ட்ரீம் மேலாண்மை தகவல் பரவல் போன்ற ரிப்பீட்டர்களுடன் அங்கீகாரம் உள்ளிட்ட அங்கீகார நெறிமுறை நிலை இயந்திரத்தை செயல்படுத்துகின்றன. மென்பொருள் இயக்கிகள் அங்கீகார நெறிமுறைக்குத் தேவையான எந்த கிரிப்டோகிராஃபிக் செயல்பாடுகளையும் செயல்படுத்துவதில்லை. அதற்கு பதிலாக, HDCP IP வன்பொருள் அனைத்து கிரிப்டோகிராஃபிக் செயல்பாடுகளையும் செயல்படுத்தி எந்த ரகசிய மதிப்புகளையும் அணுக முடியாது என்பதை உறுதி செய்கிறது.
    7. டோபாலஜி தகவலை அப்ஸ்ட்ரீமில் பரப்புவது அவசியமான ஒரு உண்மையான ரிப்பீட்டர் ஆர்ப்பாட்டத்தில், Nios II செயலி HDCP2x மற்றும் HDCP1x RX IPகள் இரண்டின் ரிப்பீட்டர் மெசேஜ் போர்ட்டை (Avalon-MM) இயக்குகிறது. இணைக்கப்பட்ட டவுன்ஸ்ட்ரீம் HDCP திறன் கொண்டதல்ல அல்லது டவுன்ஸ்ட்ரீம் இணைக்கப்படாதபோது Nios II செயலி RX REPEATER பிட்டை 0 ஆக அழிக்கிறது. டவுன்ஸ்ட்ரீம் இணைப்பு இல்லாமல், RX அமைப்பு இப்போது ரிப்பீட்டரை விட ஒரு எண்ட்-பாயிண்ட் ரிசீவராக உள்ளது. மாறாக, டவுன்ஸ்ட்ரீம் HDCP திறன் கொண்டதா என்பதைக் கண்டறிந்ததும் Nios II செயலி RX REPEATER பிட்டை 1 ஆக அமைக்கிறது.

4.2. நியோஸ் II செயலி மென்பொருள் ஓட்டம்
நியோஸ் II மென்பொருள் பாய்வு விளக்கப்படத்தில் HDMI பயன்பாட்டின் மீதான HDCP அங்கீகாரக் கட்டுப்பாடுகள் உள்ளன.
படம் 30. நியோஸ் II செயலி மென்பொருள் பாய்வு விளக்கப்படம்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - தொகுதி வரைபடம் 13

  1. Nios II மென்பொருள் HDMI TX PLL, TX டிரான்ஸ்ஸீவர் PHY, I2C மாஸ்டர் மற்றும் வெளிப்புற TI ரீடைமரை துவக்கி மீட்டமைக்கிறது.
  2. வீடியோ தெளிவுத்திறன் மாறிவிட்டதா மற்றும் TX மறுகட்டமைப்பு தேவையா என்பதைத் தீர்மானிக்க, Nios II மென்பொருள் RX வீதக் கண்டறிதல் சுற்றிலிருந்து காலமுறை விகிதக் கண்டறிதல் செல்லுபடியாகும் சிக்னலை வாக்களிக்கிறது. TX ஹாட்-பிளக் நிகழ்வு நிகழ்ந்ததா என்பதைத் தீர்மானிக்க, மென்பொருள் TX ஹாட்-பிளக் கண்டறிதல் சிக்னலையும் வாக்களிக்கிறது.
  3. RX ரேட் கண்டறிதல் சுற்றிலிருந்து செல்லுபடியாகும் சிக்னல் பெறப்படும்போது, ​​Nios II மென்பொருள் HDMI RX இலிருந்து SCDC மற்றும் கடிகார ஆழ மதிப்புகளைப் படித்து, HDMI TX PLL மற்றும் டிரான்ஸ்ஸீவர் PHY மறுகட்டமைப்பு தேவையா என்பதைத் தீர்மானிக்க கண்டறியப்பட்ட விகிதத்தின் அடிப்படையில் கடிகார அதிர்வெண் பட்டையை மீட்டெடுக்கிறது. TX மறுகட்டமைப்பு தேவைப்பட்டால், Nios II மென்பொருள் I2C மாஸ்டருக்கு SCDC மதிப்பை வெளிப்புற RX க்கு அனுப்புமாறு கட்டளையிடுகிறது. பின்னர் அது HDMI TX PLL மற்றும் TX டிரான்ஸ்ஸீவரை மறுகட்டமைக்க கட்டளையிடுகிறது.
    PHY, அதைத் தொடர்ந்து சாதன மறுசீரமைப்பு மற்றும் மீட்டமைப்பு வரிசை. விகிதம் மாறவில்லை என்றால், TX மறுகட்டமைப்பு அல்லது HDCP மறு அங்கீகாரம் தேவையில்லை.
  4. ஒரு TX ஹாட்-பிளக் நிகழ்வு ஏற்பட்டால், Nios II மென்பொருள் I2C மாஸ்டருக்கு SCDC மதிப்பை வெளிப்புற RX க்கு அனுப்பவும், பின்னர் RX இலிருந்து EDID ஐப் படிக்கவும் கட்டளையிடுகிறது.
    மற்றும் உள் EDID RAM ஐப் புதுப்பிக்கவும். பின்னர் மென்பொருள் EDID தகவலை மேல்நிலைக்கு பரப்புகிறது.
  5. நியோஸ் II மென்பொருள், கீழ்நிலை HDCP-திறன் கொண்டதா என்பதைக் கண்டறிய, வெளிப்புற RX இலிருந்து ஆஃப்செட் 2x0 ஐப் படிக்க I50C மாஸ்டரை கட்டளையிடுவதன் மூலம் HDCP செயல்பாட்டைத் தொடங்குகிறது, அல்லது
    இல்லையெனில்:
    • திரும்பிய HDCP2Version மதிப்பு 1 எனில், கீழ்நிலை மதிப்பு HDCP2xcapable ஆகும்.
    • முழு 0x50 வாசிப்புகளின் திரும்பிய மதிப்பு 0 களாக இருந்தால், கீழ்நிலை HDCP1x-திறன் கொண்டது.
    • முழு 0x50 வாசிப்புகளின் திரும்பிய மதிப்பு 1'களாக இருந்தால், டவுன்ஸ்ட்ரீம் HDCP-திறன் கொண்டதாகவோ அல்லது செயலற்றதாகவோ இருக்காது.
    • டவுன்ஸ்ட்ரீம் முன்பு HDCP-திறன் இல்லை அல்லது செயலற்றதாக இருந்தாலும் தற்போது HDCP-திறன் இருந்தால், மென்பொருள் RX இப்போது ஒரு ரிப்பீட்டராக இருப்பதைக் குறிக்க, அப்ஸ்ட்ரீம் ரிப்பீட்டரின் (RX) REPEATER பிட்டை 1 ஆக அமைக்கிறது.
    • டவுன்ஸ்ட்ரீம் முன்பு HDCP-திறன் கொண்டதாக இருந்து தற்போது HDCP-திறன் கொண்டதாகவோ அல்லது செயலற்றதாகவோ இல்லாவிட்டால், RX இப்போது ஒரு எண்ட்பாயிண்ட் ரிசீவர் என்பதைக் குறிக்க மென்பொருள் REPEATER பிட்டை 0 ஆக அமைக்கிறது.
  6. இந்த மென்பொருள் HDCP2x அங்கீகார நெறிமுறையைத் தொடங்குகிறது, இதில் RX சான்றிதழ் கையொப்ப சரிபார்ப்பு, முதன்மை விசை பரிமாற்றம், இருப்பிட சரிபார்ப்பு, அமர்வு விசை பரிமாற்றம், இணைத்தல், இடவியல் தகவல் பரப்புதல் போன்ற ரிப்பீட்டர்களுடன் அங்கீகாரம் ஆகியவை அடங்கும்.
  7. அங்கீகரிக்கப்பட்ட நிலையில், Nios II மென்பொருள் I2C மாஸ்டருக்கு வெளிப்புற RX இலிருந்து RxStatus பதிவேட்டை வாக்களிக்க கட்டளையிடுகிறது, மேலும் மென்பொருள் REAUTH_REQ பிட் அமைக்கப்பட்டிருப்பதைக் கண்டறிந்தால், அது மறு அங்கீகாரத்தைத் தொடங்கி TX குறியாக்கத்தை முடக்குகிறது.
  8. டவுன்ஸ்ட்ரீம் ஒரு ரிப்பீட்டராகவும், RxStatus பதிவேட்டின் READY பிட் 1 ஆகவும் அமைக்கப்பட்டிருக்கும் போது, ​​இது பொதுவாக டவுன்ஸ்ட்ரீம் டோபாலஜி மாறிவிட்டதைக் குறிக்கிறது. எனவே, Nios II மென்பொருள் I2C மாஸ்டரை டவுன்ஸ்ட்ரீமில் இருந்து ரிசீவர் ID_List ஐப் படித்து பட்டியலைச் சரிபார்க்க கட்டளையிடுகிறது. பட்டியல் செல்லுபடியாகும் மற்றும் டோபாலஜி பிழை எதுவும் கண்டறியப்படவில்லை என்றால், மென்பொருள் உள்ளடக்க ஸ்ட்ரீம் மேலாண்மை தொகுதிக்குச் செல்கிறது. இல்லையெனில், அது மறு அங்கீகாரத்தைத் தொடங்கி TX குறியாக்கத்தை முடக்குகிறது.
  9. நியோஸ் II மென்பொருள் ரிசீவர்ஐடி_லிஸ்ட் மற்றும் ஆர்எக்ஸ்இன்ஃபோ மதிப்புகளைத் தயாரித்து, பின்னர் ரிப்பீட்டரின் அப்ஸ்ட்ரீமின் (ஆர்எக்ஸ்) அவலோன்-எம்எம் ரிப்பீட்டர் செய்தி போர்ட்டுக்கு எழுதுகிறது. பின்னர் ஆர்எக்ஸ் பட்டியலை வெளிப்புற டிஎக்ஸ் (அப்ஸ்ட்ரீம்) க்கு பரப்புகிறது.
  10. இந்த கட்டத்தில் அங்கீகாரம் முடிந்தது. மென்பொருள் TX குறியாக்கத்தை செயல்படுத்துகிறது.
  11. இந்த மென்பொருள் HDCP1x அங்கீகார நெறிமுறையைத் தொடங்குகிறது, இதில் விசை பரிமாற்றம் மற்றும் ரிப்பீட்டர்களுடன் அங்கீகாரம் ஆகியவை அடங்கும்.
  12. Nios II மென்பொருள், வெளிப்புற RX (கீழ்நிலை) மற்றும் HDCP1x TX இலிருந்து முறையே Ri' மற்றும் Ri ஐப் படித்து ஒப்பிட்டு இணைப்பு ஒருமைப்பாட்டை சரிபார்க்கிறது. மதிப்புகள்
    பொருந்தவில்லை என்றால், இது ஒத்திசைவு இழப்பைக் குறிக்கிறது மற்றும் மென்பொருள் மறு அங்கீகாரத்தைத் தொடங்கி TX குறியாக்கத்தை முடக்குகிறது.
  13. டவுன்ஸ்ட்ரீம் ஒரு ரிப்பீட்டராகவும், Bcaps பதிவேட்டின் READY பிட் 1 ஆகவும் அமைக்கப்பட்டிருந்தால், இது பொதுவாக டவுன்ஸ்ட்ரீம் டோபாலஜி மாறிவிட்டது என்பதைக் குறிக்கிறது. எனவே, Nios II மென்பொருள் I2C மாஸ்டருக்கு KSV பட்டியல் மதிப்பை டவுன்ஸ்ட்ரீமில் இருந்து படித்து பட்டியலைச் சரிபார்க்க கட்டளையிடுகிறது. பட்டியல் செல்லுபடியாகும் மற்றும் எந்த டோபாலஜி பிழையும் கண்டறியப்படவில்லை என்றால், மென்பொருள் KSV பட்டியல் மற்றும் Bstatus மதிப்பைத் தயாரித்து, ரிப்பீட்டரின் அப்ஸ்ட்ரீமின் (RX) Avalon-MM ரிப்பீட்டர் செய்தி போர்ட்டுக்கு எழுதுகிறது. பின்னர் RX பட்டியலை வெளிப்புற TX (அப்ஸ்ட்ரீம்) க்கு பரப்புகிறது. இல்லையெனில், அது மறு அங்கீகாரத்தைத் தொடங்கி TX குறியாக்கத்தை முடக்குகிறது.

4.3. வடிவமைப்பு வழிமுறைகள்
HDMI வடிவமைப்பு வழியாக HDCP ஐ அமைத்து இயக்குதல்ample ஐந்து s ஐக் கொண்டுள்ளது.tages.

  1. வன்பொருளை அமைக்கவும்.
  2. வடிவமைப்பை உருவாக்குங்கள்.
  3. HDCP விசை நினைவகத்தைத் திருத்தவும். fileஉங்கள் HDCP தயாரிப்பு விசைகளைச் சேர்க்க கள்.
    a. FPGA-வில் எளிய HDCP தயாரிப்பு விசைகளை சேமிக்கவும் (ஆதரவு HDCP விசை மேலாண்மை = 0)
    b. மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை வெளிப்புற ஃபிளாஷ் நினைவகம் அல்லது EEPROM இல் சேமிக்கவும் (ஆதரவு HDCP விசை மேலாண்மை = 1)
  4. வடிவமைப்பைத் தொகுக்கவும்.
  5. View முடிவுகள்.

4.3.1. வன்பொருளை அமைத்தல்
முதல் எஸ்tagசெயல்விளக்கத்தின் e வன்பொருளை அமைப்பதாகும்.
SUPPORT FRL = 0 ஆக இருக்கும்போது, ​​செயல்விளக்கத்திற்கான வன்பொருளை அமைக்க இந்தப் படிகளைப் பின்பற்றவும்:

  1. FMC போர்ட் B இல் உள்ள Arria 2.0 GX டெவலப்மென்ட் கிட்டுடன் Bitec HDMI 11 FMC மகள் அட்டையை (திருத்தம் 10) இணைக்கவும்.
  2. USB கேபிளைப் பயன்படுத்தி Arria 10 GX டெவலப்மென்ட் கிட்டை உங்கள் கணினியுடன் இணைக்கவும்.
  3. Bitec HDMI 2.0 FMC மகள் அட்டையில் உள்ள HDMI RX இணைப்பியிலிருந்து ஒரு HDMI கேபிளை HDMI வெளியீடு கொண்ட கிராஃபிக் கார்டு போன்ற HDCP-இயக்கப்பட்ட HDMI சாதனத்துடன் இணைக்கவும்.
  4. Bitec HDMI 2.0 FMC மகள் அட்டையில் உள்ள HDMI TX இணைப்பிலிருந்து மற்றொரு HDMI கேபிளை HDMI உள்ளீடு கொண்ட தொலைக்காட்சி போன்ற HDCP-இயக்கப்பட்ட HDMI சாதனத்துடன் இணைக்கவும்.

SUPPORT FRL = 1 ஆக இருக்கும்போது, ​​வன்பொருளை அமைக்க இந்த படிகளைப் பின்பற்றவும். ஆர்ப்பாட்டம்:

  1. FMC போர்ட் B இல் உள்ள Arria 2.1 GX டெவலப்மென்ட் கிட்டுடன் Bitec HDMI 9 FMC மகள் அட்டையை (திருத்தம் 10) இணைக்கவும்.
  2. USB கேபிளைப் பயன்படுத்தி Arria 10 GX டெவலப்மென்ட் கிட்டை உங்கள் கணினியுடன் இணைக்கவும்.
  3. Bitec HDMI 2.1 FMC மகள் அட்டையில் உள்ள HDMI RX இணைப்பியிலிருந்து HDMI 3 வகை 2.1 கேபிள்களை, Quantum Data 2.1 980G Generator போன்ற HDCP-இயக்கப்பட்ட HDMI 48 மூலத்துடன் இணைக்கவும்.
  4. Bitec HDMI 2.1 FMC மகள் அட்டையில் உள்ள HDMI TX இணைப்பியிலிருந்து மற்றொரு HDMI 3 வகை 2.1 கேபிள்களை HDCP-இயக்கப்பட்ட HDMI 2.1 சிங்க்குடன் இணைக்கவும், எடுத்துக்காட்டாக
    குவாண்டம் டேட்டா 980 48G அனலைசர்.

4.3.2. வடிவமைப்பை உருவாக்குதல்
வன்பொருளை அமைத்த பிறகு, நீங்கள் வடிவமைப்பை உருவாக்க வேண்டும்.
நீங்கள் தொடங்குவதற்கு முன், இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருளில் HDCP அம்சத்தை நிறுவுவதை உறுதிசெய்யவும்.

  1. கருவிகள் ➤ IP பட்டியல் என்பதைக் கிளிக் செய்து, இலக்கு சாதனக் குடும்பமாக Intel Arria 10 ஐத் தேர்ந்தெடுக்கவும்.
    குறிப்பு: HDCP வடிவமைப்பு முன்னாள்ample இன்டெல் அர்ரியா 10 மற்றும் இன்டெல் ஸ்ட்ராடிக்ஸ்® 10 சாதனங்களை மட்டுமே ஆதரிக்கிறது.
  2. IP பட்டியலில், HDMI Intel FPGA IP ஐக் கண்டுபிடித்து அதை இருமுறை சொடுக்கவும். புதிய IP மாறுபாடு சாளரம் தோன்றும்.
  3. உங்கள் தனிப்பயன் IP மாறுபாட்டிற்கான உயர்மட்ட பெயரைக் குறிப்பிடவும். அளவுரு எடிட்டர் ஐபி மாறுபாடு அமைப்புகளை a இல் சேமிக்கிறது file பெயரிடப்பட்டது .qsys அல்லது .ஐபி.
  4. சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
  5. IP தாவலில், TX மற்றும் RX இரண்டிற்கும் தேவையான அளவுருக்களை உள்ளமைக்கவும்.
  6. HDCP வடிவமைப்பு ex ஐ உருவாக்க Support HDCP 1.4 அல்லது Support HDCP 2.3 அளவுருவை இயக்கவும்.ampலெ.
  7. வெளிப்புற ஃபிளாஷ் நினைவகம் அல்லது EEPROM இல் மறைகுறியாக்கப்பட்ட வடிவத்தில் HDCP தயாரிப்பு விசையைச் சேமிக்க விரும்பினால், ஆதரவு HDCP விசை மேலாண்மை அளவுருவை இயக்கவும். இல்லையெனில், FPGA இல் HDCP தயாரிப்பு விசையை எளிய வடிவத்தில் சேமிக்க ஆதரவு HDCP விசை மேலாண்மை அளவுருவை அணைக்கவும்.
  8. வடிவமைப்பில் முன்னாள்ampதாவலில், Arria 10 HDMI RX-TX Retransmit என்பதைத் தேர்ந்தெடுக்கவும்.
  9. வன்பொருள் வடிவமைப்பை உருவாக்க தொகுப்பு என்பதைத் தேர்ந்தெடுக்கவும் exampலெ.
  10. உருவாக்குவதற்கு File வடிவமைத்து, Verilog அல்லது VHDL என்பதைத் தேர்ந்தெடுக்கவும்.
  11. டார்கெட் டெவலப்மென்ட் கிட்டுக்கு, Arria 10 GX FPGA டெவலப்மென்ட் கிட்டைத் தேர்ந்தெடுக்கவும். நீங்கள் டெவலப்மென்ட் கிட்டைத் தேர்ந்தெடுத்தால், இலக்கு சாதனம் (படி 4 இல் தேர்ந்தெடுக்கப்பட்டது) டெவலப்மென்ட் கிட்டிலுள்ள சாதனத்துடன் பொருந்த மாறுகிறது. Arria 10 GX FPGA டெவலப்மென்ட் கிட்டுக்கு, இயல்புநிலை சாதனம் 10AX115S2F45I1SG ஆகும்.
  12. Ex ஐ உருவாக்கு என்பதைக் கிளிக் செய்யவும்ampதிட்டத்தை உருவாக்க வடிவமைப்பு. files மற்றும் மென்பொருள் Executable and Linking Format (ELF) நிரலாக்கம் file.

4.3.3. HDCP தயாரிப்பு விசைகளைச் சேர்க்கவும்
4.3.3.1. FPGA (ஆதரவு HDCP விசை) இல் எளிய HDCP தயாரிப்பு விசைகளை சேமிக்கவும். மேலாண்மை = 0)
வடிவமைப்பை உருவாக்கிய பிறகு, HDCP விசை நினைவகத்தைத் திருத்தவும். fileஉங்கள் தயாரிப்பு விசைகளைச் சேர்க்க கள்.
தயாரிப்பு விசைகளைச் சேர்க்க, இந்தப் படிகளைப் பின்பற்றவும்.

  1. பின்வரும் முக்கிய நினைவகத்தைக் கண்டறியவும். fileஇல் உள்ள /rtl/hdcp/ அடைவு:
    • hdcp2x_tx_kmem.v
    • hdcp2x_rx_kmem.v
    • hdcp1x_tx_kmem.v
    • hdcp1x_rx_kmem.v
  2. hdcp2x_rx_kmem.v-ஐத் திறக்கவும். file மற்றும் ex இல் காட்டப்பட்டுள்ளபடி, பெறுநர் பொதுச் சான்றிதழ் மற்றும் RX தனியார் விசை மற்றும் உலகளாவிய மாறிலிக்கான முன் வரையறுக்கப்பட்ட நகல் விசை R1 ஐக் கண்டறியவும்.amples கீழே.
    படம் 31. பெறுநர் பொதுச் சான்றிதழுக்கான தொலைநகல் விசை R1 இன் கம்பி வரிசை.
    intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - பொதுச் சான்றிதழ்படம் 32. RX பிரைவேட் கீ மற்றும் குளோபல் கான்ஸ்டன்ட்டிற்கான ஃபேக்சிமைல் கீ R1 இன் வயர் அரே
    intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - உலகளாவிய மாறிலி
  3. தயாரிப்பு விசைகளுக்கான ஒதுக்கிடத்தைக் கண்டுபிடித்து, உங்கள் சொந்த தயாரிப்பு விசைகளை அவற்றின் வயர் வரிசையில் பெரிய எண்டியன் வடிவத்தில் மாற்றவும்.
    படம் 33. HDCP உற்பத்தி விசைகளின் வயர் வரிசை (பிளேஸ்ஹோல்டர்)
    intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - உலகளாவிய மாறிலி 1
  4. மற்ற அனைத்து முக்கிய நினைவகங்களுக்கும் படி 3 ஐ மீண்டும் செய்யவும். files. உங்கள் தயாரிப்பு விசைகளை அனைத்து முக்கிய நினைவகத்திலும் சேர்த்து முடித்ததும் files இல், வடிவமைப்பு ex இல் USE_FACSIMILE அளவுரு 0 ஆக அமைக்கப்பட்டுள்ளதா என்பதை உறுதிப்படுத்தவும்.ampமேல் நிலை file (a10_hdmi2_டெமோ.வி)

4.3.3.1.1. DCP கீயிலிருந்து HDCP கீ மேப்பிங் Files
பின்வரும் பிரிவுகள் DCP விசையில் சேமிக்கப்பட்ட HDCP உற்பத்தி விசைகளின் மேப்பிங்கை விவரிக்கின்றன. fileHDCP kmem இன் கம்பி வரிசையில் s ஐ இணைக்கவும். files.
4.3.3.1.2. hdcp1x_tx_kmem.v மற்றும் hdcp1x_rx_kmem.v files
hdcp1x_tx_kmem.v மற்றும் hdcp1x_rx_kmem.v க்கு files

  • இந்த இரண்டு fileபயனர்கள் ஒரே வடிவமைப்பைப் பகிர்ந்து கொள்கிறார்கள்.
  • சரியான HDCP1 TX DCP விசையை அடையாளம் காண file hdcp1x_tx_kmem.v க்கு, முதல் 4 பைட்டுகளை உறுதிசெய்து கொள்ளுங்கள் file "0x01, 0x00, 0x00, 0x00" ஆகும்.
  • சரியான HDCP1 RX DCP விசையை அடையாளம் காண file hdcp1x_rx_kmem.v க்கு, முதல் 4 பைட்டுகளை உறுதிசெய்து கொள்ளுங்கள் file "0x02, 0x00, 0x00, 0x00" ஆகும்.
  • DCP சாவியில் உள்ள சாவிகள் fileகள் சிறிய-எண்டியன் வடிவத்தில் உள்ளன. kmem இல் பயன்படுத்த fileகள், நீங்கள் அவற்றை பெரிய-எண்டியனாக மாற்ற வேண்டும்.

படம் 34. HDCP1 TX DCP விசையிலிருந்து பைட் மேப்பிங் file hdcp1x_tx_kmem.v-க்குள்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - உலகளாவிய மாறிலி 2

குறிப்பு:
பைட் எண் கீழே உள்ள வடிவத்தில் காட்டப்படும்:

  • பைட்டுகளில் விசை அளவு * தற்போதைய வரிசையில் விசை எண் + பைட் எண் + நிலையான ஆஃப்செட் + பைட்டுகளில் வரிசை அளவு * வரிசை எண்.
  • 308*n என்பது ஒவ்வொரு விசைத் தொகுப்பிலும் 308 பைட்டுகள் இருப்பதைக் குறிக்கிறது.
  • 7*y என்பது ஒவ்வொரு வரிசையிலும் 7 பைட்டுகள் இருப்பதைக் குறிக்கிறது.

படம் 35. HDCP1 TX DCP விசை file தேவையற்ற மதிப்புகளால் நிரப்புதல்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - குப்பை மதிப்புகள்

படம் 36. hdcp1x_tx_kmem.v இன் கம்பி வரிசைகள்
Examphdcp1x_tx_kmem.v இன் le மற்றும் அதன் வயர் வரிசைகள் ex உடன் எவ்வாறு மேப் செய்கின்றனampHDCP1 TX DCP விசையின் le file பக்கம் 35 இல் உள்ள படம் 105 இல்.

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - உலகளாவிய மாறிலி 3

4.3.3.1.3. hdcp2x_rx_kmem.v file
hdcp2x_rx_kmem.v க்கு file

  • சரியான HDCP2 RX DCP விசையை அடையாளம் காண file hdcp2x_rx_kmem.v க்கு, முதல் 4 பைட்டுகளை உறுதிசெய்து கொள்ளுங்கள் file "0x00, 0x00, 0x00, 0x02" ஆகும்.
  • DCP சாவியில் உள்ள சாவிகள் fileகள் சிறிய-எண்டியன் வடிவத்தில் உள்ளன.

படம் 37. HDCP2 RX DCP விசையிலிருந்து பைட் மேப்பிங் file hdcp2x_rx_kmem.v-க்குள்
கீழே உள்ள படம் HDCP2 RX DCP விசையிலிருந்து சரியான பைட் மேப்பிங்கைக் காட்டுகிறது. file hdcp2x_rx_kmem.v-க்குள்.

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - உலகளாவிய மாறிலி 4

குறிப்பு:
பைட் எண் கீழே உள்ள வடிவத்தில் காட்டப்படும்:

  • பைட்டுகளில் விசை அளவு * தற்போதைய வரிசையில் விசை எண் + பைட் எண் + நிலையான ஆஃப்செட் + பைட்டுகளில் வரிசை அளவு * வரிசை எண்.
  • 862*n என்பது ஒவ்வொரு விசைத் தொகுப்பிலும் 862 பைட்டுகள் இருப்பதைக் குறிக்கிறது.
  • 16*y என்பது ஒவ்வொரு வரிசையிலும் 16 பைட்டுகள் இருப்பதைக் குறிக்கிறது. cert_rx_prod இல் ஒரு விதிவிலக்கு உள்ளது, அங்கு ROW 32 இல் 10 பைட்டுகள் மட்டுமே உள்ளன.

படம் 38. HDCP2 RX DCP விசை file தேவையற்ற மதிப்புகளால் நிரப்புதல்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - பொதுச் சான்றிதழ் 1

படம் 39. hdcp2x_rx_kmem.v இன் கம்பி வரிசைகள்
இந்தப் படம் hdcp2x_rx_kmem.v (cert_rx_prod, kprivrx_qinv_prod, மற்றும் lc128_prod) க்கான கம்பி வரிசைகளை ex க்கு வரைபடமாகக் காட்டுகிறது.ampHDCP2 RX DCP விசையின் le file in
பக்கம் 38 இல் படம் 108.

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - பொதுச் சான்றிதழ் 2

4.3.3.1.4. hdcp2x_tx_kmem.v file
hdcp2x_tx_kmem.v க்கு file:

  • சரியான HDCP2 TX DCP விசையை அடையாளம் காண file hdcp2x_tx_kmem.v க்கு, முதல் 4 பைட்டுகளை உறுதிசெய்து கொள்ளுங்கள் file "0x00, 0x00, 0x00, 0x01" ஆகும்.
  • DCP சாவியில் உள்ள சாவிகள் fileகள் சிறிய-எண்டியன் வடிவத்தில் உள்ளன.
  • மாற்றாக, நீங்கள் hdcp128x_rx_kmem.v இலிருந்து lc2_prod ஐ நேரடியாக hdcp2x_tx_kmem.v இல் பயன்படுத்தலாம். விசைகள் ஒரே மதிப்புகளைப் பகிர்ந்து கொள்கின்றன.

படம் 40. hdcp2x_tx_kmem.v இன் கம்பி வரிசை
இந்த படம் HDCP2 TX DCP விசையிலிருந்து சரியான பைட் மேப்பிங்கைக் காட்டுகிறது. file hdcp2x_tx_kmem.v-க்குள்.

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - பொதுச் சான்றிதழ் 3

4.3.3.2. மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை வெளிப்புற ஃபிளாஷ் நினைவகத்தில் சேமிக்கவும் அல்லது EEPROM (ஆதரவு HDCP விசை மேலாண்மை = 1)
படம் 41. உயர் நிலை ஓவர்view HDCP விசை மேலாண்மை

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - பொதுச் சான்றிதழ் 4

ஆதரவு HDCP விசை மேலாண்மை அளவுரு இயக்கப்பட்டிருக்கும் போது, ​​இன்டெல் வழங்கும் விசை குறியாக்க மென்பொருள் பயன்பாடு (KEYENC) மற்றும் விசை நிரலாக்க வடிவமைப்பைப் பயன்படுத்தி HDCP உற்பத்தி விசை குறியாக்கத்தின் கட்டுப்பாட்டை நீங்கள் வைத்திருக்கிறீர்கள். நீங்கள் HDCP உற்பத்தி விசைகளையும் 128 பிட்கள் HDCP பாதுகாப்பு விசையையும் வழங்க வேண்டும். HDCP பாதுகாப்பு விசை
HDCP தயாரிப்பு விசையை குறியாக்கம் செய்து, வெளிப்புற ஃபிளாஷ் நினைவகத்தில் விசையைச் சேமிக்கிறது (எ.கா.ample, EEPROM) HDMI மகள் அட்டையில்.
ஆதரவு HDCP விசை மேலாண்மை அளவுருவை இயக்கினால், HDCP IP கோர்களில் விசை மறைகுறியாக்க அம்சம் (KEYDEC) கிடைக்கும். அதே HDCP பாதுகாப்பு
செயலாக்க இயந்திரங்களுக்கான இயக்க நேரத்தில் HDCP உற்பத்தி விசைகளை மீட்டெடுக்க KEYDEC இல் விசையைப் பயன்படுத்த வேண்டும். KEYENC மற்றும் KEYDEC ஆகியவை Atmel AT24CS32 32-Kbit சீரியல் EEPROM, Atmel AT24C16A 16-Kbit சீரியல் EEPROM மற்றும் குறைந்தபட்சம் 2-Kbit rom அளவு கொண்ட இணக்கமான I16C EEPROM சாதனங்களை ஆதரிக்கின்றன.

குறிப்பு:

  1. HDMI 2.0 FMC மகள் அட்டை திருத்தம் 11க்கு, மகள் அட்டையில் உள்ள EEPROM Atmel AT24CS32 என்பதை உறுதிப்படுத்திக் கொள்ளுங்கள். Bitec HDMI 2.0 FMC மகள் அட்டை திருத்தம் 11 இல் இரண்டு வெவ்வேறு அளவுகளில் EEPROM பயன்படுத்தப்படுகிறது.
  2. நீங்கள் முன்பு HDCP தயாரிப்பு விசைகளை குறியாக்கம் செய்ய KEYENC ஐப் பயன்படுத்தி பதிப்பு 21.2 அல்லது அதற்கு முந்தைய பதிப்பில் ஆதரவு HDCP விசை மேலாண்மையை இயக்கியிருந்தால், KEYENC மென்பொருள் பயன்பாட்டைப் பயன்படுத்தி HDCP தயாரிப்பு விசைகளை மீண்டும் குறியாக்கம் செய்து பதிப்பு 21.3 இலிருந்து HDCP IPகளை மீண்டும் உருவாக்க வேண்டும்.
    முதல்

4.3.3.2.1. இன்டெல் கீயென்சி
KEYENC என்பது இன்டெல் நீங்கள் வழங்கும் 128 பிட்கள் HDCP பாதுகாப்பு விசையுடன் HDCP உற்பத்தி விசைகளை குறியாக்கம் செய்ய பயன்படுத்தும் ஒரு கட்டளை வரி மென்பொருள் பயன்பாடாகும். KEYENC ஹெக்ஸ் அல்லது பின் அல்லது தலைப்பில் மறைகுறியாக்கப்பட்ட HDCP உற்பத்தி விசைகளை வெளியிடுகிறது. file வடிவம். KEYENC mif ஐயும் உருவாக்குகிறது file உங்களுக்கு வழங்கப்பட்ட 128 பிட்கள் HDCP பாதுகாப்பு விசையைக் கொண்டுள்ளது. KEYDEC
mif தேவை file.

கணினி தேவை:

  1. விண்டோஸ் 86 OS உடன் x64 10-பிட் இயந்திரம்
  2. விஷுவல் ஸ்டுடியோ 2019(x64)க்கான விஷுவல் சி++ மறுபகிர்வு செய்யக்கூடிய தொகுப்பு

குறிப்பு:
VS 2019-க்கு நீங்கள் Microsoft Visual C++ ஐ நிறுவ வேண்டும். Windows ➤ Control Panel ➤ Programs and Features-ல் இருந்து Visual C++ மறுபகிர்வு செய்யக்கூடியது நிறுவப்பட்டுள்ளதா என்பதை நீங்கள் சரிபார்க்கலாம். Microsoft Visual C++ நிறுவப்பட்டிருந்தால், Visual C++ xxxx-ஐ நீங்கள் காணலாம்.
மறுபகிர்வு செய்யக்கூடியது (x64). இல்லையெனில், நீங்கள் விஷுவல் சி++ ஐ பதிவிறக்கம் செய்து நிறுவலாம்.
மைக்ரோசாப்ட் நிறுவனத்தால் மறுவிநியோகம் செய்யக்கூடியது webதளம். பதிவிறக்க இணைப்பிற்கு தொடர்புடைய தகவலைப் பார்க்கவும்.

அட்டவணை 55. KEYENC கட்டளை வரி விருப்பங்கள்

கட்டளை வரி விருப்பங்கள் வாதம்/விளக்கம்
-k <HDCP protection key file>
உரை file பதினாறு தசமத்தில் 128 பிட்கள் HDCP பாதுகாப்பு விசையை மட்டுமே கொண்டுள்ளது. எ.கா.ample: f0f1f2f3f4f5f6f7f8f9fafbfcfdfeff
-hdcp1tx (எச்டிசிபிXNUMXடிஎக்ஸ்) <HDCP 1.4 TX production keys file>
HDCP 1.4 டிரான்ஸ்மிட்டர் தயாரிப்பு விசைகள் file DCP (.bin) இலிருந்து file)
-hdcp1rx (எச்டிசிபிXNUMXஆர்எக்ஸ்) <HDCP 1.4 RX production keys file>
HDCP 1.4 ரிசீவர் தயாரிப்பு விசைகள் file DCP (.bin) இலிருந்து file)
-hdcp2tx (எச்டிசிபிXNUMXடிஎக்ஸ்) <HDCP 2.3 TX production keys file>
HDCP 2.3 டிரான்ஸ்மிட்டர் தயாரிப்பு விசைகள் file DCP (.bin) இலிருந்து file)
-hdcp2rx (எச்டிசிபிXNUMXஆர்எக்ஸ்) <HDCP 2.3 RX production keys file>
HDCP 2.3 ரிசீவர் தயாரிப்பு விசைகள் file DCP (.bin) இலிருந்து file)
-hdcp1txகீகள் தேர்ந்தெடுக்கப்பட்ட உள்ளீட்டிற்கான (.bin) விசை வரம்பைக் குறிப்பிடவும். files
-hdcp1txkeys|hdcp1rxkeys|hdcp2rxkeys nm எங்கே
n = விசை தொடக்கம் (1 அல்லது >1) m = விசை முடிவு (n அல்லது >n) எ.கா.ampலெ:
ஒவ்வொரு HDCP 1 TX, HDCP 1000 RX மற்றும் HCDP இலிருந்து 1.4 முதல் 1.4 விசைகளைத் தேர்ந்தெடுக்கவும்.
2.3 RX தயாரிப்பு விசைகள் file.
“-hdcp1txkeys 1-1000 -hdcp1rxkeys 1-1000 -hdcp2rxkeys 1-1000”
-hdcp1rx விசைகள்
-hdcp2rx விசைகள்
தொடர்ந்தது…
கட்டளை வரி விருப்பங்கள் வாதம்/விளக்கம்
குறிப்பு: 1. நீங்கள் எந்த HDCP தயாரிப்பு விசைகளையும் பயன்படுத்தவில்லை என்றால் file, உங்களுக்கு HDCP விசை வரம்பு தேவையில்லை. நீங்கள் கட்டளை வரியில் வாதத்தைப் பயன்படுத்தவில்லை என்றால், இயல்புநிலை விசை வரம்பு 0 ஆகும்.
2. HDCP உற்பத்தி விசைகளுக்கான விசைகளின் வெவ்வேறு குறியீட்டையும் நீங்கள் தேர்ந்தெடுக்கலாம். fileஇருப்பினும், தேர்ந்தெடுக்கப்பட்ட விருப்பங்களுடன் விசைகளின் எண்ணிக்கை பொருந்த வேண்டும்.
Example: வெவ்வேறு 100 விசைகளைத் தேர்ந்தெடுக்கவும்.
HDCP 100 TX தயாரிப்பு விசைகளிலிருந்து முதல் 1.4 விசைகளைத் தேர்ந்தெடுக்கவும். file “-hdcp1txkeys 1-100”
HDCP 300 RX தயாரிப்பு விசைகளுக்கு 400 முதல் 1.4 வரையிலான விசைகளைத் தேர்ந்தெடுக்கவும். file "-hdcp1rxkeys 300-400"
HDCP 600 RX தயாரிப்பு விசைகளுக்கு 700 முதல் 2.3 வரையிலான விசைகளைத் தேர்ந்தெடுக்கவும். file "-hdcp2rxkeys 600-700"
-o வெளியீடு file வடிவம் . இயல்புநிலை ஹெக்ஸ் ஆகும். file.
பைனரியில் மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை உருவாக்கவும். file வடிவம்: -o பின் ஹெக்ஸில் மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை உருவாக்கவும் file வடிவம்: -o ஹெக்ஸ் தலைப்பில் மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை உருவாக்கவும் file வடிவம்: -ஓ
--சாவிகளைச் சரிபார்க்கவும் உள்ளீட்டில் கிடைக்கும் விசைகளின் எண்ணிக்கையை அச்சிடுக. fileகள் எக்ஸ்ampலெ:
keyenc.exe -hdcp1tx file> -hdcp1rx
<HDCP 1.4 RX production keys file> -hdcp2tx file> -hdcp2rx file> –சரிபார்ப்பு விசைகள்
குறிப்பு: மேலே உள்ள உதாரணத்தில் குறிப்பிட்டுள்ளபடி கட்டளை வரியின் இறுதியில் அளவுரு - சரிபார்ப்பு-விசைகளைப் பயன்படுத்தவும்.ampலெ.
–பதிப்பு KEYENC பதிப்பு எண்ணை அச்சிடுக.

குறியாக்கம் செய்ய நீங்கள் HDCP 1.4 மற்றும்/அல்லது HDCP 2.3 தயாரிப்பு விசைகளைத் தேர்ந்தெடுத்துத் தேர்ந்தெடுக்கலாம்.ample, குறியாக்க HDCP 2.3 RX தயாரிப்பு விசைகளை மட்டும் பயன்படுத்த, -hdcp2rx ஐ மட்டும் பயன்படுத்தவும்.
<HDCP 2.3 RX production keys file> -hdcp2rx விசைகள் கட்டளை வரி அளவுருக்களில்.
அட்டவணை 56. KEYENC பொதுவான பிழை செய்தி வழிகாட்டுதல்

பிழைச் செய்தி வழிகாட்டுதல்
பிழை: HDCP பாதுகாப்பு விசை file காணவில்லை கட்டளை வரி அளவுரு -k இல்லை file>
பிழை: விசை 32 ஹெக்ஸ் இலக்கங்களாக இருக்க வேண்டும் (எ.கா. f0f1f2f3f4f5f6f7f8f9fafbfcfdfeff) HDCP பாதுகாப்பு விசை file 32 பதினாறு இலக்கங்களில் HDCP பாதுகாப்பு விசையை மட்டுமே கொண்டிருக்க வேண்டும்.
பிழை: தயவுசெய்து விசை வரம்பைக் குறிப்பிடவும். கொடுக்கப்பட்ட உள்ளீட்டு HDCP தயாரிப்பு விசைகளுக்கு விசை வரம்பு குறிப்பிடப்படவில்லை. file.
பிழை: தவறான விசை வரம்பு. -hdcp1txkeys அல்லது -hdcp1rxkeys அல்லது -hdcp2rxkeys க்கு குறிப்பிடப்பட்ட விசை வரம்பு சரியாக இல்லை.
பிழை: உருவாக்க முடியாது.Fileபெயர்> keyenc.exe இலிருந்து கோப்புறை அனுமதி இயக்கப்படுகிறதா என சரிபார்க்கவும்.
பிழை: -hdcp1txkeys உள்ளீடு தவறானது. HDCP 1.4 TX தயாரிப்பு விசைகளுக்கான உள்ளீட்டு விசை வரம்பு வடிவம் தவறானது. சரியான வடிவம் “-hdcp1txkeys nm”, இங்கு n >= 1, m >= n
பிழை: -hdcp1rxkeys உள்ளீடு தவறானது. HDCP 1.4 RX தயாரிப்பு விசைகளுக்கான உள்ளீட்டு விசை வரம்பு வடிவம் தவறானது. சரியான வடிவம் “-hdcp1rxkeys nm”, இங்கு n >= 1, m >= n
பிழை: -hdcp2rxkeys உள்ளீடு தவறானது. HDCP 2.3 RX தயாரிப்பு விசைகளுக்கான உள்ளீட்டு விசை வரம்பு வடிவம் தவறானது. சரியான வடிவம் “-hdcp2rxkeys nm”, இங்கு n >= 1, m >= n
தொடர்ந்தது…
பிழைச் செய்தி வழிகாட்டுதல்
பிழை: தவறானது file <fileபெயர்> தவறான HDCP தயாரிப்பு விசைகள் file.
பிழை: file -o விருப்பத்திற்கு வகை இல்லை. –o க்கு கட்டளை வரி அளவுரு இல்லை. .
பிழை: தவறானது fileபெயர் –fileபெயர்> <fileபெயர்> செல்லாதது, தயவுசெய்து செல்லுபடியாகும் fileசிறப்பு எழுத்துக்கள் இல்லாத பெயர்.

ஒற்றை EEPROM-க்கு ஒற்றை விசையை குறியாக்குக
HDCP 1.4 TX, HDCP 1.4 RX, HDCP 2.3 TX மற்றும் HDCP 2.3 RX ஆகியவற்றின் ஒற்றை விசையை வெளியீட்டுடன் குறியாக்கம் செய்ய விண்டோஸ் கட்டளை வரியிலிருந்து பின்வரும் கட்டளை வரியை இயக்கவும். file தலைப்பு வடிவம் file ஒற்றை EEPROM க்கு:
keyenc.exe -k file> -hdcp1tx file> -hdcp1rx file> -hdcp2tx file> -hdcp2rx file> -hdcp1txkeys 1-1 -hdcp1rxkeys 1-1 -hdcp2rxkeys 1-1 -ஓ

N EEPROM களுக்கான N விசைகளை குறியாக்குக
HDCP 1 TX, HDCP 1.4 RX, HDCP 1.4 TX மற்றும் HDCP 2.3 RX ஆகியவற்றின் N விசைகளை (விசை 2.3 இலிருந்து தொடங்கி) வெளியீட்டுடன் குறியாக்கம் செய்ய Windows கட்டளை வரியிலிருந்து பின்வரும் கட்டளை வரியை இயக்கவும். file ஹெக்ஸின் வடிவம் file N EEPROM களுக்கு:
keyenc.exe -k file> -hdcp1tx file> -hdcp1rx file> -hdcp2tx file> -hdcp2rx file> -hdcp1txkeys 1 -hdcp1rxkeys 1- -hdcp2rxkeys 1- -o ஹெக்ஸ், இதில் N >= 1 ஆகவும் அனைத்து விருப்பங்களுக்கும் பொருந்த வேண்டும்.

தொடர்புடைய தகவல்
விஷுவல் ஸ்டுடியோ 2019க்கான மைக்ரோசாஃப்ட் விஷுவல் சி++
பதிவிறக்கத்திற்காக Microsoft Visual C++ x86 மறுபகிர்வு செய்யக்கூடிய தொகுப்பை (vc_redist.x86.exe) வழங்குகிறது. இணைப்பு மாறினால், Microsoft தேடுபொறியிலிருந்து "Visual C++ மறுபகிர்வு செய்யக்கூடியது" என்று தேட Intel உங்களை பரிந்துரைக்கிறது.

4.3.3.2.2. முக்கிய நிரலாளர்
மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை EEPROM இல் நிரல் செய்ய, இந்த வழிமுறைகளைப் பின்பற்றவும்:

  1. முக்கிய நிரலாளர் வடிவமைப்பை நகலெடுக்கவும். fileபின்வரும் பாதையிலிருந்து உங்கள் வேலை செய்யும் கோப்பகத்திற்குச் செல்லவும்: /hdcp2x/hw_டெமோ/கீ_ப்ரோக்ராமர்/
  2. மென்பொருள் தலைப்பை நகலெடுக்கவும். file (hdcp_கீ .h) KEYENC மென்பொருள் பயன்பாட்டிலிருந்து (பக்கம் 113 இல் ஒற்றை EEPROM க்கான ஒற்றை விசையை குறியாக்கு) மென்பொருள்/key_programmer_src/ கோப்பகத்திற்கு உருவாக்கப்பட்டு அதை hdcp_key.h என மறுபெயரிடவும்.
  3. ./runall.tcl ஐ இயக்கவும். இந்த ஸ்கிரிப்ட் பின்வரும் கட்டளைகளை இயக்குகிறது:
    • ஐபி பட்டியலை உருவாக்குங்கள் files
    • பிளாட்ஃபார்ம் டிசைனர் அமைப்பை உருவாக்குதல்
    • இன்டெல் குவார்டஸ் பிரைம் திட்டத்தை உருவாக்குங்கள்
    • ஒரு மென்பொருள் பணியிடத்தை உருவாக்கி மென்பொருளை உருவாக்குதல்
    • முழுமையான தொகுப்பைச் செய்யவும்
  4. மென்பொருள் பொருளைப் பதிவிறக்கவும். File (.sof) ஐ FPGA க்கு அனுப்பி, மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை EEPROM இல் நிரல் செய்யவும்.

ஸ்ட்ராடிக்ஸ் 10 HDMI RX-TX மறு பரிமாற்ற வடிவமைப்பை உருவாக்கவும்.ampஆதரவு HDCP 2.3 மற்றும் ஆதரவு HDCP 1.4 அளவுருக்கள் இயக்கப்பட்டிருந்தால், HDCP பாதுகாப்பு விசையைச் சேர்க்க பின்வரும் படிகளைப் பின்பற்றவும்.

  • mif-ஐ நகலெடுக்கவும். file (hdcp_kmem.mif) KEYENC மென்பொருள் பயன்பாட்டிலிருந்து (பக்கம் 113 இல் ஒற்றை EEPROM க்கான ஒற்றை விசையை குறியாக்கு பிரிவு) உருவாக்கப்பட்டது. /quartus/hdcp/ அடைவு.

4.3.4. வடிவமைப்பைத் தொகுக்கவும்
உங்கள் சொந்த எளிய HDCP தயாரிப்பு விசைகளை FPGA இல் சேர்த்த பிறகு அல்லது மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை EEPROM இல் நிரல் செய்த பிறகு, நீங்கள் இப்போது வடிவமைப்பைத் தொகுக்கலாம்.

  1. இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருளைத் துவக்கி திறக்கவும். /குவார்ட்டஸ்/a10_hdmi2_டெமோ.qpf.
  2. செயலாக்கம் ➤ தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும்.

4.3.5 View முடிவுகள்
செயல்விளக்கத்தின் முடிவில், நீங்கள் view HDCP இயக்கப்பட்ட HDMI வெளிப்புற சிங்க்கின் முடிவுகள்.
செய்ய view செயல்விளக்கத்தின் முடிவுகளைப் பெற, இந்தப் படிகளைப் பின்பற்றவும்:

  1. இன்டெல் FPGA போர்டை பவர் அப் செய்யவும்.
  2. கோப்பகத்தை இதற்கு மாற்றவும் /குவார்ட்டஸ்/.
  3. மென்பொருள் பொருளைப் பதிவிறக்க Nios II கட்டளை ஷெல்லில் பின்வரும் கட்டளையைத் தட்டச்சு செய்யவும். File (.sof) FPGA க்கு. nios2-configure-sof output_fileஎஸ்/ .sof (ஆங்கிலம்)
  4. HDCP-இயக்கப்பட்ட HDMI வெளிப்புற மூலத்தையும் சிங்க்கையும் (நீங்கள் அவ்வாறு செய்யவில்லை என்றால்) பவர் அப் செய்யவும். HDMI வெளிப்புற சிங்க் உங்கள் HDMI வெளிப்புற மூலத்தின் வெளியீட்டைக் காட்டுகிறது.

4.3.5.1. புஷ் பட்டன்கள் மற்றும் LED செயல்பாடுகள்
உங்கள் செயல்விளக்கத்தைக் கட்டுப்படுத்த பலகையில் உள்ள புஷ் பட்டன்கள் மற்றும் LED செயல்பாடுகளைப் பயன்படுத்தவும்.

அட்டவணை 57. புஷ் பட்டன் மற்றும் LED குறிகாட்டிகள் (ஆதரவு FRL = 0)

புஷ் பட்டன்/எல்இடி செயல்பாடுகள்
cpu_resetn கணினியை மீட்டமைக்க ஒருமுறை அழுத்தவும்.
user_pb[0] HPD சிக்னலை நிலையான HDMI மூலத்திற்கு மாற்ற ஒருமுறை அழுத்தவும்.
user_pb[1] • DVI குறியிடப்பட்ட சிக்னலை அனுப்ப TX மையத்தை அறிவுறுத்த அழுத்திப் பிடிக்கவும்.
• HDMI குறியிடப்பட்ட சிக்னலை அனுப்ப விடுவிக்கவும்.
• வரும் வீடியோ 8 bpc RGB வண்ண இடத்தில் இருப்பதை உறுதிசெய்து கொள்ளவும்.
user_pb[2] • பக்கப்பட்டி சிக்னல்களிலிருந்து InfoFrames அனுப்புவதை நிறுத்த TX மையத்திற்கு அறிவுறுத்த அழுத்திப் பிடிக்கவும்.
• பக்கப்பட்டி சிக்னல்களிலிருந்து இன்ஃபோஃப்ரேம்களை அனுப்புவதை மீண்டும் தொடங்க விடுவிக்கவும்.
பயனர்_தலைமை[0] RX HDMI PLL பூட்டு நிலை.
• 0: திறக்கப்பட்டது
• 1: பூட்டப்பட்டது
 பயனர்_தலைமை[1] RX HDMI கோர் பூட்டு நிலை
• 0: குறைந்தது 1 சேனல் திறக்கப்பட்டது
• 1: 3 சேனல்களும் பூட்டப்பட்டுள்ளன
பயனர்_தலைமை[2] RX HDCP1x IP மறைகுறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்
 பயனர்_தலைமை[3] RX HDCP2x IP மறைகுறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்
 பயனர்_தலைமை[4] TX HDMI PLL பூட்டு நிலை.
• 0: திறக்கப்பட்டது
• 1: பூட்டப்பட்டது
 பயனர்_தலைமை[5] TX டிரான்ஸ்ஸீவர் PLL பூட்டு நிலை.
• 0: திறக்கப்பட்டது
• 1: பூட்டப்பட்டது
 பயனர்_தலைமை[6] TX HDCP1x IP குறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்
 பயனர்_தலைமை[7] TX HDCP2x IP குறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்

அட்டவணை 58. புஷ் பட்டன் மற்றும் LED குறிகாட்டிகள் (ஆதரவு FRL = 1)

புஷ் பட்டன்/எல்இடி செயல்பாடுகள்
cpu_resetn கணினியை மீட்டமைக்க ஒருமுறை அழுத்தவும்.
user_dipsw பாஸ்த்ரூ பயன்முறையை மாற்ற பயனர் வரையறுக்கப்பட்ட டிஐபி சுவிட்ச்.
• ஆஃப் (இயல்புநிலை நிலை) = பாஸ்த்ரூ
FPGA இல் உள்ள HDMI RX ஆனது வெளிப்புற சிங்கிலிருந்து EDID ஐப் பெற்று, அது இணைக்கப்பட்டுள்ள வெளிப்புற மூலத்திற்கு வழங்குகிறது.
• ஆன் = நீங்கள் நியோஸ் II டெர்மினலில் இருந்து RX அதிகபட்ச FRL விகிதத்தை கட்டுப்படுத்தலாம். கட்டளை அதிகபட்ச FRL வீத மதிப்பைக் கையாளுவதன் மூலம் RX EDID ஐ மாற்றியமைக்கிறது.
பார்க்கவும் வெவ்வேறு FRL விகிதங்களில் வடிவமைப்பை இயக்குதல் வெவ்வேறு FRL விகிதங்களை நிர்ணயிப்பது பற்றிய கூடுதல் தகவலுக்கு பக்கம் 33 இல்.
தொடர்ந்தது…
புஷ் பட்டன்/எல்இடி செயல்பாடுகள்
user_pb[0] HPD சிக்னலை நிலையான HDMI மூலத்திற்கு மாற்ற ஒருமுறை அழுத்தவும்.
user_pb[1] ஒதுக்கப்பட்டது.
user_pb[2] Bitec HDMI 2.1 FMC மகள் கார்டின் TX உடன் இணைக்கப்பட்ட சிங்கில் இருந்து SCDC பதிவேடுகளைப் படிக்க ஒருமுறை அழுத்தவும்.
குறிப்பு: வாசிப்பை இயக்க, மென்பொருளில் DEBUG_MODE ஐ 1 ஆக அமைக்க வேண்டும்.
பயனர்_லெட்_ஜி[0] RX FRL கடிகாரம் PLL பூட்டு நிலை.
• 0: திறக்கப்பட்டது
• 1: பூட்டப்பட்டது
பயனர்_லெட்_ஜி[1] RX HDMI வீடியோ பூட்டு நிலை.
• 0: திறக்கப்பட்டது
• 1: பூட்டப்பட்டது
பயனர்_லெட்_ஜி[2] RX HDCP1x IP மறைகுறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்
பயனர்_லெட்_ஜி[3] RX HDCP2x IP மறைகுறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்
பயனர்_லெட்_ஜி[4] TX FRL கடிகாரம் PLL பூட்டு நிலை.
• 0: திறக்கப்பட்டது
• 1: பூட்டப்பட்டது
பயனர்_லெட்_ஜி[5] TX HDMI வீடியோ பூட்டு நிலை.
• 0 = திறக்கப்பட்டது
• 1 = பூட்டப்பட்டது
பயனர்_லெட்_ஜி[6] TX HDCP1x IP குறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்
பயனர்_லெட்_ஜி[7] TX HDCP2x IP குறியாக்க நிலை.
• 0: செயலற்றது
• 1: செயலில்

4.4. FPGA வடிவமைப்பில் உட்பொதிக்கப்பட்ட குறியாக்க விசையின் பாதுகாப்பு.
பல FPGA வடிவமைப்புகள் குறியாக்கத்தை செயல்படுத்துகின்றன, மேலும் FPGA பிட்ஸ்ட்ரீமில் ரகசிய விசைகளை உட்பொதிக்க வேண்டிய அவசியம் அடிக்கடி ஏற்படுகிறது. Intel Stratix 10 மற்றும் Intel Agilex போன்ற புதிய சாதனக் குடும்பங்களில், இந்த ரகசிய விசைகளைப் பாதுகாப்பாக வழங்கவும் நிர்வகிக்கவும் ஒரு Secure Device Manager தொகுதி உள்ளது. இந்த அம்சங்கள் இல்லாத இடங்களில், FPGA பிட்ஸ்ட்ரீமின் உள்ளடக்கத்தை, உட்பொதிக்கப்பட்ட ரகசிய பயனர் விசைகள் உட்பட, குறியாக்கத்துடன் பாதுகாக்கலாம்.
பயனர் விசைகள் உங்கள் வடிவமைப்பு சூழலுக்குள் பாதுகாப்பாக வைக்கப்பட வேண்டும், மேலும் தானியங்கி பாதுகாப்பான செயல்முறையைப் பயன்படுத்தி வடிவமைப்பில் சேர்க்க வேண்டும். இன்டெல் குவார்டஸ் பிரைம் கருவிகளைப் பயன்படுத்தி அத்தகைய செயல்முறையை நீங்கள் எவ்வாறு செயல்படுத்தலாம் என்பதை பின்வரும் படிகள் காட்டுகின்றன.

  1. பாதுகாப்பற்ற சூழலில் இன்டெல் குவார்டஸ் பிரைமில் HDL ஐ உருவாக்கி மேம்படுத்தவும்.
  2. வடிவமைப்பை ஒரு பாதுகாப்பான சூழலுக்கு மாற்றி, ரகசிய விசையைப் புதுப்பிக்க ஒரு தானியங்கி செயல்முறையை செயல்படுத்தவும். சிப்பில் உள்ள நினைவகம் விசை மதிப்பை உட்பொதிக்கிறது. விசை புதுப்பிக்கப்படும்போது, நினைவக துவக்கம் file (.mif) மாறலாம், மேலும் “quartus_cdb –update_mif” அசெம்பிளர் ஓட்டம் HDCP பாதுகாப்பு விசையை மீண்டும் தொகுக்காமல் மாற்றலாம். இந்தப் படி மிக விரைவாக இயங்கக்கூடியது மற்றும் அசல் நேரத்தைப் பாதுகாக்கிறது.
  3. இன்டெல் குவார்டஸ் பிரைம் பிட்ஸ்ட்ரீம் பின்னர் FPGA விசையுடன் குறியாக்கம் செய்து, இறுதி சோதனை மற்றும் பயன்பாட்டிற்காக மறைகுறியாக்கப்பட்ட பிட்ஸ்ட்ரீமை மீண்டும் பாதுகாப்பற்ற சூழலுக்கு மாற்றுகிறது.

FPGA இலிருந்து ரகசிய விசையை மீட்டெடுக்கக்கூடிய அனைத்து பிழைத்திருத்த அணுகலையும் முடக்க பரிந்துரைக்கப்படுகிறது. J ஐ முடக்குவதன் மூலம் பிழைத்திருத்த திறன்களை முழுவதுமாக முடக்கலாம்.TAG போர்ட், அல்லது தேர்ந்தெடுத்து முடக்கி மீண்டும்view கணினியில் உள்ள நினைவக எடிட்டர் அல்லது சிக்னல் டேப் போன்ற எந்த பிழைத்திருத்த அம்சங்களும் சாவியை மீட்டெடுக்க முடியாது. FPGA பிட்ஸ்ட்ரீமை எவ்வாறு குறியாக்கம் செய்வது மற்றும் J ஐ முடக்குவது போன்ற பாதுகாப்பு விருப்பங்களை உள்ளமைப்பது போன்ற குறிப்பிட்ட படிகள் உட்பட FPGA பாதுகாப்பு அம்சங்களைப் பயன்படுத்துவது பற்றிய கூடுதல் தகவலுக்கு AN 556: இன்டெல் FPGA களில் வடிவமைப்பு பாதுகாப்பு அம்சங்களைப் பயன்படுத்துதல் ஐப் பார்க்கவும்.TAG அணுகல்.

குறிப்பு:
MIF சேமிப்பகத்தில் உள்ள ரகசிய விசையின் மற்றொரு விசையுடன் தெளிவின்மை அல்லது குறியாக்கத்தின் கூடுதல் படியை நீங்கள் பரிசீலிக்கலாம்.
தொடர்புடைய தகவல்
AN 556: இன்டெல் FPGA களில் வடிவமைப்பு பாதுகாப்பு அம்சங்களைப் பயன்படுத்துதல்

4.5. பாதுகாப்பு பரிசீலனைகள்
HDCP அம்சத்தைப் பயன்படுத்தும் போது, பின்வரும் பாதுகாப்புக் கருத்தில் கவனம் செலுத்துங்கள்.

  • ஒரு ரிப்பீட்டர் அமைப்பை வடிவமைக்கும்போது, பின்வரும் நிபந்தனைகளின் கீழ் பெறப்பட்ட வீடியோ TX IP-க்குள் நுழைவதைத் தடுக்க வேண்டும்:
    — பெறப்பட்ட வீடியோ HDCP-குறியாக்கம் செய்யப்பட்டிருந்தால் (அதாவது RX IP இலிருந்து குறியாக்க நிலை hdcp1_enabled அல்லது hdcp2_enabled உறுதிப்படுத்தப்பட்டுள்ளது) மற்றும் அனுப்பப்பட்ட வீடியோ HDCP-குறியாக்கம் செய்யப்படவில்லை (அதாவது TX IP இலிருந்து குறியாக்க நிலை hdcp1_enabled அல்லது hdcp2_enabled உறுதிப்படுத்தப்படவில்லை).
    — பெறப்பட்ட வீடியோ HDCP TYPE 1 ஆகவும் (அதாவது RX IP இலிருந்து streamid_type உறுதிப்படுத்தப்பட்டுள்ளது) அனுப்பப்பட்ட வீடியோ HDCP 1.4 குறியாக்கம் செய்யப்பட்டதாகவும் இருந்தால் (அதாவது TX IP இலிருந்து குறியாக்க நிலை hdcp1_enabled உறுதிப்படுத்தப்பட்டுள்ளது)
  • உங்கள் HDCP தயாரிப்பு விசைகள் மற்றும் எந்தவொரு பயனர் குறியாக்க விசைகளின் ரகசியத்தன்மை மற்றும் ஒருமைப்பாட்டை நீங்கள் பராமரிக்க வேண்டும்.
  • எந்தவொரு இன்டெல் குவார்டஸ் பிரைம் திட்டங்கள் மற்றும் வடிவமைப்பு மூலத்தையும் உருவாக்க இன்டெல் உங்களை கடுமையாக பரிந்துரைக்கிறது. fileவிசைகளைப் பாதுகாக்க பாதுகாப்பான கணினி சூழலில் குறியாக்க விசைகளைக் கொண்ட கள்.
  • அங்கீகரிக்கப்படாத நகலெடுத்தல், தலைகீழ் பொறியியல் மற்றும் பிற சேதங்களிலிருந்து வடிவமைப்பைப் பாதுகாக்க, FPGA-களில் உள்ள வடிவமைப்பு பாதுகாப்பு அம்சங்களைப் பயன்படுத்த இன்டெல் கடுமையாக பரிந்துரைக்கிறது.ampஎரிங்.

தொடர்புடைய தகவல்
AN 556: இன்டெல் FPGA களில் வடிவமைப்பு பாதுகாப்பு அம்சங்களைப் பயன்படுத்துதல்

4.6. பிழைத்திருத்த வழிகாட்டுதல்கள்
இந்தப் பிரிவு பிழைத்திருத்தத்திற்குப் பயன்படுத்தக்கூடிய பயனுள்ள HDCP நிலை சமிக்ஞை மற்றும் மென்பொருள் அளவுருக்களை விவரிக்கிறது. வடிவமைப்பு ex ஐ இயக்குவது பற்றி அடிக்கடி கேட்கப்படும் கேள்விகளும் (FAQ) இதில் உள்ளன.ampலெ.

4.6.1. HDCP நிலை சமிக்ஞைகள்
HDCP IP கோர்களின் செயல்பாட்டு நிலையை அடையாளம் காண பல சிக்னல்கள் பயனுள்ளதாக இருக்கும். இந்த சிக்னல்கள் வடிவமைப்பு எக்ஸ் இல் கிடைக்கின்றன.ample மேல் நிலை மற்றும் உள் LED களுடன் பிணைக்கப்பட்டுள்ளன:

சிக்னல் பெயர் செயல்பாடு
hdcp1_enabled_rx பற்றி RX HDCP1x IP மறைகுறியாக்கம் நிலை 0: செயலற்றது
1: செயலில்
hdcp2_enabled_rx பற்றி RX HDCP2x IP மறைகுறியாக்கம் நிலை 0: செயலற்றது
1: செயலில்
hdcp1_enabled_tx is உருவாக்கியது हिंदी सम TX HDCP1x IP குறியாக்க நிலை 0: செயலற்றது
1: செயலில்
hdcp2_enabled_tx is உருவாக்கியது हिंदी सम TX HDCP2x IP குறியாக்க நிலை 0: செயலற்றது
1: செயலில்

பக்கம் 57 இல் உள்ள அட்டவணை 115 மற்றும் பக்கம் 58 இல் உள்ள அட்டவணை 115 ஐப் பார்த்து அவற்றின் LED இடங்களைப் பற்றி அறிந்து கொள்ளுங்கள்.
இந்த சிக்னல்களின் செயல்பாட்டு நிலை, HDCP IP அங்கீகரிக்கப்பட்டு, மறைகுறியாக்கப்பட்ட வீடியோ ஸ்ட்ரீமைப் பெறுகிறது/அனுப்புகிறது என்பதைக் குறிக்கிறது. ஒவ்வொரு திசைக்கும், HDCP1x அல்லது HDCP2x மட்டுமே
குறியாக்கம்/மறைகுறியாக்க நிலை சமிக்ஞைகள் செயலில் உள்ளன. எ.கா.ampபின்னர், hdcp1_enabled_rx அல்லது hdcp2_enabled_rx செயலில் இருந்தால், RX பக்கத்தில் உள்ள HDCP இயக்கப்பட்டு, வெளிப்புற வீடியோ மூலத்திலிருந்து மறைகுறியாக்கப்பட்ட வீடியோ ஸ்ட்ரீமை மறைகுறியாக்குகிறது.

4.6.2. HDCP மென்பொருள் அளவுருக்களை மாற்றியமைத்தல்.
HDCP பிழைத்திருத்த செயல்முறையை எளிதாக்க, நீங்கள் hdcp.c இல் உள்ள அளவுருக்களை மாற்றலாம்.
கீழே உள்ள அட்டவணை உள்ளமைக்கக்கூடிய அளவுருக்களின் பட்டியலையும் அவற்றின் செயல்பாடுகளையும் சுருக்கமாகக் கூறுகிறது.

அளவுரு செயல்பாடு
ஆதரவு_HDCP1X TX பக்கத்தில் HDCP 1.4 ஐ இயக்கு.
ஆதரவு_HDCP2X TX பக்கத்தில் HDCP 2.3 ஐ இயக்கு.
பிழைத்திருத்த_முறை_HDCP TX HDCP-க்கான பிழைத்திருத்த செய்திகளை இயக்கு.
REPEATER_MODE HDCP வடிவமைப்புக்கு ரிப்பீட்டர் பயன்முறையை இயக்கு.ample

அளவுருக்களை மாற்ற, hdcp.c இல் மதிப்புகளை விரும்பிய மதிப்புகளுக்கு மாற்றவும். தொகுப்பைத் தொடங்குவதற்கு முன், build_sw_hdcp.sh இல் பின்வரும் மாற்றத்தைச் செய்யுங்கள்:

  1. மாற்றியமைக்கப்பட்ட மென்பொருளைத் தடுக்க பின்வரும் வரியைக் கண்டுபிடித்து அதில் கருத்துத் தெரிவிக்கவும். file அசல் மூலம் மாற்றப்படுகிறது fileஇன்டெல் குவார்டஸ் பிரைம் மென்பொருள் நிறுவல் பாதையிலிருந்து கள்.
    intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - மேல் கூறுகள் 3
  2.  புதுப்பிக்கப்பட்ட மென்பொருளைத் தொகுக்க “./build_sw_hdcp.sh” ஐ இயக்கவும்.
  3. உருவாக்கப்பட்ட .elf file வடிவமைப்பில் இரண்டு முறைகள் மூலம் சேர்க்கலாம்:
    a. “nios2-download -g” ஐ இயக்கவும் file பதிவிறக்க செயல்முறை முடிந்ததும், சரியான செயல்பாட்டை உறுதிசெய்ய கணினியை மீட்டமைக்கவும்.
    b. நினைவக துவக்கத்தைப் புதுப்பிக்க “quartus_cdb –-update_mif” ஐ இயக்கவும். files. புதிய .sof ஐ உருவாக்க அசெம்பிளரை இயக்கவும். file இதில் புதுப்பிக்கப்பட்ட மென்பொருள் அடங்கும்.

4.6.3. அடிக்கடி கேட்கப்படும் கேள்விகள் (FAQ)
அட்டவணை 59. தோல்வி அறிகுறிகள் மற்றும் வழிகாட்டுதல்கள்

எண் தோல்வி அறிகுறி வழிகாட்டுதல்
1. RX மறைகுறியாக்கப்பட்ட வீடியோவைப் பெறுகிறது, ஆனால் TX நீலம் அல்லது கருப்பு நிறத்தில் நிலையான வீடியோவை அனுப்புகிறது. வெளிப்புற சிங்க் மூலம் TX அங்கீகாரம் தோல்வியடைந்ததே இதற்குக் காரணம். அப்ஸ்ட்ரீமில் இருந்து வரும் வீடியோ குறியாக்கம் செய்யப்பட்டிருந்தால், HDCP-திறன் கொண்ட ரிப்பீட்டர் வீடியோவை மறைகுறியாக்கப்படாத வடிவத்தில் அனுப்பக்கூடாது. இதை அடைய, RX HDCP மறைகுறியாக்க நிலை சமிக்ஞை செயலில் இருக்கும்போது TX HDCP குறியாக்க நிலை சமிக்ஞை செயலற்றதாக இருக்கும்போது, நீலம் அல்லது கருப்பு நிறத்தில் ஒரு நிலையான வீடியோ வெளிச்செல்லும் வீடியோவை மாற்றுகிறது.
சரியான வழிகாட்டுதல்களுக்கு, பார்க்கவும் பாதுகாப்பு பரிசீலனைகள் பக்கம் 117 இல். இருப்பினும், இந்த நடத்தை HDCP வடிவமைப்பை இயக்கும் போது பிழைத்திருத்த செயல்முறையைத் தடுக்கலாம். வடிவமைப்பில் வீடியோ தடுப்பை முடக்குவதற்கான முறை கீழே உள்ளது exampலெ:
1. வடிவமைப்பு ex இன் மேல் மட்டத்தில் பின்வரும் போர்ட் இணைப்பைக் கண்டறியவும்.ample. இந்த போர்ட் hdmi_tx_top தொகுதிக்கு சொந்தமானது.
2. போர்ட் இணைப்பை பின்வரும் வரியில் மாற்றவும்:
2. TX HDCP குறியாக்க நிலை சமிக்ஞை செயலில் உள்ளது, ஆனால் கீழ்நிலை மடுவில் பனி படம் காட்டப்படுகிறது. வெளிச்செல்லும் மறைகுறியாக்கப்பட்ட வீடியோவை டவுன்ஸ்ட்ரீம் சிங்க் சரியாக டிக்ரிப்ட் செய்யாததே இதற்குக் காரணம்.
TX HDCP IPக்கு உலகளாவிய மாறிலியை (LC128) வழங்குவதை உறுதிசெய்து கொள்ளுங்கள். மதிப்பு உற்பத்தி மதிப்பாகவும் சரியாகவும் இருக்க வேண்டும்.
3. TX HDCP குறியாக்க நிலை சமிக்ஞை நிலையற்றது அல்லது எப்போதும் செயலற்றதாக இருக்கும். டவுன்ஸ்ட்ரீம் சிங்க் மூலம் TX அங்கீகாரம் தோல்வியடைந்ததே இதற்குக் காரணம். பிழைத்திருத்த செயல்முறையை எளிதாக்க, நீங்கள் இதை இயக்கலாம் பிழைத்திருத்த_முறை_HDCP hdcp.c இல் உள்ள அளவுரு. பார்க்கவும் HDCP மென்பொருள் அளவுருக்களை மாற்றியமைத்தல் வழிகாட்டுதல்களில் பக்கம் 118 இல். பின்வரும் 3a-3c தோல்வியுற்ற TX அங்கீகாரத்திற்கான சாத்தியமான காரணங்களாக இருக்கலாம்.
3a. மென்பொருள் பிழைத்திருத்த பதிவு "HDCP 1.4 டவுன்ஸ்ட்ரீம் (Rx) ஆல் ஆதரிக்கப்படவில்லை" என்ற செய்தியை தொடர்ந்து அச்சிடுகிறது. செய்தியானது, டவுன்ஸ்ட்ரீம் சிங்க் HDCP 2.3 மற்றும் HDCP 1.4 இரண்டையும் ஆதரிக்கவில்லை என்பதைக் குறிக்கிறது.
டவுன்ஸ்ட்ரீம் சிங்க் HDCP 2.3 அல்லது HDCP 1.4 ஐ ஆதரிக்கிறதா என்பதை உறுதிப்படுத்திக் கொள்ளுங்கள்.
3b TX அங்கீகாரம் பாதியிலேயே தோல்வியடைந்தது. கையொப்ப சரிபார்ப்பு, இருப்பிட சரிபார்ப்பு போன்ற TX அங்கீகாரத்தின் எந்தப் பகுதியும் தோல்வியடையக்கூடும் என்பதே இதற்குக் காரணம். டவுன்ஸ்ட்ரீம் சிங்க் உற்பத்தி விசையைப் பயன்படுத்துகிறது, ஆனால் தொலைநகல் விசையைப் பயன்படுத்துவதில்லை என்பதை உறுதிப்படுத்திக் கொள்ளுங்கள்.
3c. மென்பொருள் பிழைத்திருத்த பதிவு "மறு அங்கீகாரம்" என்று அச்சிடுகிறது. பெறப்பட்ட வீடியோ சரியாக டிக்ரிப்ட் செய்யப்படாததால், டவுன்ஸ்ட்ரீம் சிங்க் மறு அங்கீகாரத்தைக் கோரியுள்ளதாக இந்தச் செய்தி குறிக்கிறது. TX HDCP IPக்கு உலகளாவிய மாறிலியை (LC128) வழங்குவதை உறுதிசெய்து கொள்ளுங்கள். மதிப்பு உற்பத்தி மதிப்பாக இருக்க வேண்டும் மற்றும் மதிப்பு சரியாக இருக்க வேண்டும்.
தொடர்ந்தது…
எண் தோல்வி அறிகுறி வழிகாட்டுதல்
HDCP அங்கீகாரம் முடிந்ததும் "தேவை".
4. அப்ஸ்ட்ரீம் மூலமானது HDCP ஐ இயக்கியிருந்தாலும், RX HDCP மறைகுறியாக்க நிலை சமிக்ஞை செயலற்றதாக உள்ளது. இது RX HDCP IP அங்கீகரிக்கப்பட்ட நிலையை அடையவில்லை என்பதைக் குறிக்கிறது. முன்னிருப்பாக, REPEATER_MODE வடிவமைப்பு ex இல் அளவுரு இயக்கப்பட்டுள்ளதுample. என்றால் REPEATER_MODE இயக்கப்பட்டிருந்தால், TX HDCP IP அங்கீகரிக்கப்பட்டுள்ளதா என்பதை உறுதிப்படுத்தவும்.

போது REPEATER_MODE அளவுரு இயக்கப்பட்டிருந்தால், TX HDCP-திறன் கொண்ட சிங்க்குடன் இணைக்கப்பட்டிருந்தால் RX HDCP IP ஒரு ரிப்பீட்டராக அங்கீகாரத்தை முயற்சிக்கிறது. TX HDCP IP டவுன்ஸ்ட்ரீம் சிங்க் மூலம் அங்கீகாரத்தை முடித்து RECEIVERID_LIST ஐ RX HDCP IPக்கு அனுப்ப காத்திருக்கும் போது அங்கீகாரம் பாதியிலேயே நின்றுவிடும். HDCP விவரக்குறிப்பில் வரையறுக்கப்பட்டுள்ளபடி காலக்கெடு 2 வினாடிகள் ஆகும். இந்த காலகட்டத்தில் TX HDCP IP அங்கீகாரத்தை முடிக்க முடியாவிட்டால், அப்ஸ்ட்ரீம் மூலமானது அங்கீகாரத்தை தோல்வியுற்றதாகக் கருதி HDCP விவரக்குறிப்பில் குறிப்பிடப்பட்டுள்ளபடி மறு அங்கீகாரத்தைத் தொடங்குகிறது.

குறிப்பு: • மேற்கோள்காட்டிய படி HDCP மென்பொருள் அளவுருக்களை மாற்றியமைத்தல் பக்கம் 118 இல் முடக்குவதற்கான முறைக்கு REPEATER_MODE பிழைத்திருத்த நோக்கத்திற்கான அளவுரு. முடக்கிய பிறகு REPEATER_MODE அளவுருவைப் பொறுத்தவரை, RX HDCP IP எப்போதும் ஒரு இறுதிப்புள்ளி பெறுநராக அங்கீகாரத்தை முயற்சிக்கிறது. TX HDCP IP அங்கீகார செயல்முறையை அடைவதில்லை.
• என்றால் REPEATER_MODE அளவுரு இயக்கப்படவில்லை என்றால், HDCP IPக்கு வழங்கப்பட்ட HDCP விசை உற்பத்தி மதிப்பு என்பதையும் மதிப்பு சரியானது என்பதையும் உறுதிப்படுத்தவும்.
5. RX HDCP மறைகுறியாக்க நிலை சமிக்ஞை நிலையற்றது. இதன் பொருள், அங்கீகரிக்கப்பட்ட நிலையை அடைந்த உடனேயே RX HDCP IP மறு அங்கீகாரத்தைக் கோரியுள்ளது. உள்வரும் மறைகுறியாக்கப்பட்ட வீடியோ RX HDCP IP ஆல் சரியாக மறைகுறியாக்கப்படாததால் இது நிகழலாம். RX HDCP IP மையத்திற்கு வழங்கப்பட்ட உலகளாவிய மாறிலி (LC128) உற்பத்தி மதிப்பு மற்றும் மதிப்பு சரியாக உள்ளதா என்பதை உறுதிப்படுத்தவும்.

HDMI இன்டெல் அரியா 10 FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி காப்பகங்கள்

இந்த பயனர் வழிகாட்டியின் சமீபத்திய மற்றும் முந்தைய பதிப்புகளுக்கு, HDMI Intel® Arria 10 FPGA IP வடிவமைப்பு Ex ஐப் பார்க்கவும்.ample பயனர் வழிகாட்டி. ஒரு IP முகவரி அல்லது மென்பொருள் பதிப்பு பட்டியலிடப்படவில்லை என்றால், முந்தைய IP அல்லது மென்பொருள் பதிப்பிற்கான பயனர் வழிகாட்டி பொருந்தும்.
IP பதிப்புகள் v19.1 வரையிலான Intel Quartus Prime Design Suite மென்பொருள் பதிப்புகளைப் போலவே இருக்கும். Intel Quartus Prime Design Suite மென்பொருள் பதிப்பு 19.2 அல்லது அதற்குப் பிந்தையவற்றிலிருந்து, IP
கோர்கள் ஒரு புதிய IP பதிப்புத் திட்டத்தைக் கொண்டுள்ளன.

HDMI இன்டெல் அரியா 10 FPGA IP வடிவமைப்புக்கான திருத்த வரலாறு Example பயனர் வழிகாட்டி

ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
2022.12.27 22.4 19.7.1 வடிவமைப்பு முன்னாள் பிரிவின் வன்பொருள் மற்றும் மென்பொருள் தேவைகள் பிரிவில் HDMI மகள் அட்டை திருத்தத்தைத் தேர்ந்தெடுப்பதற்கான புதிய அளவுருவைச் சேர்த்தது.ampHDMI 2.0 (FRL அல்லாத பயன்முறை) க்கு le.
2022.07.29 22.2 19.7.0 • நியோஸ் II EDS இன் விண்டோஸ்* பதிப்பிலிருந்து சைக்வின் கூறு நீக்கம் மற்றும் விண்டோஸ்* பயனர்களுக்கு WSL ஐ நிறுவ வேண்டிய அவசியம் குறித்த அறிவிப்பு.
• ஆவணம் முழுவதும் பொருந்தக்கூடிய வகையில், மகள் அட்டை பதிப்பு திருத்தம் 4 இலிருந்து 9 ஆக புதுப்பிக்கப்பட்டது.
2021.11.12 21.3 19.6.1 • புதிய விசை குறியாக்க மென்பொருள் பயன்பாட்டை (KEYENC) விவரிக்க, வெளிப்புற ஃபிளாஷ் நினைவகத்தில் அல்லது EEPROM (ஆதரவு HDCP விசை மேலாண்மை = 1) இல் மறைகுறியாக்கப்பட்ட HDCP தயாரிப்பு விசைகளை சேமிக்கவும் என்ற துணைப்பிரிவு புதுப்பிக்கப்பட்டது.
• பின்வரும் புள்ளிவிவரங்களை நீக்கியது:
— RX பிரைவேட் கீக்கான ஃபேக்சிமைல் கீ R1 இன் தரவு வரிசை
— HDCP உற்பத்தி விசைகளின் தரவு வரிசைகள் (பிளேஸ்ஹோல்டர்)
— HDCP பாதுகாப்பு விசையின் தரவு வரிசை (முன் வரையறுக்கப்பட்ட விசை)
— HDCP பாதுகாப்பு விசை hdcp2x_tx_kmem.mif இல் துவக்கப்பட்டது.
— HDCP பாதுகாப்பு விசை hdcp1x_rx_kmem.mif இல் துவக்கப்பட்டது.
— HDCP பாதுகாப்பு விசை hdcp1x_tx_kmem.mif இல் துவக்கப்பட்டது.
• DCP கீயிலிருந்து துணைப்பிரிவு HDCP கீ மேப்பிங் நகர்த்தப்பட்டது. Fileபிழைத்திருத்த வழிகாட்டுதல்களிலிருந்து FPGA இல் எளிய HDCP உற்பத்தி விசைகளை சேமிப்பதற்கான கள் (ஆதரவு HDCP விசை மேலாண்மை = 0).
2021.09.15 21.1 19.6.0 ncsim-க்கான குறிப்பு நீக்கப்பட்டது.
2021.05.12 21.1 19.6.0 • படம் 1 HDCP ஓவர் HDMI வடிவமைப்புக்கான விளக்கத்தில் SUPPORT FRL = 1 அல்லது SUPPORT HDCP KEY MANAGEMENT = 29 சேர்க்கப்படும்போது Exampதொகுதி வரைபடம்.
• HDCP விசை நினைவகத்தில் படிகள் சேர்க்கப்பட்டன fileவடிவமைப்பு நடைப்பயணத்தில் கள்.
• ardware ஐ அமைக்கவும் பிரிவில் FRL = 0 ஐ ஆதரிக்கும்போது சேர்க்கப்பட்டது.
• வடிவமைப்பை உருவாக்கு என்பதில் ஆதரவு HDCP விசை மேலாண்மை அளவுருவை இயக்குவதற்கான படி சேர்க்கப்பட்டது.
• வெளிப்புற ஃபிளாஷ் நினைவகம் அல்லது EEPROM இல் HDCP தயாரிப்பு விசைகளை மறைகுறியாக்கிய புதிய துணைப்பிரிவைச் சேர்த்தது (ஆதரவு HDCP விசை மேலாண்மை = 1).
தொடர்ந்தது…
ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
• டேபிள் புஷ் பட்டன் மற்றும் LED குறிகாட்டிகள் புஷ் பட்டன் மற்றும் LED குறிகாட்டிகளாக மறுபெயரிடப்பட்டன (SUPPORT FRL = 0).
• டேபிள் புஷ் பட்டன் மற்றும் LED குறிகாட்டிகள் சேர்க்கப்பட்டன (SUPPORT FRL = 1).
• FPGA வடிவமைப்பில் உட்பொதிக்கப்பட்ட குறியாக்க விசையின் பாதுகாப்பு என்ற புதிய அத்தியாயம் சேர்க்கப்பட்டது.
• புதிய அத்தியாயம் "பிழைத்திருத்த வழிகாட்டுதல்கள்" மற்றும் துணைப்பிரிவுகள் HDCP நிலை சமிக்ஞைகள், HDCP மென்பொருள் அளவுருவை மாற்றியமைத்தல் மற்றும் அடிக்கடி கேட்கப்படும் கேள்விகள் ஆகியவை சேர்க்கப்பட்டுள்ளன.
2021.04.01 21.1 19.6.0 • RX-ஒன்லி அல்லது TX-ஒன்லி வடிவமைப்பிற்குத் தேவையான புதுப்பிக்கப்பட்ட படக் கூறுகள்.
• புதுப்பிக்கப்பட்ட அட்டவணை உருவாக்கப்பட்ட RTL Files.
• புதுப்பிக்கப்பட்ட படம் HDMI RX டாப் கூறுகள்.
• நீக்கப்பட்ட பிரிவு HDMI RX மேல் இணைப்பு பயிற்சி செயல்முறை.
• வெவ்வேறு FRL விகிதங்களில் வடிவமைப்பை இயக்குவதற்கான படிகள் புதுப்பிக்கப்பட்டன.
• புதுப்பிக்கப்பட்ட படம் HDMI 2.1 வடிவமைப்பு Example கடிகாரத் திட்டம்.
• புதுப்பிக்கப்பட்ட டேபிள் கடிகாரத் திட்ட சமிக்ஞைகள்.
• டிரான்ஸ்ஸீவர் ஆர்பிட்டரிலிருந்து TX மேல் பகுதிக்கு இணைப்பைச் சேர்க்க, படம் HDMI RX-TX தொகுதி வரைபடம் புதுப்பிக்கப்பட்டது.
2020.09.28 20.3 19.5.0 • HDMI 2.1 வடிவமைப்பு ex என்ற குறிப்பை நீக்கியதுampFRL பயன்முறையில் le, HDMI இல் வேக தரம் –1 சாதனங்களை மட்டுமே ஆதரிக்கிறது Intel FPGA IP வடிவமைப்பு Exampஇன்டெல் அர்ரியா 10 சாதனங்கள் மற்றும் HDMI 2.1 வடிவமைப்பு Ex க்கான விரைவு தொடக்க வழிகாட்டிample (ஆதரவு FRL = 1) பிரிவுகள். வடிவமைப்பு அனைத்து வேக தரங்களையும் ஆதரிக்கிறது.
• அனைத்து HDMI 2.1 வடிவமைப்பு எக்ஸ்ஸிலிருந்தும் ls_clk தகவல் நீக்கப்பட்டது.ampதொடர்புடைய பிரிவுகள். ls_clk டொமைன் இனி வடிவமைப்பு ex இல் பயன்படுத்தப்படாது.ampலெ.
• HDMI 2.1 வடிவமைப்புக்கான தொகுதி வரைபடங்கள் புதுப்பிக்கப்பட்டன.ampHDMI 2.1 வடிவமைப்பு Ex இல் FRL பயன்முறையில் leample (ஆதரவு FRL = 1), RX- மட்டும் அல்லது TX- மட்டும் வடிவமைப்புகள் வடிவமைப்பு கூறுகளை உருவாக்குதல், மற்றும் க்ளாக்கிங் ஸ்கீம் பிரிவுகள்.
• கோப்பகங்களைப் புதுப்பித்து உருவாக்கினோம். fileகோப்பக அமைப்பு பிரிவுகளில் s பட்டியல்.
• பொருத்தமற்ற சிக்னல்களை நீக்கி, பின்வரும் HDMI 2.1 வடிவமைப்பு விளக்கத்தைச் சேர்த்தது அல்லது திருத்தியது.ampஇடைமுக சமிக்ஞைகள் பிரிவில் le சமிக்ஞைகள்:
— sys_init
— txpll_frl_locked
— tx_os
— txphy_rcfg* சிக்னல்கள்
— tx_reconfig_done
— txcore_tbcr
— pio_in0_வெளிப்புற_இணைப்பு_ஏற்றுமதி
• வடிவமைப்பு RTL அளவுருக்கள் பிரிவில் பின்வரும் அளவுருக்கள் சேர்க்கப்பட்டன:
— EDID_RAM_ADDR_அகலம்
— BITEC_மகள்_கார்டு_REV
— FPLL ஐப் பயன்படுத்தவும்
— துருவமுனைப்பு_பதிப்பு
தொடர்ந்தது…
ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
• HDMI 2.0 வடிவமைப்புக்கான தொகுதி வரைபடங்கள் புதுப்பிக்கப்பட்டன.ampHDMI 2.0 வடிவமைப்பு Ex இல் Intel Quartus Prime Pro பதிப்பு மென்பொருளுக்கான leample (ஆதரவு FRL = 0), RX-மட்டும் அல்லது TX-மட்டும் வடிவமைப்புகள் வடிவமைப்பு கூறுகளை உருவாக்குதல், மற்றும் க்ளாக்கிங் ஸ்கீம் பிரிவுகள்.
• டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் (HDR) இன்ஃபோஃப்ரேம் இன்செர்ஷன் மற்றும் ஃபில்டரிங் பிரிவில் கடிகாரம் புதுப்பிக்கப்பட்டு சிக்னல் பெயர்கள் மீட்டமைக்கப்பட்டுள்ளன.
• பொருத்தமற்ற சிக்னல்களை நீக்கி, பின்வரும் HDMI 2.0 வடிவமைப்பு விளக்கத்தைச் சேர்த்தது அல்லது திருத்தியது.ampஇடைமுக சமிக்ஞைகள் பிரிவில் le சமிக்ஞைகள்:
— clk_fpga_b3_p
— REFCLK_FMCB_P
— fmcb_la_tx_p_11
— fmcb_la_rx_n_9e
— fr_clck
— reset_xcvr_powerup
— nios_tx_i2c* சிக்னல்கள்
— hdmi_ti_i2c* சிக்னல்கள்
— tx_i2c_avalon* சிக்னல்கள்
— clock_bridge_0_in_clk_clk
— reset_bridge_0_reset_reset_n
— i2c_master* சிக்னல்கள்
— nios_tx_i2c* சிக்னல்கள்
— measure_valid_pio_external_connection n_export
— oc_i2c_av_slave_translator_avalon_an ti_slave_0* சிக்னல்கள்
— powerup_cal_done_export
— rx_pma_cal_busy_export
— rx_pma_ch_export
— rx_pma_rcfg_mgmt* சிக்னல்கள்
• உருவகப்படுத்துதல் சோதனைப் பெஞ்ச், I2C ஐ சேர்க்கவும் அளவுரு சிமுலேஷன் டெஸ்ட்பெஞ்ச் பிரிவில் சிமுலேஷன் செய்தியை இயக்கி புதுப்பித்தது.
• உங்கள் வடிவமைப்பை மேம்படுத்துதல் பிரிவு புதுப்பிக்கப்பட்டது.
2020.04.13 20.1 19.4.0 • HDMI 2.1 வடிவமைப்பு ex என்ற குறிப்பைச் சேர்த்ததுampFRL பயன்முறையில் le, HDMI இல் வேக தரம் –1 சாதனங்களை மட்டுமே ஆதரிக்கிறது Intel FPGA IP வடிவமைப்பு Exampஇன்டெல் அர்ரியா 10 சாதனங்களுக்கான விரைவு தொடக்க வழிகாட்டி மற்றும் HDMI 2.1 வடிவமைப்புக்கான விரிவான விளக்கம் எக்ஸ்ample (ஆதரவு FRL = 1) பிரிவுகள்.
• HDMI வடிவமைப்பு Ex க்கு மேல் HDCP ஐ நகர்த்தியதுampHDMI Intel FPGA IP பயனர் வழிகாட்டியிலிருந்து Intel Arria 10 சாதனங்கள் பிரிவுக்கான le.
• ஆடியோக்களை சேர்க்க, வடிவமைப்பை உருவகப்படுத்துதல் பகுதியைத் திருத்தியது.ample ஜெனரேட்டர், சைடுபேண்ட் டேட்டா ஜெனரேட்டர் மற்றும் துணை டேட்டா ஜெனரேட்டர் ஆகியவற்றைப் புதுப்பித்து, வெற்றிகரமான உருவகப்படுத்துதல் செய்தியைப் புதுப்பித்தது.
• கூறப்பட்ட உருவகப்படுத்துதல் இதற்கு மட்டுமே கிடைக்கும் என்ற குறிப்பை நீக்கியது FRL-ஐ ஆதரிக்கவும் முடக்கப்பட்ட வடிவமைப்புகள் குறிப்பு. உருவகப்படுத்துதல் இப்போது கிடைக்கிறது FRL-ஐ ஆதரிக்கவும் செயல்படுத்தப்பட்ட வடிவமைப்புகளையும்.
• HDMI 2.1 வடிவமைப்பு Ex-க்கான விரிவான விளக்கத்தில் அம்ச விளக்கம் புதுப்பிக்கப்பட்டது.amp(FRL ஆதரவு இயக்கப்பட்டது) பிரிவு.
தொடர்ந்தது…
ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
• HDMI 2.1 RX-TX வடிவமைப்பு தொகுதி வரைபடம், வடிவமைப்பு கூறுகள் மற்றும் HDMI 2.1 வடிவமைப்புக்கான RX-மட்டும் அல்லது TX-மட்டும் வடிவமைப்புகள் பிரிவுகளை உருவாக்குதல் ஆகியவற்றில் தொகுதி வரைபடத்தைத் திருத்தியது.ample. புதிய கூறுகளைச் சேர்த்தல் மற்றும் இனி பொருந்தாத கூறுகளை நீக்குதல்.
• RX-Only அல்லது TX-Only Designs ஐ உருவாக்குதல் பிரிவில் main.c ஸ்கிரிப்ட் வழிமுறையைத் திருத்தியுள்ளேன்.
• புதிய கோப்புறைகளைச் சேர்க்க கோப்பக அமைப்பு பிரிவுகள் புதுப்பிக்கப்பட்டன மற்றும் fileHDMI 2.0 மற்றும் HDMI இரண்டிற்கும் கள்
2.1 வடிவமைப்பு முன்னாள்ampலெஸ்.
• HDMI 2.1 வடிவமைப்புக்கான வன்பொருள் மற்றும் மென்பொருள் தேவைகள் பிரிவு புதுப்பிக்கப்பட்டது.ampலெ.
• HDMI 2.1 வடிவமைப்புக்கான டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் (HDR) இன்ஃபோஃப்ரேம் செருகல் மற்றும் வடிகட்டுதல் பிரிவில் தொகுதி வரைபடம் மற்றும் சிக்னல் விளக்கங்கள் புதுப்பிக்கப்பட்டன.ampலெ.
• HDMI 2.1 வடிவமைப்பு முன்னாள் பதிப்பிற்காக, வெவ்வேறு FRL விகிதங்களில் வடிவமைப்பை இயக்குதல் என்ற புதிய பிரிவு சேர்க்கப்பட்டது.ampலெஸ்.
• HDMI 2.1 வடிவமைப்புக்கான கடிகாரத் திட்டப் பிரிவில் தொகுதி வரைபடம் மற்றும் சமிக்ஞை விளக்கங்கள் புதுப்பிக்கப்பட்டன.ampலெ.
• HDMI 2.1 வடிவமைப்புக்கான வன்பொருள் அமைவுப் பிரிவில் பயனர் DIP சுவிட்ச் பற்றிய விளக்கம் சேர்க்கப்பட்டது.ampலெ.
• HDMI 2.1 வடிவமைப்புக்கான வடிவமைப்பு வரம்புகள் பிரிவு புதுப்பிக்கப்பட்டது.ampலெ.
• உங்கள் வடிவமைப்பை மேம்படுத்துதல் பிரிவு புதுப்பிக்கப்பட்டது.
• HDMI 2.0 மற்றும் HDMI 2.1 வடிவமைப்பு எக்ஸ் இரண்டிற்கும் சிமுலேஷன் டெஸ்ட்பெஞ்ச் பிரிவுகள் புதுப்பிக்கப்பட்டன.ampலெஸ்.
2020.01.16 19.4 19.3.0 • HDMI இன்டெல் FPGA IP வடிவமைப்பு Ex புதுப்பிக்கப்பட்டதுampபுதிதாக சேர்க்கப்பட்ட HDMI 10 வடிவமைப்பு பற்றிய தகவலுடன் Intel Arria 2.1 சாதனங்களுக்கான விரைவு தொடக்க வழிகாட்டி பிரிவு.ampFRL பயன்முறையுடன் le.
• HDMI 2.1 வடிவமைப்பு Ex-க்கான விரிவான விளக்கம் என்ற புதிய அத்தியாயம் சேர்க்கப்பட்டது.ampபுதிதாக சேர்க்கப்பட்ட வடிவமைப்பு பற்றிய அனைத்து தொடர்புடைய தகவல்களையும் கொண்ட le (ஆதரவு FRL இயக்கப்பட்டது) exampலெ.
• HDMI இன்டெல் FPGA IP வடிவமைப்பு Ex என மறுபெயரிடப்பட்டதுample HDMI 2.0 வடிவமைப்பு Ex-க்கான விரிவான விளக்கம் முதல் விரிவான விளக்கம் வரைampசிறந்த தெளிவுக்கு le.
2019.10.31 18.1 18.1 • உருவாக்கப்பட்டவை சேர்க்கப்பட்டன filetx_control_src கோப்புறையில் உள்ள s: ti_i2c.c மற்றும் ti_i2c.h.
• வன்பொருள் மற்றும் மென்பொருள் தேவைகள் மற்றும் வடிவமைப்பைத் தொகுத்தல் மற்றும் சோதித்தல் பிரிவுகளில் FMC மகள் அட்டை திருத்தம் 11க்கான ஆதரவு சேர்க்கப்பட்டுள்ளது.
• வடிவமைப்பு வரம்பு பிரிவு நீக்கப்பட்டது. அதிகபட்ச சாய்வு கட்டுப்பாடுகளில் நேர மீறல் தொடர்பான வரம்பு பதிப்பில் தீர்க்கப்பட்டது.
HDMI இன்டெல் FPGA IP இன் 18.1.
• Bitec HDMI மகள் அட்டையின் திருத்தத்தைத் தேர்ந்தெடுக்க உங்களை அனுமதிக்க, BITEC_DAUGHTER_CARD_REV என்ற புதிய RTL அளவுரு சேர்க்கப்பட்டது.
தொடர்ந்தது…
ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
• FMC மகள் அட்டை திருத்தங்கள் 2, 2 மற்றும் 11 பற்றிய தகவல்களைச் சேர்க்க fmcb_dp_m6c_p மற்றும் fmcb_dp_c4m_p சிக்னல்களுக்கான விளக்கம் புதுப்பிக்கப்பட்டது.
• Bitec மகள் அட்டை திருத்தம் 11 க்கு பின்வரும் புதிய சிக்னல்களைச் சேர்த்தது:
— hdmi_tx_ti_i2c_sda
— hdmi_tx_ti_i2c_scl
— oc_i2c_master_ti_avalon_anti_slave_a முகவரி
— oc_i2c_master_ti_avalon_anti_slave_w சடங்கு
— oc_i2c_master_ti_avalon_anti_slave_r eaddata
— oc_i2c_master_ti_avalon_anti_slave_w சடங்கு தரவு
— oc_i2c_master_ti_avalon_anti_slave_w aitrequest
• உங்கள் வடிவமைப்பை மேம்படுத்துதல் பற்றிய ஒரு பகுதி சேர்க்கப்பட்டது.
2017.11.06 17.1 17.1 • இன்டெல் மறுபெயரிடலின் படி HDMI IP கோரை HDMI இன்டெல் FPGA IP என மறுபெயரிடப்பட்டது.
• Qsys என்ற சொல்லை Platform Designer என மாற்றினார்.
• டைனமிக் ரேஞ்ச் மற்றும் மாஸ்டரிங் இன்ஃபோஃப்ரேம் (HDR) செருகல் மற்றும் வடிகட்டுதல் அம்சம் பற்றிய தகவல் சேர்க்கப்பட்டது.
• கோப்பக அமைப்பு புதுப்பிக்கப்பட்டது:
— ஸ்கிரிப்ட் மற்றும் மென்பொருள் கோப்புறைகள் சேர்க்கப்பட்டன மற்றும் files.
— பொதுவான மற்றும் HDR புதுப்பிக்கப்பட்டது files.
— நீக்கப்பட்டது atx files.
— வேறுபடுத்தப்பட்டது fileஇன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு மற்றும் இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பிற்கான கள்.
• 10AX115S2F4I1SG ஆகப் பயன்படுத்தப்படும் சாதனத்தைச் சேர்க்க, வடிவமைப்பை உருவாக்குதல் பிரிவு புதுப்பிக்கப்பட்டது.
• 50-100 MHz TMDS கடிகார அதிர்வெண்ணிற்கான டிரான்ஸ்ஸீவர் தரவு வீதத்தை 2550-5000 Mbps ஆக திருத்தியது.
• வெளிப்புற வடிகட்டலை முடக்க user_pb[2] பொத்தானை வெளியிடக்கூடிய RX-TX இணைப்புத் தகவல் புதுப்பிக்கப்பட்டது.
• I2C மாஸ்டர் மற்றும் HDMI மூலத்திற்கான கட்டுப்பாடுகளை உள்ளடக்கிய Nios II மென்பொருள் ஓட்ட வரைபடம் புதுப்பிக்கப்பட்டது.
• இது பற்றிய தகவல் சேர்க்கப்பட்டது வடிவமைப்பு முன்னாள்ample GUI அளவுருக்கள்.
• HDMI RX மற்றும் TX டாப் வடிவமைப்பு அளவுருக்கள் சேர்க்கப்பட்டன.
• இந்த HDMI RX மற்றும் TX உயர்-நிலை சிக்னல்களைச் சேர்த்தது:
— mgmt_clk
— மீட்டமை
— i2c_clk
— hdmi_clk_in
— இந்த HDMI RX மற்றும் TX உயர்-நிலை சிக்னல்களை நீக்கியது:
• பதிப்பு
• i2c_clk
தொடர்ந்தது…
ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
• இன்டெல் அர்ரியா 10 FPGA டெவலப்மென்ட் கிட் மற்றும் பிடெக் HDMI 2.0 டாட்டர் கார்டுக்கு டிரான்ஸ்ஸீவர் அனலாக் அமைப்பு சோதிக்கப்படுகிறது என்ற குறிப்பைச் சேர்த்துள்ளோம். உங்கள் போர்டிற்கான அனலாக் அமைப்பை நீங்கள் மாற்றலாம்.
• Intel Arria 10 PLL குறிப்பு கடிகாரத்திற்கான PLL அடுக்கு அல்லது அர்ப்பணிக்கப்படாத கடிகார பாதைகளின் நடுக்கத்தைத் தவிர்ப்பதற்கான தீர்வுக்கான இணைப்பு சேர்க்கப்பட்டது.
• HDMI RX-க்கு CDR refclk ஆகவோ அல்லது HDMI TX-க்கு TX PLL refclk ஆகவோ டிரான்ஸ்ஸீவர் RX பின்னைப் பயன்படுத்த முடியாது என்ற குறிப்பைச் சேர்த்துள்ளோம்.
• TX PMA மற்றும் PCS பிணைப்பைப் பயன்படுத்தும் வடிவமைப்புகளுக்கு set_max_skew கட்டுப்பாட்டை எவ்வாறு சேர்ப்பது என்பது பற்றிய குறிப்பைச் சேர்த்துள்ளேன்.
2017.05.08 17.0 17.0 • இன்டெல் என மறுபெயரிடப்பட்டது.
• பாக எண் மாற்றப்பட்டது.
• கோப்பக அமைப்பு புதுப்பிக்கப்பட்டது:
— HDR சேர்க்கப்பட்டது files.
— qsys_vip_passthrough.qsys என்பது nios.qsys ஆக மாற்றப்பட்டது.
— சேர்க்கப்பட்டது fileஇன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பிற்காக நியமிக்கப்பட்டவை.
• RX-TX இணைப்புத் தொகுதி HDMI RX துணைத் தரவிலிருந்து உயர் டைனமிக் ரேஞ்ச் (HDR) இன்ஃபோஃப்ரேமில் வெளிப்புற வடிகட்டலைச் செய்கிறது மற்றும் ஒரு ex ஐச் செருகுகிறது என்ற புதுப்பிக்கப்பட்ட தகவல்ampஅவலோன் ST மல்டிபிளெக்சர் மூலம் HDMI TX இன் துணை தரவுகளுக்கு HDR இன்ஃபோஃப்ரேம்.
• டிரான்ஸ்ஸீவர் நேட்டிவ் PHY விளக்கத்திற்கான குறிப்பு சேர்க்கப்பட்டது, அதாவது HDMI TX இன்டர்-சேனல் வளைவுத் தேவையைப் பூர்த்தி செய்ய, நீங்கள் Arria 10 டிரான்ஸ்ஸீவர் நேட்டிவ் PHY அளவுரு எடிட்டரில் TX சேனல் பிணைப்பு முறை விருப்பத்தை அமைக்க வேண்டும். பிஎம்ஏ மற்றும் பிசிஎஸ் பிணைப்பு.
• os மற்றும் அளவீட்டு சமிக்ஞைகளுக்கான புதுப்பிக்கப்பட்ட விளக்கம்.
• ஓவர்களை மாற்றியமைத்தார்ampTX FPLL நேரடி கடிகார திட்டத்தை ஆதரிக்க ஒவ்வொரு TMDS கடிகார அதிர்வெண் வரம்பிலும் வெவ்வேறு டிரான்ஸ்ஸீவர் தரவு விகிதத்திற்கான லிங் காரணி.
• TX IOPLL என்பது TX FPLL கேஸ்கேட் க்ளாக்கிங் ஸ்கீம், TX FPLL டைரக்ட் ஸ்கீம் என மாற்றப்பட்டது.
• TX PMA மறுகட்டமைப்பு சிக்னல்கள் சேர்க்கப்பட்டன.
• USER_LED[7] ஓவர்கள் திருத்தப்பட்டனampலிங் நிலை. 1 ஓவர்களைக் குறிக்கிறது.ampled (அரியா 1,000 சாதனத்தில் தரவு வீதம் < 10 Mbps).
• புதுப்பிக்கப்பட்ட HDMI வடிவமைப்பு Example ஆதரிக்கப்படும் சிமுலேட்டர்கள் அட்டவணை. NCSim-க்கு VHDL ஆதரிக்கப்படவில்லை.
• Arria 10 HDMI IP கோர் டிசைன் Ex இன் காப்பகப்படுத்தப்பட்ட பதிப்பிற்கான இணைப்பு சேர்க்கப்பட்டது.ample பயனர் வழிகாட்டி.
2016.10.31 16.1 16.1 ஆரம்ப வெளியீடு.

இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - ஐகான் 1 ஆன்லைன் பதிப்பு
intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example - சின்னம் கருத்தை அனுப்பவும்
ஐடி: 683156
பதிப்பு: 2022.12.27

ஆவணங்கள் / ஆதாரங்கள்

intel HDMI Arria 10 FPGA IP வடிவமைப்பு Example [pdf] பயனர் வழிகாட்டி
HDMI Arria 10 FPGA IP வடிவமைப்பு Example, HDMI Arria, 10 FPGA IP வடிவமைப்பு Example, வடிவமைப்பு Example

குறிப்புகள்

கருத்து தெரிவிக்கவும்

உங்கள் மின்னஞ்சல் முகவரி வெளியிடப்படாது. தேவையான புலங்கள் குறிக்கப்பட்டுள்ளன *