英特爾標誌eCPRI 英特爾® FPGA IP 設計
Examp用戶指南
針對英特爾® 更新
Quartus®
Prime 設計套件:23.1
IP 版本:2.0.3

快速入門指南

增強型通用公共無線電介面 (eCPRI) 英特爾® FPGA IP 核實現了 eCPRI 規範版本 2.0。 eCPRI Intel FPGA IP 提供模擬測試平台和硬體設計擴展amp支持編譯和硬件測試的文件。 當您生成設計前ampLE,參數編輯器自動創建 file需要模擬、編譯和測試設計ample在硬體中。
編譯後的硬體設計example 運行於:

  • 英特爾 Agilex™ 7 I 系列 FPGA 開發套件
  • 英特爾 Agilex 7 I 系列收發器 SoC 開發套件
  • 英特爾 Agilex 7 F 系列收發器 SoC 開發套件
  • 適用於 H-tile 設計 ex 的英特爾 Stratix® 10 GX 收發器訊號完整性開發套件amp萊斯
  • 適用於 E-tile 設計 ex 的英特爾 Stratix 10 TX 收發器訊號完整性開發套件amp萊斯
  • 英特爾 Arria® 10 GX 收發器訊號完整性開發套件

Intel 提供了一個僅編譯的 examp可以用來快速估計 IP 內核面積和時序的項目。
測試台和設計前amp此文件支援 eCPRI IP 的 Intel Stratix 25 H-tile 或 E-tile 以及 Intel Agilex 10 E-tile 或 F-tile 裝置變體的 10G 和 7G 資料速率。

筆記: eCPRI IP 設計擴展amp在目前版本中,具有互通功能 (IWF) 的檔案僅適用於 9.8 Gbps CPRI 線路位元率。
筆記: eCPRI IP 設計擴展amp此檔案不支援 Intel Arria 10 設計中 10G 資料速率的動態重新配置。

eCPRI Intel FPGA IP 核心設計擴展amp樂支持以下功能:

  • 內部 TX 到 RX 串行環回模式
  • 流量產生器和檢查器
  • 基本數據包檢查功能
  • 能夠使用系統控制台運行設計並重置設計以進行重新測試

英特爾公司。 版權所有。 英特爾、英特爾徽標和其他英特爾標誌是英特爾公司或其子公司的商標。 英特爾根據英特爾的標准保修保證其 FPGA 和半導體產品的性能符合當前規格,但保留隨時更改任何產品和服務的權利,恕不另行通知。 英特爾不承擔因應用或使用此處描述的任何信息、產品或服務而產生的任何責任或義務,除非英特爾明確書面同意。 建議英特爾客戶在依賴任何已發布信息和下訂單購買產品或服務之前獲取最新版本的設備規格。 *其他名稱和品牌可能被認為是他人的財產。

ISO 9001:2015 註冊

圖 1. Design Ex 的開發步驟ampleeCPRI Intel FPGA IP 設計 - 圖 1

相關資訊

  • eCPRI 英特爾 FPGA IP 使用者指南
  • eCPRI 英特爾 FPGA IP 發行說明

1.1. 硬件和軟件要求
測試前任ample設計,使用以下硬件和軟件:

  • 英特爾 Quartus® Prime 專業版軟體版本 23.1
  • 系統控制台
  • 支援的模擬器:
    — 西門子* EDA QuestaSim*
    — 新思科技* VCS*
    — 新思科技 VCS MX
    — Aldec* Riviera-PRO*
    — Cadence* Xcelium*
  • 開發套件:
    — 英特爾 Agilex 7 I 系列 FPGA 開發套件
    — Intel Agilex 7 I 系列收發器 SoC 開發套件
    — Intel Agilex 7 F 系列收發器 SoC 開發套件
    — 用於 H-tile 裝置變體設計的 Intel Stratix 10 GX 收發器訊號完整性開發套件ample
    — 用於 E-tile 設備變體設計 ex 的 Intel Stratix 10 TX 收發器訊號完整性開發ample
    — Intel Arria 10 GX 收發器訊號完整性開發套件

相關資訊

  • 英特爾 Agilex 7 I 系列 FPGA 開發套件使用者指南
  • 英特爾 Agilex 7 I 系列收發器 SoC 開髮套件使用者指南
  • 英特爾 Agilex 7 F 系列收發器 SoC 開髮套件使用者指南
  • Intel Stratix 10 GX 收發器訊號完整性開發套件使用者指南
  • Intel Stratix 10 TX 收發器訊號完整性開發套件使用者指南
  • 英特爾 Arria 10 GX 收發器訊號完整性開發套件使用者指南

1.2. 生成設計
先決條件:收到 eCPRI 後 web-核心IP,保存 web-核心安裝程式到本地。在 Windows/Linux 上執行安裝程式。出現提示時,安裝 webcore 到與 Intel Quartus Prime 資料夾相同的位置。
eCPRI Intel FPGA IP 現在出現在 IP 目錄中。
如果您還沒有用於整合 eCPRI Intel FPGA IP 核心的 Intel Quartus Prime Pro Edition 項目,則必須建立一個。

  1. 在 Intel Quartus Prime Pro Edition 軟件中,點擊 File ➤ New Project Wizard 創建一個新的 Intel Quartus Prime 工程,或者點擊 File ➤ 打開項目以打開現有的 Intel Quartus Prime 項目。 該嚮導會提示您指定一個設備。
  2. 指定裝置系列和滿足速度等級要求的裝置。
  3. 按一下“完成”。
  4. 在 IP 目錄中,找到並雙擊 eCPRI Intel FPGA IP。將出現「新 IP 變體」視窗。

請依照下列步驟產生 eCPRI IP 硬體設計 examp樂和測試台:

  1. 在 IP 目錄中,找到並雙擊 eCPRI Intel FPGA IP。將出現「新 IP 變體」視窗。
  2. 單擊確定。 出現參數編輯器。
    圖 2. ExampeCPRI Intel FPGA IP 參數編輯器中的 Design 標籤eCPRI Intel FPGA IP 設計 - 圖 2
  3. 指定頂級名稱為您的自定義 IP 變體。 參數編輯器將 IP 變體設置保存在 file 命名的.ip。
  4. 單擊確定。 出現參數編輯器。
  5. 在 General 標籤上,指定 IP 核變體的參數。
    筆記: • 產生設計擴充時,必須在 eCPRI IP 參數編輯器中開啟流參數。amp啟用互通功能 (IWF) 支援參數的文件,
    • 產生設計擴充時,必須將 CPRI 線路位元率 (Gbit/s) 設定為“其他”amp啟用互通功能 (IWF) 支援參數的檔案。
  6. 在前ample Design選項卡,選擇模擬選項產生測試平台,選擇綜合選項產生硬體examp文件設計,並選擇綜合和模擬選項來產生測試平台和硬體設計examp勒。
  7. 用於頂級模擬的語言 file,選擇 Verilog 或 VHDL。
    筆記: 只有當您為前任選擇“模擬”選項時,此選項才可用amp設計。
  8. 對於頂級綜合語言 file,選擇 Verilog 或 VHDL。
    筆記: 只有當您為前任選擇綜合選項時,此選項才可用amp設計。
  9. 對於通道數,您可以輸入適合您的設計的通道數(1 到 4)。預設值為 1。
  10. 單擊生成示例amp樂設計。 選擇前任amp出現 le Design Directory 窗口。
  11. 如果你想修改設計前amp從顯示的預設值 (ecpri_0_testbench) 中選擇檔案目錄路徑或名稱,瀏覽至新路徑並輸入新設計 examp目錄名。
  12. 按一下“確定”。

相關資訊
eCPRI 英特爾 FPGA IP 使用者指南
1.3. 目錄結構
eCPRI IP 核子設計擴展ample file 目錄包含以下生成的 files 為設計前amp勒。

圖 3. 產生的Ex的目錄結構amp設計eCPRI Intel FPGA IP 設計 - 圖 3

筆記:

  1. 僅存在於 Intel Arria 10 IP 設計 ex 中amp勒變異。
  2. 僅存在於 Intel Stratix 10(H-tile 或 E-tile)IP 設計中amp勒變異。
  3. 僅存在於英特爾 Agilex E-tile IP 設計 ex 中amp勒變異。

表 1. eCPRI Intel FPGA IP 核子測試平台 File 說明

File 名稱  描述
關鍵測試台和仿真 Files
<設計_example_dir>/simulation/testbench/ecpri_tb.sv 頂層測試平台 file。測試平台實例化 DUT 包裝器並執行 Verilog HDL 任務來產生和接受資料包。
<設計_example_dir>/simulation/testbench/ecpri_ed.sv 用於實例化 DUT 和其他測試台組件的 DUT 包裝器。
<設計_example_dir>/simulation/ed_fw/flow.c C程式碼來源 file.
測試台腳本
<設計_example_dir>/simulation/setup_scripts/mentor/run_vsim.do 用於執行測試平台的西門子 EDA QuestaSim 腳本。
<設計_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh 用於運行測試平台的 Synopsys VCS 腳本。
<設計_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX 腳本(結合了 Verilog HDL 和
SystemVerilog with VHDL)來運作測試平台。
<設計_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl 用於執行測試平台的 Aldec* Riviera-PRO 腳本。
<設計_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh 用於執行測試平台的 Cadence* Xcelium 腳本。

表 2. eCPRI Intel FPGA IP 核子硬體設計擴展ample File 說明

File 名稱 說明
<設計_example_dir>/synthesis/quartus/ecpri_ed.qpf 英特爾 Quartus Prime 項目 file.
<設計_example_dir>/synthesis/quartus/ecpri_ed.qsf Intel Quartus Prime 專案設置 file.
<設計_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys 設計約束 files。您可以複製並修改這些 file適用於您自己的 Intel Stratix 10 設計。
<設計_example_dir>/synthesis/testbench/ecpri_ed_top.sv 頂層 Verilog HDL 設計實例ample file.
<設計_example_dir>/synthesis/testbench/ecpri_ed.sv 用於實例化 DUT 和其他測試台組件的 DUT 包裝器。
<設計_example_dir>/synthesis/quartus/ecpri_s10.tcl 主要的 file 用於存取系統控制台(適用於 Intel Stratix 10 H-tile 和 E-tile 設計)。
<設計_example_dir>/synthesis/quartus/ecpri_a10.tcl 主要的 file 用於存取系統控制台(在 Intel Arria 10 設計中可用)。
<設計_example_dir>/synthesis/quartus/ecpri_agilex.tcl 主要的 file 用於存取系統控制台(在英特爾 Agilex 7 設計中可用)。

1.4. 模擬設計實例amp測試平台
圖 4. 程序eCPRI Intel FPGA IP 設計 - 圖 4

按照以下步驟模擬測試台:

  1. 在命令提示字元下,切換到testbench模擬目錄ample_dir>/simulation/setup_scripts.
  2. 對於 Intel Agilex F-tile 裝置變體,請執行下列步驟:
    A。導航至ample_dir>/simulation/quartus 目錄並執行以下兩個指令: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    或者,您可以在 Intel Quartus Prime Pro Edition 中開啟 ecpri_ed.qpf 專案並執行編譯,直到支援邏輯生成tage.
    b.導航至ample_dir>/simulation/setup_scripts 目錄。
    C。執行以下指令: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf
  3. 為您選擇的支援的模擬器執行模擬腳本。該腳本在模​​擬器中編譯並執行測試平台。請參閱表模擬測試台的步驟。
    筆記: 對模擬的 VHDL 語言支援僅適用於 QuestaSim 和 VCS MX 模擬器。 Verilog 語言對仿真的支援適用於表:仿真測試台的步驟中列出的所有仿真器。
  4. 分析結果。測試台收發包成功,並顯示「PASSED」。

表 3. 模擬測試平台的步驟

模擬器 指示
問題模擬 在命令列中,輸入 vsim -do run_vsim.do 如果您希望在不啟動 QuestaSim GUI 的情況下進行模擬,請輸入 vsim -c -do run_vsim.do
VCS • 在命令列中,鍵入sh run_vcs.sh
• 導航至ample_dir>/simulation/setup_scripts/ synopsys/vcs 並執行下列指令:sh run_vcs.sh
風控系統MX 在命令列中輸入 sh run_vcsmx.sh
裡維埃拉-PRO 在命令列中,輸入 vsim -c -do run_rivierapro.tcl
筆記: 僅在 Intel Stratix 10 H-tile 設計變體中支援。
Xcelium(1) 在命令行中,鍵入 sh run_xcelium.sh
  1. eCPRI Intel FPGA IP 設計擴充不支援此模擬器amp啟用 IWF 功能後產生的檔案。

Samp輸出: 以下的amp文件輸出說明了 eCPRI IP 設計擴展的成功模擬測試運行amp未啟用 IWF 功能且頻道數 = 4 的檔案:

# 等待 RX 對齊
# RX 相差校正已鎖定
# RX 頻道對齊已鎖定
# 等待連結故障清除
# 連結故障清除
# MAC來源位址0_0通道0:33445566
# MAC來源位址0_1通道0:00007788
# MAC 目標位址 0_0 頻道 0: 33445566
# MAC 目標位址 0_1 頻道 0: 00007788
# MAC 目標位址 1_0 頻道 0: 11223344
# MAC 目標位址 1_1 頻道 0: 00005566
# MAC 目標位址 2_0 頻道 0: 22334455
# MAC 目標位址 2_1 頻道 0: 00006677
# MAC 目標位址 3_0 頻道 0: 44556677
# MAC 目標位址 3_1 頻道 0: 00008899
# MAC 目標位址 4_0 頻道 0: 66778899
# MAC 目標位址 4_1 頻道 0: 0000aabb
# MAC 目標位址 5_0 頻道 0: 778899aa
# MAC 目標位址 5_1 頻道 0: 0000bbcc
# MAC 目標位址 6_0 頻道 0: 8899aabb
# MAC 目標位址 6_1 頻道 0: 0000ccdd
# MAC 目標位址 7_0 頻道 0: 99aabbcc
# MAC 目標位址 7_1 頻道 0: 0000ddee
# eCPRI 公共控制頻道 0:00000041
# 啟用中斷eCPRI公共控制頻道0:00000241
# eCPRI 版本頻道 0:2
# MAC來源位址0_0通道1:33445566
# MAC來源位址0_1通道1:00007788
# MAC 目標位址 0_0 頻道 1: 33445566
# MAC 目標位址 0_1 頻道 1: 00007788
# MAC 目標位址 1_0 頻道 1: 11223344
# MAC 目標位址 1_1 頻道 1: 00005566
# MAC 目標位址 2_0 頻道 1: 22334455
# MAC 目標位址 2_1 頻道 1: 00006677
# MAC 目標位址 3_0 頻道 1: 44556677
# MAC 目標位址 3_1 頻道 1: 00008899
# MAC 目標位址 4_0 頻道 1: 66778899
# MAC 目標位址 4_1 頻道 1: 0000aabb
# MAC 目標位址 5_0 頻道 1: 778899aa
# MAC 目標位址 5_1 頻道 1: 0000bbcc
# MAC 目標位址 6_0 頻道 1: 8899aabb
# MAC 目標位址 6_1 頻道 1: 0000ccdd
# MAC 目標位址 7_0 頻道 1: 99aabbcc
# MAC 目標位址 7_1 頻道 1: 0000ddee
# eCPRI 公共控制頻道 1:00000041
# 啟用中斷eCPRI公共控制頻道1:00000241
# eCPRI 版本頻道 1:2
# MAC來源位址0_0通道2:33445566
# MAC來源位址0_1通道2:00007788
# MAC 目標位址 0_0 頻道 2: 33445566
# MAC 目標位址 0_1 頻道 2: 00007788
# MAC 目標位址 1_0 頻道 2: 11223344
# MAC 目標位址 1_1 頻道 2: 00005566
# MAC 目標位址 2_0 頻道 2: 22334455
# MAC 目標位址 2_1 頻道 2: 00006677
# MAC 目標位址 3_0 頻道 2: 44556677
# MAC 目標位址 3_1 頻道 2: 00008899
# MAC 目標位址 4_0 頻道 2: 66778899
# MAC 目標位址 4_1 頻道 2: 0000aabb
# MAC 目標位址 5_0 頻道 2: 778899aa
# MAC 目標位址 5_1 頻道 2: 0000bbcc
# MAC 目標位址 6_0 頻道 2: 8899aabb
# MAC 目標位址 6_1 頻道 2: 0000ccdd
# MAC 目標位址 7_0 頻道 2: 99aabbcc
# MAC 目標位址 7_1 頻道 2: 0000ddee
# eCPRI 公共控制頻道 2:00000041
# 啟用中斷eCPRI公共控制頻道2:00000241
# eCPRI 版本頻道 2:2
# MAC來源位址0_0通道3:33445566
# MAC來源位址0_1通道3:00007788
# MAC 目標位址 0_0 頻道 3: 33445566
# MAC 目標位址 0_1 頻道 3: 00007788
# MAC 目標位址 1_0 頻道 3: 11223344
# MAC 目標位址 1_1 頻道 3: 00005566
# MAC 目標位址 2_0 頻道 3: 22334455
# MAC 目標位址 2_1 頻道 3: 00006677
# MAC 目標位址 3_0 頻道 3: 44556677
# MAC 目標位址 3_1 頻道 3: 00008899
# MAC 目標位址 4_0 頻道 3: 66778899
# MAC 目標位址 4_1 頻道 3: 0000aabb
# MAC 目標位址 5_0 頻道 3: 778899aa
# MAC 目標位址 5_1 頻道 3: 0000bbcc
# MAC 目標位址 6_0 頻道 3: 8899aabb
# MAC 目標位址 6_1 頻道 3: 0000ccdd
# MAC 目標位址 7_0 頻道 3: 99aabbcc
# MAC 目標位址 7_1 頻道 3: 0000ddee
# eCPRI 公共控制頻道 3:00000041
# 啟用中斷eCPRI公共控制頻道3:00000241
# eCPRI 版本頻道 3:2
# __________________________________________________________
#訊息:脫離重置狀態
# __________________________________________________________
#
#
# 通道 0 eCPRI TX SOP 計數:0
# 頻道 0 eCPRI TX EOP 計數:0
# 通道 0 eCPRI RX SOP 計數:0
# 通道 0 eCPRI RX EOP 計數:0
# 通道 0 外部 PTP TX SOP 計數:0
# 通道 0 外部 PTP TX EOP 計數:0
# 通道 0 外部 MISC TX SOP 計數:0
# 通道 0 外部 MISC TX EOP 計數:0
# 通道 0 外部 RX SOP 計數:0
# 通道 0 外部 RX EOP 計數:0
# 通道 1 eCPRI TX SOP 計數:0
# 頻道 1 eCPRI TX EOP 計數:0
# 通道 1 eCPRI RX SOP 計數:0
# 通道 1 eCPRI RX EOP 計數:0
# 通道 1 外部 PTP TX SOP 計數:0
# 通道 1 外部 PTP TX EOP 計數:0
# 通道 1 外部 MISC TX SOP 計數:0
# 通道 1 外部 MISC TX EOP 計數:0
# 通道 1 外部 RX SOP 計數:0
# 通道 1 外部 RX EOP 計數:0
# 通道 2 eCPRI TX SOP 計數:0
# 頻道 2 eCPRI TX EOP 計數:0
# 通道 2 eCPRI RX SOP 計數:0
# 通道 2 eCPRI RX EOP 計數:0
# 通道 2 外部 PTP TX SOP 計數:0
# 通道 2 外部 PTP TX EOP 計數:0
# 通道 2 外部 MISC TX SOP 計數:0
# 通道 2 外部 MISC TX EOP 計數:0
# 通道 2 外部 RX SOP 計數:0
# 通道 2 外部 RX EOP 計數:0
# 通道 3 eCPRI TX SOP 計數:0
# 頻道 3 eCPRI TX EOP 計數:0
# 通道 3 eCPRI RX SOP 計數:0
# 通道 3 eCPRI RX EOP 計數:0
# 通道 3 外部 PTP TX SOP 計數:0
# 通道 3 外部 PTP TX EOP 計數:0
# 通道 3 外部 MISC TX SOP 計數:0
# 通道 3 外部 MISC TX EOP 計數:0
# 通道 3 外部 RX SOP 計數:0
# 通道 3 外部 RX EOP 計數:0
# __________________________________________________________
# INFO: 開始傳輸封包
# __________________________________________________________
#
#
# INFO:等待通道 0 eCPRI TX 流量傳輸完成
# 訊息:通道 0 eCPRI TX 流量傳輸已完成
# INFO:等待通道 0 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 0 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 0 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 0 eCPRI 外部 TX Misc 流量傳輸已完成
# INFO:等待通道 1 eCPRI TX 流量傳輸完成
# 訊息:通道 1 eCPRI TX 流量傳輸已完成
# INFO:等待通道 1 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 1 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 1 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 1 eCPRI 外部 TX Misc 流量傳輸已完成
# INFO:等待通道 2 eCPRI TX 流量傳輸完成
# 訊息:通道 2 eCPRI TX 流量傳輸已完成
# INFO:等待通道 2 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 2 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 2 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 2 eCPRI 外部 TX Misc 流量傳輸已完成
# INFO:等待通道 3 eCPRI TX 流量傳輸完成
# 訊息:通道 3 eCPRI TX 流量傳輸已完成
# INFO:等待通道 3 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 3 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 3 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 3 eCPRI 外部 TX Misc 流量傳輸已完成
# __________________________________________________________
# INFO: 停止傳輸封包
# __________________________________________________________
#
#
# __________________________________________________________
# INFO: 檢查資料包統計信息
# __________________________________________________________
#
#
# 通道 0 eCPRI SOP 傳輸:300
# 頻道 0 eCPRI EOP 傳輸:300
# 收到的頻道 0 eCPRI SOP:300
# 收到的頻道 0 eCPRI EOP:300
# 頻道 0 eCPRI 回報錯誤:0
# 通道 0 發送的外部 PTP SOP:4
# 通道 0 發送的外部 PTP EOP:4
# 通道 0 傳輸的外部 MISC SOP:128
# 通道 0 傳輸的外部 MISC EOP:128
# 通道 0 收到的外部 SOP:132
# 通道 0 收到的外部 EOP:132
# 通道 0 收到的外部 PTP SOP:4
# 通道 0 收到外部 PTP EOP:4
# 通道 0 收到的外部 MISC SOP:128
# 通道 0 收到外部 MISC EOP:128
# 通道 0 回報外部錯誤:0
# 頻道 0 外部時間amp 報告指紋錯誤:0
# 通道 1 eCPRI SOP 傳輸:300
# 頻道 1 eCPRI EOP 傳輸:300
# 收到的頻道 1 eCPRI SOP:300
# 收到的頻道 1 eCPRI EOP:300
# 頻道 1 eCPRI 回報錯誤:0
# 通道 1 發送的外部 PTP SOP:4
# 通道 1 發送的外部 PTP EOP:4
# 通道 1 傳輸的外部 MISC SOP:128
# 通道 1 傳輸的外部 MISC EOP:128
# 通道 1 收到的外部 SOP:132
# 通道 1 收到的外部 EOP:132
# 通道 1 收到的外部 PTP SOP:4
# 通道 1 收到外部 PTP EOP:4
# 通道 1 收到的外部 MISC SOP:128
# 通道 1 收到外部 MISC EOP:128
# 通道 1 回報外部錯誤:0
# 頻道 1 外部時間amp 報告指紋錯誤:0
# 通道 2 eCPRI SOP 傳輸:300
# 頻道 2 eCPRI EOP 傳輸:300
# 收到的頻道 2 eCPRI SOP:300
# 收到的頻道 2 eCPRI EOP:300
# 頻道 2 eCPRI 回報錯誤:0
# 通道 2 發送的外部 PTP SOP:4
# 通道 2 發送的外部 PTP EOP:4
# 通道 2 傳輸的外部 MISC SOP:128
# 通道 2 傳輸的外部 MISC EOP:128
# 通道 2 收到的外部 SOP:132
# 通道 2 收到的外部 EOP:132
# 通道 2 收到的外部 PTP SOP:4
# 通道 2 收到外部 PTP EOP:4
# 通道 2 收到的外部 MISC SOP:128
# 通道 2 收到外部 MISC EOP:128
# 通道 2 回報外部錯誤:0
# 頻道 2 外部時間amp 報告指紋錯誤:0
# 通道 3 eCPRI SOP 傳輸:300
# 頻道 3 eCPRI EOP 傳輸:300
# 收到的頻道 3 eCPRI SOP:300
# 收到的頻道 3 eCPRI EOP:300
# 頻道 3 eCPRI 回報錯誤:0
# 通道 3 發送的外部 PTP SOP:4
# 通道 3 發送的外部 PTP EOP:4
# 通道 3 傳輸的外部 MISC SOP:128
# 通道 3 傳輸的外部 MISC EOP:128
# 通道 3 收到的外部 SOP:132
# 通道 3 收到的外部 EOP:132
# 通道 3 收到的外部 PTP SOP:4
# 通道 3 收到外部 PTP EOP:4
# 通道 3 收到的外部 MISC SOP:128
# 通道 3 收到外部 MISC EOP:128
# 通道 3 回報外部錯誤:0
# 頻道 3 外部時間amp 報告指紋錯誤:0
# __________________________________________________________
# 資訊:測試通過
#
# __________________________________________________________

Samp輸出: 以下的amp文件輸出說明了 eCPRI IP 設計擴展的成功模擬測試運行amp啟用 IWF 功能且頻道數 = 4 的檔案:

# 啟用 CPRI TX
# CPRI 頻道 0 L1_CONFIG : 00000001
# CPRI 通道 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI 頻道 1 L1_CONFIG : 00000001
# CPRI 通道 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI 頻道 2 L1_CONFIG : 00000001
# CPRI 通道 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI 頻道 3 L1_CONFIG : 00000001
# CPRI 通道 3 CPRI_CORE_CM_CONFIG : 00001ed4
# 等待 RX 對齊
# RX 相差校正已鎖定
# RX 頻道對齊已鎖定
# 等待連結故障清除
# 連結故障清除
# MAC來源位址0_0通道0:33445566
# MAC來源位址0_1通道0:00007788
# MAC 目標位址 0_0 頻道 0: 33445566
# MAC 目標位址 0_1 頻道 0: 00007788
# MAC 目標位址 1_0 頻道 0: 11223344
# MAC 目標位址 1_1 頻道 0: 00005566
# MAC 目標位址 2_0 頻道 0: 22334455
# MAC 目標位址 2_1 頻道 0: 00006677
# MAC 目標位址 3_0 頻道 0: 44556677
# MAC 目標位址 3_1 頻道 0: 00008899
# MAC 目標位址 4_0 頻道 0: 66778899
# MAC 目標位址 4_1 頻道 0: 0000aabb
# MAC 目標位址 5_0 頻道 0: 778899aa
# MAC 目標位址 5_1 頻道 0: 0000bbcc
# MAC 目標位址 6_0 頻道 0: 8899aabb
# MAC 目標位址 6_1 頻道 0: 0000ccdd
# MAC 目標位址 7_0 頻道 0: 99aabbcc
# MAC 目標位址 7_1 頻道 0: 0000ddee
# eCPRI 公共控制頻道 0:00000041
# 啟用中斷eCPRI公共控制頻道0:00000241
# eCPRI 版本頻道 0:2
# MAC來源位址0_0通道1:33445566
# MAC來源位址0_1通道1:00007788
# MAC 目標位址 0_0 頻道 1: 33445566
# MAC 目標位址 0_1 頻道 1: 00007788
# MAC 目標位址 1_0 頻道 1: 11223344
# MAC 目標位址 1_1 頻道 1: 00005566
# MAC 目標位址 2_0 頻道 1: 22334455
# MAC 目標位址 2_1 頻道 1: 00006677
# MAC 目標位址 3_0 頻道 1: 44556677
# MAC 目標位址 3_1 頻道 1: 00008899
# MAC 目標位址 4_0 頻道 1: 66778899
# MAC 目標位址 4_1 頻道 1: 0000aabb
# MAC 目標位址 5_0 頻道 1: 778899aa
# MAC 目標位址 5_1 頻道 1: 0000bbcc
# MAC 目標位址 6_0 頻道 1: 8899aabb
# MAC 目標位址 6_1 頻道 1: 0000ccdd
# MAC 目標位址 7_0 頻道 1: 99aabbcc
# MAC 目標位址 7_1 頻道 1: 0000ddee
# eCPRI 公共控制頻道 1:00000041
# 啟用中斷eCPRI公共控制頻道1:00000241
# eCPRI 版本頻道 1:2
# MAC來源位址0_0通道2:33445566
# MAC來源位址0_1通道2:00007788
# MAC 目標位址 0_0 頻道 2: 33445566
# MAC 目標位址 0_1 頻道 2: 00007788
# MAC 目標位址 1_0 頻道 2: 11223344
# MAC 目標位址 1_1 頻道 2: 00005566
# MAC 目標位址 2_0 頻道 2: 22334455
# MAC 目標位址 2_1 頻道 2: 00006677
# MAC 目標位址 3_0 頻道 2: 44556677
# MAC 目標位址 3_1 頻道 2: 00008899
# MAC 目標位址 4_0 頻道 2: 66778899
# MAC 目標位址 4_1 頻道 2: 0000aabb
# MAC 目標位址 5_0 頻道 2: 778899aa
# MAC 目標位址 5_1 頻道 2: 0000bbcc
# MAC 目標位址 6_0 頻道 2: 8899aabb
# MAC 目標位址 6_1 頻道 2: 0000ccdd
# MAC 目標位址 7_0 頻道 2: 99aabbcc
# MAC 目標位址 7_1 頻道 2: 0000ddee
# eCPRI 公共控制頻道 2:00000041
# 啟用中斷eCPRI公共控制頻道2:00000241
# eCPRI 版本頻道 2:2
# MAC來源位址0_0通道3:33445566
# MAC來源位址0_1通道3:00007788
# MAC 目標位址 0_0 頻道 3: 33445566
# MAC 目標位址 0_1 頻道 3: 00007788
# MAC 目標位址 1_0 頻道 3: 11223344
# MAC 目標位址 1_1 頻道 3: 00005566
# MAC 目標位址 2_0 頻道 3: 22334455
# MAC 目標位址 2_1 頻道 3: 00006677
# MAC 目標位址 3_0 頻道 3: 44556677
# MAC 目標位址 3_1 頻道 3: 00008899
# MAC 目標位址 4_0 頻道 3: 66778899
# MAC 目標位址 4_1 頻道 3: 0000aabb
# MAC 目標位址 5_0 頻道 3: 778899aa
# MAC 目標位址 5_1 頻道 3: 0000bbcc
# MAC 目標位址 6_0 頻道 3: 8899aabb
# MAC 目標位址 6_1 頻道 3: 0000ccdd
# MAC 目標位址 7_0 頻道 3: 99aabbcc
# MAC 目標位址 7_1 頻道 3: 0000ddee
# eCPRI 公共控制頻道 3:00000041
# 啟用中斷eCPRI公共控制頻道3:00000241
# eCPRI 版本頻道 3:2
# 等待 CPRI 達到 HSYNC 連線狀態
# CPRI 通道 0 HSYNC 狀態已實現
# CPRI 通道 1 HSYNC 狀態已實現
# CPRI 通道 2 HSYNC 狀態已實現
# CPRI 通道 3 HSYNC 狀態已實現
# 11100250000 將 1 寫入 nego_bitrate_complete
# 11100650000 輪詢 PROT_VER 通道 0
# __________________________________________________________
# 11100850000 輪詢寄存器:a0000010
# __________________________________________________________
# 13105050000 輪詢 PROT_VER 通道 1
# __________________________________________________________
# 13105250000 輪詢寄存器:a0800010
# __________________________________________________________
# 13105950000 輪詢 PROT_VER 通道 2
# __________________________________________________________
# 13106150000 輪詢寄存器:a1000010
# __________________________________________________________
# 13106850000 輪詢 PROT_VER 通道 3
# __________________________________________________________
# 13107050000 輪詢寄存器:a1800010
# __________________________________________________________
# 13107750000 將 1 寫入 nego_protol_complete
# 13108150000 輪詢 CM_STATUS.rx_fast_cm_ptr_valid 通道 0
# __________________________________________________________
# 13108350000 輪詢寄存器:a0000020
# __________________________________________________________
# 14272050000 輪詢 CM_STATUS.rx_fast_cm_ptr_valid 通道 1
# __________________________________________________________
# 14272250000 輪詢寄存器:a0800020
# __________________________________________________________
# 14272950000 輪詢 CM_STATUS.rx_fast_cm_ptr_valid 通道 2
# __________________________________________________________
# 14273150000 輪詢寄存器:a1000020
# __________________________________________________________
# 14273850000 輪詢 CM_STATUS.rx_fast_cm_ptr_valid 通道 3
# __________________________________________________________
# 14274050000 輪詢寄存器:a1800020
# __________________________________________________________
# 14274750000 將 1 寫入 nego_cm_complete
# 14275150000 將 1 寫入 nego_vss_complete
# 等待 CPRI 通道 0 實作 HSYNC 和啟動序列 FSM STATE_F
# CPRI 通道 0 HSYNC 和啟動序列 FSM STATE_F 已實現
# 等待 CPRI 通道 1 實作 HSYNC 和啟動序列 FSM STATE_F
# CPRI 通道 1 HSYNC 和啟動序列 FSM STATE_F 已實現
# 等待 CPRI 通道 2 實作 HSYNC 和啟動序列 FSM STATE_F
# CPRI 通道 2 HSYNC 和啟動序列 FSM STATE_F 已實現
# 等待 CPRI 通道 3 實作 HSYNC 和啟動序列 FSM STATE_F
# CPRI 通道 3 HSYNC 和啟動序列 FSM STATE_F 已實現
# __________________________________________________________
#訊息:脫離重置狀態
# __________________________________________________________
#
#
# 通道 0 eCPRI TX SOP 計數:0
# 頻道 0 eCPRI TX EOP 計數:0
# 通道 0 eCPRI RX SOP 計數:0
# 通道 0 eCPRI RX EOP 計數:0
# 通道 0 外部 PTP TX SOP 計數:0
# 通道 0 外部 PTP TX EOP 計數:0
# 通道 0 外部 MISC TX SOP 計數:0
# 通道 0 外部 MISC TX EOP 計數:0
# 通道 0 外部 RX SOP 計數:0
# 通道 0 外部 RX EOP 計數:0
# 通道 1 eCPRI TX SOP 計數:0
# 頻道 1 eCPRI TX EOP 計數:0
# 通道 1 eCPRI RX SOP 計數:0
# 通道 1 eCPRI RX EOP 計數:0
# 通道 1 外部 PTP TX SOP 計數:0
# 通道 1 外部 PTP TX EOP 計數:0
# 通道 1 外部 MISC TX SOP 計數:0
# 通道 1 外部 MISC TX EOP 計數:0
# 通道 1 外部 RX SOP 計數:0
# 通道 1 外部 RX EOP 計數:0
# 通道 2 eCPRI TX SOP 計數:0
# 頻道 2 eCPRI TX EOP 計數:0
# 通道 2 eCPRI RX SOP 計數:0
# 通道 2 eCPRI RX EOP 計數:0
# 通道 2 外部 PTP TX SOP 計數:0
# 通道 2 外部 PTP TX EOP 計數:0
# 通道 2 外部 MISC TX SOP 計數:0
# 通道 2 外部 MISC TX EOP 計數:0
# 通道 2 外部 RX SOP 計數:0
# 通道 2 外部 RX EOP 計數:0
# 通道 3 eCPRI TX SOP 計數:0
# 頻道 3 eCPRI TX EOP 計數:0
# 通道 3 eCPRI RX SOP 計數:0
# 通道 3 eCPRI RX EOP 計數:0
# 通道 3 外部 PTP TX SOP 計數:0
# 通道 3 外部 PTP TX EOP 計數:0
# 通道 3 外部 MISC TX SOP 計數:0
# 通道 3 外部 MISC TX EOP 計數:0
# 通道 3 外部 RX SOP 計數:0
# 通道 3 外部 RX EOP 計數:0
# __________________________________________________________
# INFO: 開始傳輸封包
# __________________________________________________________
#
#
# INFO:等待通道 0 eCPRI TX 流量傳輸完成
# 訊息:通道 0 eCPRI TX 流量傳輸已完成
# INFO:等待通道 0 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 0 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 0 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 0 eCPRI 外部 TX Misc 流量傳輸已完成
# INFO:等待通道 1 eCPRI TX 流量傳輸完成
# 訊息:通道 1 eCPRI TX 流量傳輸已完成
# INFO:等待通道 1 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 1 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 1 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 1 eCPRI 外部 TX Misc 流量傳輸已完成
# INFO:等待通道 2 eCPRI TX 流量傳輸完成
# 訊息:通道 2 eCPRI TX 流量傳輸已完成
# INFO:等待通道 2 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 2 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 2 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 2 eCPRI 外部 TX Misc 流量傳輸已完成
# INFO:等待通道 3 eCPRI TX 流量傳輸完成
# 訊息:通道 3 eCPRI TX 流量傳輸已完成
# INFO:等待通道 3 eCPRI 外部 TX PTP 流量傳輸至
完全的
# 訊息:通道 3 eCPRI 外部 TX PTP 流量傳輸已完成
# INFO:等待通道 3 eCPRI 外部 TX Misc 流量傳輸至
完全的
# INFO:通道 3 eCPRI 外部 TX Misc 流量傳輸已完成
# __________________________________________________________
# INFO: 停止傳輸封包
# __________________________________________________________
#
#
# __________________________________________________________
# INFO: 檢查資料包統計信息
# __________________________________________________________
#
#
# 通道 0 eCPRI SOP 傳輸:50
# 頻道 0 eCPRI EOP 傳輸:50
# 收到的頻道 0 eCPRI SOP:50
# 收到的頻道 0 eCPRI EOP:50
# 頻道 0 eCPRI 回報錯誤:0
# 通道 0 發送的外部 PTP SOP:4
# 通道 0 發送的外部 PTP EOP:4
# 通道 0 傳輸的外部 MISC SOP:128
# 通道 0 傳輸的外部 MISC EOP:128
# 通道 0 收到的外部 SOP:132
# 通道 0 收到的外部 EOP:132
# 通道 0 收到的外部 PTP SOP:4
# 通道 0 收到外部 PTP EOP:4
# 通道 0 收到的外部 MISC SOP:128
# 通道 0 收到外部 MISC EOP:128
# 通道 0 回報外部錯誤:0
# 頻道 0 外部時間amp 報告指紋錯誤:0
# 通道 1 eCPRI SOP 傳輸:50
# 頻道 1 eCPRI EOP 傳輸:50
# 收到的頻道 1 eCPRI SOP:50
# 收到的頻道 1 eCPRI EOP:50
# 頻道 1 eCPRI 回報錯誤:0
# 通道 1 發送的外部 PTP SOP:4
# 通道 1 發送的外部 PTP EOP:4
# 通道 1 傳輸的外部 MISC SOP:128
# 通道 1 傳輸的外部 MISC EOP:128
# 通道 1 收到的外部 SOP:132
# 通道 1 收到的外部 EOP:132
# 通道 1 收到的外部 PTP SOP:4
# 通道 1 收到外部 PTP EOP:4
# 通道 1 收到的外部 MISC SOP:128
# 通道 1 收到外部 MISC EOP:128
# 通道 1 回報外部錯誤:0
# 頻道 1 外部時間amp 報告指紋錯誤:0
# 通道 2 eCPRI SOP 傳輸:50
# 頻道 2 eCPRI EOP 傳輸:50
# 收到的頻道 2 eCPRI SOP:50
# 收到的頻道 2 eCPRI EOP:50
# 頻道 2 eCPRI 回報錯誤:0
# 通道 2 發送的外部 PTP SOP:4
# 通道 2 發送的外部 PTP EOP:4
# 通道 2 傳輸的外部 MISC SOP:128
# 通道 2 傳輸的外部 MISC EOP:128
# 通道 2 收到的外部 SOP:132
# 通道 2 收到的外部 EOP:132
# 通道 2 收到的外部 PTP SOP:4
# 通道 2 收到外部 PTP EOP:4
# 通道 2 收到的外部 MISC SOP:128
# 通道 2 收到外部 MISC EOP:128
# 通道 2 回報外部錯誤:0
# 頻道 2 外部時間amp 報告指紋錯誤:0
# 通道 3 eCPRI SOP 傳輸:50
# 頻道 3 eCPRI EOP 傳輸:50
# 收到的頻道 3 eCPRI SOP:50
# 收到的頻道 3 eCPRI EOP:50
# 頻道 3 eCPRI 回報錯誤:0
# 通道 3 發送的外部 PTP SOP:4
# 通道 3 發送的外部 PTP EOP:4
# 通道 3 傳輸的外部 MISC SOP:128
# 通道 3 傳輸的外部 MISC EOP:128
# 通道 3 收到的外部 SOP:132
# 通道 3 收到的外部 EOP:132
# 通道 3 收到的外部 PTP SOP:4
# 通道 3 收到外部 PTP EOP:4
# 通道 3 收到的外部 MISC SOP:128
# 通道 3 收到外部 MISC EOP:128
# 通道 3 回報外部錯誤:0
# 頻道 3 外部時間amp 報告指紋錯誤:0
# __________________________________________________________
# 資訊:測試通過
#
# __________________________________________________________

1.4.1.啟用乙太網路 IP 的動態重新配置
預設情況下,eCPRI IP 設計擴充中動態重配置處於停用狀態amp文件,僅適用於 Intel Stratix 10(E-tile 和 H-tile)和 Intel Agilex 7(E-tile)設計擴展amp萊斯。

  1. 在生成的 test_wrapper.sv 中尋找以下行ample_dir>/simulation/testbench 目錄:參數 ETHERNET_DR_EN = 0
  2. 將值從 0 改為 1:參數 ETHERNET_DR_EN = 1
  3. 使用相同的生成的 ex 重新運行模擬ample 設計目錄。

1.5.編譯僅編譯項目
編譯只編譯的 example 項目,請按照下列步驟操作:

  1. 確保編譯設計前ample生成完成。
  2. 在 Intel Quartus Prime Pro Edition 軟體中,開啟 Intel Quartus Prime Pro Edition 項目ample_dir>/synthesis/quartus/ecpri_ed.qpf。
  3. 在處理菜單上,單擊開始編譯。
  4. 成功編譯後,您可以在 Intel Quartus Prime Pro Edition 工作階段中取得時序和資源利用率報表。轉到處理 ➤ 編譯報告 view 詳細的編譯報告。
    相關資訊
    基於區塊的設計流程

1.6. 編譯和配置 Design Examp硬件中的文件
編譯硬體設計examp文件並在您的 Intel 裝置上配置它,請按照以下步驟操作:

  1. 確保硬體設計example生成完成。
  2. 在英特爾 Quartus Prime 專業版軟件中,打開英特爾 Quartus Prime 工程ample_dir>/synthesis/quartus/ecpri_ed.qpf。
  3. 在處理菜單上,單擊開始編譯。
  4. 編譯成功後,一個.sof file 可用於ample_dir>/綜合/quartus/output_files 目錄。請依照以下步驟對硬體設計擴充進行編程amp英特爾 FPGA 設備上的文件:
    A。將開發套件連接到主機。
    b.啟動時脈控制應用程式(該應用程式是開發套件的一部分),並為設計擴展設定新頻率amp樂。 以下是時鐘控制應用程序中的頻率設置:
    • 如果您的設計目標是 Intel Stratix 10 GX SI 開發套件:
    — U5、OUT8-100 MHz
    — U6、OUT3-322.265625 MHz
    — U6、OUT4 與 OUT5- 307.2 MHz
    • 如果您的設計目標是 Intel Stratix 10 TX SI 開發套件:
    — U1、CLK4- 322.265625 MHz(適用於 25G 資料速率)
    — U6- 156.25 MHz(適用於 10G 資料速率)
    — U3、OUT3-100 MHz
    — U3、OUT8-153.6 MHz
    • 如果您的設計目標是 Intel Agilex 7 F 系列收發器 SoC 開發套件:
    — U37,CLK1A-100 MHz
    — U34,CLK0P-156.25 MHz
    — U38,OUT2_P-153.6 MHz
    • 如果您的設計目標是 Intel Arria 10 GX SI 開發套件:
    — U52,CLK0-156.25 MHz
    — U52,CLK1-250 MHz
    — U52,CLK3-125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    C。在「工具」功能表上,按一下「程式設計師」。
    d.在編程器中,按一下硬體設定。
    e.選擇編程設備。
    F。選擇並新增 Intel Quartus Prime Pro Edition 會話可以連接的開發套件。
    G。確保模式設定為 JTAG.
    H。選擇設備並點擊新增設備。編程器顯示板上裝置之間連接的框圖。
    我。加載.sof file 到您各自的英特爾 FPGA 設備。
    j。載入可執行檔和連結格式 (.elf) file 到您的 Intel Stratix 10 或
    如果您打算執行動態重新配置 (DR) 以在 7G 和 25G 之間切換資料速率,請使用 Intel Agilex 10 裝置。請按照產生和下載可執行檔和連結格式 (.elf) 程式設計中的說明進行操作 File 第 38 頁上產生 .elf file.
    k.在包含 .sof 的行中,選取 .sof 的程式/配置框 file.
    湖單擊開始。

相關資訊

  • 基於塊的設計
  • 英特爾 Quartus Prime 程式設計師使用者指南
  • 使用系統控制台分析和調試設計
  • 英特爾 Agilex 7 F 系列收發器 SoC 開髮套件使用者指南
  • Intel Stratix 10 GX 收發器訊號完整性開發套件使用者指南
  • Intel Stratix 10 TX 收發器訊號完整性開發套件使用者指南
  • 英特爾 Arria 10 GX 收發器訊號完整性開發套件使用者指南

1.7.測試 eCPRI 英特爾 FPGA IP 設計 Example
編譯 eCPRI Intel FPGA IP 核心設計 ex 後amp檔案並在您的 Intel FPGA 裝置上進行設定後,您可以使用系統控制台對 IP 核心及其嵌入式 Native PHY IP 核心暫存器進行程式設計。
打開系統控制台並測試硬件設計amp樂,請按照下列步驟操作:

  1. 經過硬體設計後amp檔案在 Intel 裝置上配置,在 Intel Quartus Prime Pro Edition 軟體的 Tools 選單上,按一下 System Debugging Tools ➤ System Console。
  2. 在 Tcl Console 窗格中,將目錄變更為ample_dir>/synthesis/quartus/hardware_test 並鍵入以下命令以開啟與 J 的連接TAG 掌握並開始測試:
    • Intel Agilex 7 設計的來源 ecpri_agilex.tcl
    • Intel Stratix 10 設計的來源 ecpri_s10.tcl
    • Intel Arria 10 設計的來源 ecpri_a10.tcl
  3. 對於您的 Intel Stratix 10 或 Intel Agilex 7 E-tile 裝置版本,您必須在對 .sof 進行程式設計後執行一次內部或外部環回命令 file:
    A。修改flow.c中的TEST_MODE變數 file 選擇環回模式:
    測試模式 行動
    0 串行環回啟用僅用於模擬
    1 僅針對硬體啟用串行環回
    2 串行環回和校準
    3 僅校準

    每當更改流程時,都必須重新編譯並重新產生 NIOS II 軟體。 file.
    b.重新生成.elf file 並再次對板進行編程並重新編程.sof file.

  4. 透過系統控制台腳本中支援的命令測試設計操作。系統控制台腳本提供了用於讀取設計中啟用的統計資料和功能的有用命令。

表 4. 系統控制台腳本指令

命令 描述
循環開啟 啟用 TX 到 RX 內部串列環回。僅用於 Intel Stratix 10 H-tile 和 Intel Arria 10 裝置。
循環關閉 停用 TX 到 RX 內部串行環回。僅用於 Intel Stratix 10 H-tile 和 Intel Arria 10 裝置。
連結_init_int_1pbk 啟用收發器內的 TX 到 RX 內部串列環回並執行收發器校準流程。僅適用於英特爾 Stratix 10 E-tile 和英特爾 Agilex 7 E-tile 設計。
連結_初始化_分機_1pbk 啟用 TX 到 RX 外部環回並執行收發器校準流程。僅適用於英特爾 Stratix 10 E-tile 和英特爾 Agilex 7 E-tile 設計。
流量產生禁用 停用流量產生器和檢查器。
chkmac統計數據 顯示乙太網路 MAC 的統計資料。
讀取_測試_統計 顯示流量產生器和檢查器的錯誤統計資料。
ext_連續_模式_en 重置整個設計系統,並使流量產生器能夠產生連續的流量包。
dr _ 25g _ 至 _ lXNUMXg _etile 將乙太網路 MAC 的資料速率從 25G 切換到 10G。僅用於 Intel Stratix 10 E-tile 和 Intel Agilex 7 E-tile 裝置。
dr_25g_to_10g_htile 將乙太網路 MAC 的資料速率從 25G 切換到 10G。僅用於 H-tile 設備
dr_10g_to_25g_etile 將乙太網路 MAC 的資料速率從 10G 切換到 25G。僅用於 Intel Stratix 10 E-tile 和 Intel Agilex 7 E-tile 裝置。
dr _ 25g _ 至 _ lXNUMXg _htile 將乙太網路 MAC 的資料速率從 10G 切換到 25G。僅適用於 H-tile 設備。

以下的amp文件輸出說明了成功的測試運行:
系統控制台列印輸出(頻道數 = 1)
通道 0 EXT PTP TX SOP 計數:256
通道 0 EXT PTP TX EOP 計數:256
通道 0 EXT MISC TX SOP 計數:36328972
通道 0 EXT MISC TX EOP 計數:36369511
通道 0 EXT RX SOP 計數:36410364
通道 0 EXT RX EOP 計數:36449971
通道 0 EXT 檢查器錯誤:0
通道 0 EXT 檢查器錯誤計數:0
頻道 0 EXT PTP 指紋錯誤:0
頻道 0 EXT PTP 指紋錯誤計數:0
通道 0 TX SOP 計數:1337760
通道 0 TX EOP 計數:1339229
通道 0 RX SOP 計數:1340728
通道 0 RX EOP 計數:1342555
通道 0 檢查器錯誤:0
通道 0 檢查器錯誤計數:0

==================================================== = =============================
=============
通道 0 (Rx) 的乙太網路 MAC 統計資訊

==================================================== = =============================
=============
碎片幀:0
鋸齒狀幀:0
正確的尺寸與 FCS 錯誤訊框:0
群播資料錯誤幀:0
廣播資料錯誤訊框:0
單播資料錯誤幀:0
64 位元組幀:3641342
65 – 127 位元組幀:0
128 – 255 位元組幀:37404809
256 – 511 位元組幀:29128650
512 – 1023 位元組幀:0
1024 – 1518 位元組幀:0
1519 – 最大位元組幀:0
> 最大位元組幀:0
群播資料 OK 幀:70174801
廣播資料 OK 訊框:0
單播資料正常影格:0
群播控制幀:0
廣播控制幀:0
單播控制幀:0
暫停控制幀:0
有效負載八位元組正常:11505935812
幀八位元組正常:12918701444
Rx 最大幀長度:1518
任何尺寸與 FCS 錯誤訊框:0
群播控制錯誤訊框:0
廣播控制錯誤訊框:0
單播控制錯誤幀:0
暫停控制錯誤幀:0
接收訊框開始:70174801

以下是amp25G 到 10G DR 測試運行的檔案輸出:
系統控制台列印輸出(25G 至 10G DR E-tile)

啟動乙太網路 25G -> 10G 的動態重新配置
容災成功25G->10G
RX PHY 暫存器存取:檢查時脈頻率 (KHz)
TXCLK:16114(千赫)
RX時鐘:16113(千赫)
RX PHY 狀態輪詢
接收頻率鎖定狀態 0x0000000f
Mac 時鐘狀況良好嗎? 0x00000001
接收幀錯誤? 0x00000000
Rx PHY 完全對齊? 0x00000001
輪詢 RX PHY 通道 0
RX PHY 通道 0 已啟動並正在運作!

系統控制台列印輸出(25G 至 10G DR H-tile)
啟動乙太網路 25G -> 10G 的動態重新配置
容災成功25G->10G
RX PHY 暫存器存取:檢查時脈頻率 (KHz)
TXCLK:15625(千赫)
RX時鐘:15625(千赫)
RX PHY 狀態輪詢
接收頻率鎖定狀態 0x00000001
Mac 時鐘狀況良好嗎? 0x00000007
接收幀錯誤? 0x00000000
Rx PHY 完全對齊? 0x00000001
輪詢 RX PHY 通道 0
RX PHY 通道 0 已啟動並正在運作!

系統控制台列印輸出(10G 至 25G DR E-tile)
啟動乙太網路 10G -> 25G 的動態重新配置
容災成功10G->25G
RX PHY 暫存器存取:檢查時脈頻率 (KHz)
TXCLK:40283(千赫)
RX時鐘:40283(千赫)
RX PHY 狀態輪詢
接收頻率鎖定狀態 0x0000000f
Mac 時鐘狀況良好嗎? 0x00000001
接收幀錯誤? 0x00000000
Rx PHY 完全對齊? 0x00000001
輪詢 RX PHY 通道 0
RX PHY 通道 0 已啟動並正在運作!

系統控制台列印輸出(10G 至 25G DR H-tile)
啟動乙太網路 10G -> 25G 的動態重新配置
容災成功10G->25G
RX PHY 暫存器存取:檢查時脈頻率 (KHz)
TXCLK:39061(千赫)
RX時鐘:39063(千赫)
RX PHY 狀態輪詢
接收頻率鎖定狀態 0x00000001
Mac 時鐘狀況良好嗎? 0x00000007
接收幀錯誤? 0x00000000
Rx PHY 完全對齊? 0x00000001
輪詢 RX PHY 通道 0
RX PHY 通道 0 已啟動並正在運作!

設計防爆amp文件說明

設計前amp該文件演示了 eCPRI IP 核的基本功能。您可以從 Ex 產生設計ampeCPRI IP 參數編輯器中的 Design 標籤。

2.1. 特點

  • 內部 TX 和 RX 串列環回模式
  • 自動生成固定大小的數據包
  • 基本數據包檢查功能
  • 能夠使用系統控制台測試設計並重置設計以進行重新測試

2.2.硬體設計Example
圖 5. Intel Agilex 7 F-tile 設計框圖eCPRI Intel FPGA IP 設計 - 圖 5

英特爾公司。 版權所有。 英特爾、英特爾徽標和其他英特爾標誌是英特爾公司或其子公司的商標。 英特爾根據英特爾的標准保修保證其 FPGA 和半導體產品的性能符合當前規格,但保留隨時更改任何產品和服務的權利,恕不另行通知。 英特爾不承擔因應用或使用此處描述的任何信息、產品或服務而產生的任何責任或義務,除非英特爾明確書面同意。 建議英特爾客戶在依賴任何已發布信息和下訂單購買產品或服務之前獲取最新版本的設備規格。 *其他名稱和品牌可能被認為是他人的財產。

圖 6. Intel Agilex 7 E-tile 設計框圖eCPRI Intel FPGA IP 設計 - 圖 6圖 7. Intel Stratix 10 設計的框圖eCPRI Intel FPGA IP 設計 - 圖 7

圖 8. Intel Arria 10 設計的框圖eCPRI Intel FPGA IP 設計 - 圖 8eCPRI Intel FPGA IP 核硬體設計擴展amp文件包含以下組件:
eCPRI 英特爾 FPGA IP
接受測試包裝器中實例化的流量產生器的數據,並確定資料的優先權以傳輸到乙太網路 IP。

以太網IP

  • F-tile 乙太網路英特爾 FPGA 硬體 IP(Intel Agilex 7 F-tile 設計)
  • 適用於乙太網路的 E-tile 硬體 IP(Intel Stratix 10 或英特爾 Agilex 7 E-tile 設計)
  • 25G 乙太網路 Intel Stratix 10 IP(Intel Stratix 10 H-tile 設計)
  • 低延遲乙太網路 10G MAC IP 和 1G/10GbE 以及 10GBASE-KR PHY IP(Intel Arria 10 設計)

精密時間協定 (PTP) IO PLL
對於 Intel Stratix 10 H-tile 設計 — 實例化以產生乙太網路 IP 和 s 的延遲測量輸入參考時鐘amp時脈 (TOD) 子系統。對於具有 IEEE 25v10 功能的 1588G 乙太網路 Intel Stratix 2 FPGA IP,Intel 建議您將此時脈的頻率設定為 156.25 MHz。有關更多信息,請參閱 25G 乙太網路 Intel Stratix 10 FPGA IP 使用者指南和 Intel Stratix 10 H-tile 收發器 PHY 使用者指南。 PTP IOPLL 也以級聯方式產生 eCPRI IO PLL 的參考時脈。
對於 Intel Arria 10 設計 — 已實例化,為低延遲乙太網路 312.5G MAC IP 和 156.25G/10GbE、1GBASE-KR PHY IP 和 eCPRI IP 產生 10 MHz 和 10 MHz 時脈輸入。

eCPRI IO PLL
為 eCPRI IP 的 TX 和 RX 路徑以及流量組件產生 390.625 MHz 的核心時脈輸出。
筆記: 該區塊僅存在於設計中amp為 Intel Stratix 10 和 Intel Agilex 7 裝置產生的檔案。

筆記: 目前版本的 eCPRI Intel FPGA IP 僅支援 IWF 類型 0。amp不支援啟用 IWF 功能的檔案。
當您產生設計ex時amp當互通功能 (IWF) 支援參數關閉時,封包流量直接從測試包裝器模組流向 Avalon-ST 來源/宿介面和 eCPRI IP 的外部來源/宿介面。
當您產生設計ex時ample with Interworking Function (IWF) Support parameter turned on, the packet traffic flows to the IWF Avalon-ST sink interface from the test wrapper module first, and coming out from IWF Avalon-ST source interface to the eCPRI Avalon-ST source/sink介面.
CPRI MAC
提供第 1 層和完整第 2 層協定的 CPRI 部分,用於在 REC 和 RE 之間以及兩個 RE 之間傳輸使用者平面、C&M 和同步訊息,
CPRI 物理層
提供 CPRI 第 1 層協定的剩餘部分,用於線路編碼、誤碼修正/偵測等。

筆記: 本設計中實例化的 CPRI MAC 和 CPRI PHY IPamp檔案配置為僅以單 CPRI 線路速率 9.8 Gbps 運作。設計前ample 在目前版本中不支援線路速率自動協商。

測試包裝器
由流量產生器和檢查器組成,產生不同群組的資料包到 eCPRI IP 的 Avalon Streaming (Avalon-ST) 接口,如下所示:

  • eCPRI 封包傳送至 Avalon-ST 來源/宿介面(IWF 功能已停用):
    — 僅支援訊息類型 2。
    — 背對背模式生成,增量模式模式生成,每個資料包的有效負載大小為 72 位元組。
    — 可透過 CSR 配置為在非連續或連續模式下運作。
    — 可透過 CSR 存取 TX/RX 封包統計狀態。
  • eCPRI 封包傳送至 Avalon-ST 來源/宿介面(啟用 IWF 功能):
    — 目前版本僅支援訊息類型 0。
    — 增量模式模式生成,具有資料包間隙生成和每個資料包 240 位元組的有效負載大小。
    — 可透過 CSR 配置為在非連續或連續模式下運作。
    — 可透過 CSR 存取 TX/RX 封包統計狀態。
  • 傳送至外部來源/接收器介面的精確時間協定 (1588 PTP) 封包和非 PTP 雜項封包:
    — 使用預先定義參數產生靜態乙太網路標頭:Ethertype0x88F7、訊息類型操作碼 0(同步)和 PTP 版本 0。
    — 預先定義模式模式生成,每個資料包的資料包間隙為 2 個週期,有效負載大小為 57 位元組。
    — 每128秒產生XNUMX個資料包。
    — 可透過 CSR 配置為在非連續或連續模式下運作。
    — 可透過 CSR 存取 TX/RX 封包統計狀態。
  • 外部非PTP雜項資料包:
    — 使用預先定義參數 Ethertype-0x8100(非 PTP)產生靜態乙太網路標頭。
    — PRBS 模式模式生成,包間隙為 2 個週期,每個包的有效負載大小為 128 位元組。
    — 可透過 CSR 配置為在非連續或連續模式下運作。
    — 可透過 CSR 存取 TX/RX 封包統計狀態。

時間 (TOD) 子系統
包含兩個用於 TX 和 RX 的 IEEE 1588 TOD 模組,以及一個由 Intel Quartus Prime 軟體產生的 IEEE 1588 TOD 同步器模組。
Nios® II 子系統
由 Avalon-MM 橋接器組成,允許 Nios II 處理器、測試包裝器和 Avalon® -MM 位址解碼器區塊之間進行 Avalon-MM 資料仲裁。
Nios II 負責根據測試包裝器的rate_switch 暫存器值的輸出執行資料速率切換。一旦接收到來自測試包裝器的命令,該區塊就會對必要的暫存器進行程式設計。

筆記:該塊在設計中不存在amp為 Intel Arria 10 和 Intel Agilex 7 F-tile 裝置產生的檔案。
系統控制台
提供使用者友善的介面,供您進行一級偵錯並監控 IP、流量產生器和檢查器的狀態。
演示控制
該模組由重設同步器模組以及用於設計系統調試和初始化過程的系統內源和探測(ISSP)模組組成。

相關資訊

  • 25G 以太網 Intel Stratix 10 FPGA IP 用戶指南
  • E-tile硬IP使用者指南
  • eCPRI 英特爾 FPGA IP 使用者指南
  • 25G 以太網英特爾 Stratix 10 FPGA IP 設計Examp用戶指南
  • 適用於 Intel Stratix 10 Design Ex 的 E-tile 硬派 IPamp使用者指南
  • Intel Stratix 10 L- 和 H-Tile 收發器 PHY 用戶指南
  • E-Tile 收發器 PHY 使用者指南
  • 英特爾 Stratix 10 10GBASE-KR PHY IP 使用者指南
  • E-tile 硬 IP 英特爾 Agilex Design Examp用戶指南

2.3. 仿真設計實例ample
eCPRI 設計擴展ample 生成模擬測試平台和模擬 file當您選擇「類比」或「綜合與類比」選項時,將實例化 eCPRI Intel FPGA IP 核心。

圖 9. eCPRI Intel FPGA IP 模擬框圖eCPRI Intel FPGA IP 設計 - 圖 9

筆記: Nios II 子系統區塊不存在於設計中amp為 Intel Arria 10 和 Intel Agilex 7 F-tile 裝置產生的檔案。
在這個設計前amp文件中,模擬測試台提供了啟動和等待鎖定、傳送和接收資料包等基本功能。

成功的測試運行顯示確認以下行為的輸出:

  1. 客戶端邏輯重置IP核。
  2. 客戶端邏輯等待 RX 資料路徑對齊。
  3. 客戶端邏輯在 Avalon-ST 介面上傳輸資料包。
  4. 接收並檢查資料包的內容和正確性。
  5. 顯示“測試通過”訊息。

2.4. 接口信號
表 5. 設計實例amp接口信號

訊號 方向 描述
時鐘參考 輸入 乙太網路 MAC 的參考時脈。
• 對於Intel Stratix 10 E-tile、Intel Agilex 7 E-tile 和F-tile 設計,E-tile 乙太網路硬IP 核心或F-tile 乙太網路硬IP 核心的156.25 MHz 時脈輸入。連接到乙太網路 Hard IP 中的 i_clk_ref[0]。
• 對於Intel Stratix 10 H-tile 設計,收發器ATX PLL 和322.2625G 乙太網路IP 的25 MHz 時脈輸入。連接到收發器 ATX PLL 中的 pll_refclk0[0] 和 0G 乙太網路 IP 中的 clk_ref[25]。
• 對於Intel Arria 10 設計,收發器ATX PLL 以及322.265625G/1GbE 和10GBase-KR PHY IP 的10 MHz 時脈輸入。連接到收發器 ATX PLL 中的 pll_refclk0[0] 和 10G/0GbE 和 1G BASE-KR PHY IP 中的 rx_cdr_ref_clk_10g[10]。
tod_sync_samp靈_時鐘 輸入 對於 Intel Arria 10 設計,TOD 子系統的 250 MHz 時脈輸入。
時鐘100 輸入 管理時鐘。此時脈用於產生 PTP 的 Latency_clk。以 100 MHz 驅動。
管理重置n 輸入 Nios II 系統的重設訊號。
tx_串行 輸出 TX 串列資料。最多支援 4 個通道。
接收串口 輸入 RX 串列資料。最多支援 4 個通道。
iwf_cpri_ehip_ref_clk 輸入 E-tile CPRI PHY 參考時脈輸入。此時鐘僅存在於 Intel Stratix 10 E-tile 和 Intel
Agilex 7 E-tile 設計。以 153.6 MHz 驅動,實現 9.8 Gbps CPRI 線路速率。
iwf_cpri_pll_refclk0 輸出 CPRI TX PLL 參考時脈。
• 對於Intel Stratix 10 H-tile 設計:以307.2 MHz 驅動,CPRI 資料速率為9.8 Gbps。
• 對於英特爾 Stratix 10 E-tile 和英特爾 Agilex 7 E-tile 設計:以 156.25 MHz 驅動,CPRI 資料速率為 9.8 Gbps。
iwf_cpri_xcvr_cdr_refclk 輸出 CPRI 接收器 CDR 參考時脈。此時鐘僅出現在 Intel Stratix 10 H-tile 設計中。
以 307.2 MHz 驅動,實現 9.8 Gbps CPRI 線路速率。
iwf_cpri_xcvr_txdataout 輸出 CPRI 傳輸串列資料。最多支援 4 個通道。
iwf_cpri_xcvr_rxdatain 輸出 CPRI 接收器串列資料。最多支援 4 個通道。
cpri_gmii_時鐘 輸入 CPRI GMII 125 MHz 輸入時脈。

相關資訊
PHY 介面訊號
列出 25G 乙太網路 Intel FPGA IP 的 PHY 介面訊號。

2.5.設計實例amp寄存器映射
以下是 eCPRI IP 核設計擴充的暫存器映射amp樂:
表 6. eCPRI 英特爾 FPGA IP 設計擴展amp文件暫存器映射

地址  登記
0x20100000 – 0x201FFFFF(2) IOPLL 重配置暫存器。
0x20200000 – 0x203FFFFF 乙太網路 MAC Avalon-MM 暫存器
0x20400000 – 0x205FFFFF 乙太網路 MAC Native PHY Avalon-MM 暫存器
0x20600000 – 0x207FFFFF(2) Native PHY RS-FEC Avalon-MM 暫存器。
0x40000000 – 0x5FFFFFFF eCPRI IP Avalon-MM 暫存器
0x80000000 – 0x9FFFFFFF 乙太網路設計測試產生器/驗證器 Avalon-MM 暫存器

表 7. Nios II 暫存器映射
下表中的暫存器僅在設計擴充中可用amp為 Intel Stratix 10 或 Intel Agilex 7 E-tile 裝置產生的檔案。

地址  登記
0x00100000 – 0x001FFFFF IOPLL 重配置暫存器
0x00200000 – 0x003FFFFF 乙太網路 MAC Avalon-MM 暫存器
0x00400000 – 0x005FFFFF 乙太網路 MAC Native PHY Avalon-MM 暫存器
0x00600000 – 0x007FFFFF Native PHY RS-FEC Avalon-MM 暫存器

筆記: 您可以使用字偏移而不是位元組偏移來存取乙太網路 MAC 和乙太網路 MAC Native PHY AVMM 暫存器。
有關乙太網路 MAC、乙太網路 MAC Native PHY 和 eCPRI IP 核心暫存器對應的詳細信息,請參閱相應的使用者指南。

(2)僅適用於設計examp為 Intel Stratix 10 和 Intel Agilex 7 E-tile 裝置產生的檔案。

表 8. eCPRI Intel FPGA IP 硬體設計擴展amp寄存器映射

字偏移  註冊類型  預設值  存取類型
0x0 開始發送資料:
• 位元 1:PTP,非 PTP 類型
• 位 0:eCPRI 類型
0x0 RW
0x1 連續資料包啟用 0x0 RW
0x2 清除錯誤 0x0 RW
0x3(3) 速率開關:
• 位[7]- 表示圖塊:
— 1'b0:H 瓦片
— 1'b1:電子圖塊
• 位元[6:4]- 指示乙太網路資料速率切換:
— 3'b000:25G 到 10G
— 3'b001:10G 到 25G
• 位元[0]- 切換速率啟用。速率切換需要設定該位元 0 並輪詢直到位元 0 清除。
附註:此暫存器不適用於 Intel Agilex 7 F-tile 和 Intel Arria 10 設計。
• 電子圖塊:0x80
• H-tile:0x0
RW
0x4(3) 速率切換完成:
• 位元[1] 表示速率切換已完成。
0x0 RO
0x5 (4) 系統配置狀態:
• 位元[31]:系統就緒
• 位[30]:IWF_EN
• 位[29]:STARTUP_SEQ_EN
• 位元[28:4]:保留
• 位[3]:EXT_PACKET_EN
• 位元[2:0]:保留
0x0 RO
0x6 (4) CPRI 談判完成:
• 位元[3:0]:位元率完成
• 位[19:16]:協定完成
0x0 RW
0x7 (4) CPRI 談判完成:
• 位[3:0]:快速C&M 完成
• 位元[19:16]:快速VSS 完成
0x0 RW
0x8 – 0x1F 預訂的。
0x20 eCPRI 錯誤中斷:
• 位元[0] 表示中斷。
0x0 RO
0x21 外部資料包錯誤 0x0 RO
0x22 外部 PTP 封包 TX 封包開始 (SOP) 計數 0x0 RO
0x23 外部 PTP 封包 TX 封包結束 (EOP) 計數 0x0 RO
0x24 外部雜項資料包 TX SOP 計數 0x0 RO
0x25 外部雜項資料包 TX EOP 計數 0x0 RO
0x26 外部 RX 資料包 SOP 計數 0x0 RO
0x27 外部 RX 資料包 EOP 計數 0x0 RO
0x28 外部資料包錯誤計數 0x0 RO
0x29 - 0x2C 預訂的。
0x2D 外部 PTP 時間amp 指紋錯誤計數 0x0 RO
0x2E 外部 PTP 時間amp 指紋錯誤 0x0 RO
0x2F 外部接收錯誤狀態 0x0 RO
0x30 – 0x47 預訂的。
0x48 eCPRI 封包錯誤 RO
0x49 eCPRI TX SOP 計數 RO
0x4A eCPRI TX EOP 計數 RO
0x4B eCPRI RX SOP 計數 RO
0x4C eCPRI RX EOP 計數 RO
0x4D eCPRI 封包錯誤計數 RO

相關資訊

  • 控制、狀態和統計暫存器描述
    25G 乙太網路 Stratix 10 FPGA IP 的暫存器訊息
  • 重新配置和狀態暫存器
    說明 用於乙太網路的 E-tile Hard IP 的暫存器訊息
  • 暫存器
    eCPRI Intel FPGA IP 的註冊訊息

eCPRI 英特爾 FPGA IP 設計擴展amp用戶指南檔案

有關本用戶指南的最新版本和先前版本,請參閱 eCPRI Intel FPGA IP Design Examp用戶指南 HTML 版本。 選擇版本並單擊下載。 如果未列出 IP 或軟件版本,則適用先前 IP 或軟件版本的用戶指南。

eCPRI 英特爾 FPGA IP 設計 Ex 的文件修訂歷史amp用戶指南

檔案版本 英特爾 Quartus
黃金版
IP版本 變化
2023.05.19 23.1 2.0.3 • 更新了模擬設計Examp快速入門指南章節中的測試平台部分。
• 將產品系列名稱更新為「Intel Agilex 7」。
2022.11.15 22.3 2.0.1 更新了 VCS 模擬器部分的說明:模擬 Design Examp勒測試台。
2022.07.01 22.1 1.4.1 • 新增了硬體設計擴展amp對 Intel Agilex 7 F-tile 設備變體的支援。
• 新增了對以下開發套件的支援:
— 英特爾 Agilex 7 I 系列 FPGA 開發套件
— Intel Agilex 7 I 系列收發器 SoC 開發套件
• 新增了對QuestaSim 模擬器的支援。
• 刪除了對ModelSim* SE 模擬器的支援。
2021.10.01 21.2 1.3.1 • 增加了對Intel Agilex 7 F-tile 設備的支援。
• 增加了對多通道設計的支援。
• 更新了表:eCPRI Intel FPGA IP 硬體設計Examp註冊地圖。
• 刪除了對NCSim 模擬器的支援。
2021.02.26 20.4 1.3.0 • 新增了對Intel Agilex 7 E-tile 設備的支援。
2021.01.08 20.3 1.2.0 • 更改了 eCPRI Intel Stratix 10 FPGA IP Design Ex 的文件標題amp使用者指南
eCPRI 英特爾 FPGA IP 設計擴展amp用戶指南。
• 增加了對Intel Arria 10 設計的支援。
• eCPRI IP 設計擴展amp該文件現已提供互通功能 (IWF) 功能支援。
• 新增了註解以澄清 eCPRI 設計 examp具有 IWF 功能的檔案僅適用於 9.8 Gbps CPRI
線路比特率。
• 在產生設計 ex 時在「生成設計」部分中新增了條件amp與
互通功能 (IWF) 支援參數已啟用。
• 新增了amp檔案模擬測試運行輸出,並在模擬設計部分中啟用了 IWF 功能
Examp勒測試台。
• 新增了新的章節「啟用乙太網路IP 的動態重新配置」。
• 更新了硬體測試ample 節中的輸出
測試 eCPRI 英特爾 FPGA IP 設計 Examp勒。
2020.06.15 20.1 1.1.0 • 新增了對10G 資料速率的支援。
• 流.c file 現在可與設計 ex 一起使用amp檔案產生來選擇環回模式。
•修改了 samp模擬設計Ex部分中模擬測試運行的檔案輸出amp勒測試台。
• 在「編譯和配置」部分中新增了執行 10G 資料速率設計的頻率值。
設計防爆amp硬體中的檔案。
• 在測試 eCPRI Intel FPGA IP Design Ex 部分中進行了以下更改amp樂:
— 新增了在 10G 和 25G 之間切換資料速率的指令
— 新增了 samp用於資料速率切換的 le 輸出
— 新增了 TEST_MODE 變數資訊以選擇 E-tile 設備變體中的環回。
• 修改後的 eCPRI Intel FPGA IP 硬體設計擴展amp萊斯高級框圖包括新的
塊。
• 更新表:設計Example 介面訊號包含新訊號。
• 更新設計Examp註冊映射部分。
• 新增了新的附錄部分:產生和下載可執行檔和連結格式 (.elf) 編程 File .
2020.04.13 19.4 1.1.0 初次發布。

A. 產生並下載可執行檔和連結格式(.elf)編程 File

本節介紹如何產生和下載 .elf file 致董事會:

  1. 將目錄更改為ample_dir>/synthesis/quatus.
  2. 在 Intel Quartus Prime Pro Edition 軟體中,按一下 Open Project 並開啟ample_dir>/synthesis/quartus/epri_ed.qpf。現在選擇 Tools > Nios II Software Build Tools for Eclipse。
    圖 10. 用於 Eclipse 的 Nios II 軟體建置工具eCPRI Intel FPGA IP 設計 - 圖 10
  3. 將出現「工作區啟動器」視窗提示。在工作區中將路徑指定為ample_dir>/synthesis/quatus 來儲存您的 Eclipse 專案。將出現新的 Nios II – Eclipse 視窗。
    圖 11. 工作區啟動器視窗eCPRI Intel FPGA IP 設計 - 圖 11
  4. 在 Nios II – Eclipse 視窗中,右鍵點選 Project Explorer 選項卡,然後選擇 New ➤ Nios II Board Support Package。出現新視窗。
    圖 12. Project Explorer 選項卡eCPRI Intel FPGA IP 設計 - 圖 12
  5. 在 Nios II 板支援包視窗中:
    • 在項目名稱參數中,指定所需的項目名稱。
    • 在SOPC 資訊中 File name 參數,瀏覽的位置ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file。 單擊完成。
    圖 13. Nios II 板支援包窗口eCPRI Intel FPGA IP 設計 - 圖 13
  6. 新建立的專案出現在 Nios II Eclipse 視窗的 Project Explorer 標籤下。在 Project Explorer 標籤下右鍵單擊,然後選擇 Nios II ➤ Nios II Command Shell。
    圖 14. 專案瀏覽器 - Nios II 指令外殼eCPRI Intel FPGA IP 設計 - 圖 14
  7. 在 Nios II Command Shell 中,鍵入以下三個命令: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. .elf file 在以下位置產生:ample_dir>/綜合/ip_components/軟體/ /應用程式.
  9. 在 Nios II Command Shell 中鍵入以下命令,將 .elf 下載到開發板:
    • 對於 Intel Stratix 10:nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • 對於英特爾 Agilex 7:nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

英特爾標誌eCPRI 英特爾 FPGA IP 設計 - 圖標 在線版
eCPRI 英特爾 FPGA IP 設計 - 圖示 1 傳送回饋
UG-20278
683837
2023.05.19
eCPRI 英特爾® FPGA IP 設計擴展amp用戶指南

文件/資源

英特爾 eCPRI 英特爾 FPGA IP 設計 [pdf] 使用者指南
eCPRI 英特爾 FPGA IP 設計、eCPRI、英特爾 FPGA IP 設計、FPGA IP 設計、IP 設計、設計

參考

發表評論

您的電子郵件地址不會被公開。 必填欄位已標記 *