ఇంటెల్ లోగోeCPRI Intel® FPGA IP డిజైన్
Example యూజర్ గైడ్
Intel® కోసం నవీకరించబడింది
క్వార్టస్®
ప్రైమ్ డిజైన్ సూట్: 23.1
IP వెర్షన్: 2.0.3

త్వరిత ప్రారంభ గైడ్

మెరుగుపరచబడిన కామన్ పబ్లిక్ రేడియో ఇంటర్‌ఫేస్ (eCPRI) Intel® FPGA IP కోర్ eCPRI స్పెసిఫికేషన్ వెర్షన్ 2.0ని అమలు చేస్తుంది. eCPRI ఇంటెల్ FPGA IP అనుకరణ టెస్ట్‌బెంచ్ మరియు హార్డ్‌వేర్ డిజైన్ మాజీని అందిస్తుందిampసంకలనం మరియు హార్డ్‌వేర్ పరీక్షలకు మద్దతు ఇచ్చే le. మీరు డిజైన్‌ను రూపొందించినప్పుడు example, పారామీటర్ ఎడిటర్ స్వయంచాలకంగా సృష్టిస్తుంది fileనమూనాను అనుకరించడానికి, కంపైల్ చేయడానికి మరియు పరీక్షించడానికి అవసరంampహార్డ్‌వేర్‌లో లే.
కంపైల్డ్ హార్డ్‌వేర్ డిజైన్ మాజీample నడుస్తుంది:

  • Intel Agilex™ 7 I-సిరీస్ FPGA డెవలప్‌మెంట్ కిట్
  • Intel Agilex 7 I-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్
  • Intel Agilex 7 F-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్
  • H-టైల్ డిజైన్ కోసం Intel Stratix® 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ampలెస్
  • ఇ-టైల్ డిజైన్ కోసం ఇంటెల్ స్ట్రాటిక్స్ 10 TX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ampలెస్
  • Intel Arria® 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్

ఇంటెల్ సంకలనం-మాత్రమే మాజీని అందిస్తుందిampIP కోర్ ప్రాంతం మరియు సమయాన్ని త్వరగా అంచనా వేయడానికి మీరు ఉపయోగించగల ప్రాజెక్ట్.
టెస్ట్‌బెంచ్ మరియు డిజైన్ మాజీample Intel Stratix 25 H-tile లేదా E-tile మరియు Intel Agilex 10 E-tile లేదా eCPRI IP యొక్క F-టైల్ పరికర వైవిధ్యాల కోసం 10G మరియు 7G డేటా రేట్లకు మద్దతు ఇస్తుంది.

గమనిక: eCPRI IP డిజైన్ మాజీample విత్ ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) ప్రస్తుత విడుదలలో 9.8 Gbps CPRI లైన్ బిట్ రేట్‌కు మాత్రమే అందుబాటులో ఉంది.
గమనిక: eCPRI IP డిజైన్ మాజీampIntel Arria 10 డిజైన్‌లలో 10G డేటా రేట్ కోసం డైనమిక్ రీకాన్ఫిగరేషన్‌కు le మద్దతు ఇవ్వదు.

eCPRI ఇంటెల్ FPGA IP కోర్ డిజైన్ మాజీample క్రింది లక్షణాలకు మద్దతు ఇస్తుంది:

  • అంతర్గత TX నుండి RX సీరియల్ లూప్‌బ్యాక్ మోడ్
  • ట్రాఫిక్ జనరేటర్ మరియు చెకర్
  • ప్రాథమిక ప్యాకెట్ తనిఖీ సామర్థ్యాలు
  • డిజైన్‌ను అమలు చేయడానికి సిస్టమ్ కన్సోల్‌ను ఉపయోగించగల సామర్థ్యం మరియు రీ-టెస్టింగ్ ప్రయోజనం కోసం డిజైన్‌ను రీసెట్ చేయడం

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

ISO 9001:2015 నమోదు చేయబడింది

చిత్రం 1. డిజైన్ కోసం అభివృద్ధి దశలు ExampleeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 1

సంబంధిత సమాచారం

  • eCPRI ఇంటెల్ FPGA IP యూజర్ గైడ్
  • eCPRI ఇంటెల్ FPGA IP విడుదల గమనికలు

1.1 హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్ అవసరాలు
మాజీని పరీక్షించడానికిample డిజైన్, కింది హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్‌లను ఉపయోగించండి:

  • Intel Quartus® Prime Pro Edition సాఫ్ట్‌వేర్ వెర్షన్ 23.1
  • సిస్టమ్ కన్సోల్
  • మద్దతు ఉన్న సిమ్యులేటర్లు:
    — సిమెన్స్* EDA క్వెస్టాసిమ్*
    — సారాంశం* VCS*
    — సారాంశం VCS MX
    — Aldec* Riviera-PRO*
    - కాడెన్స్* Xcelium*
  • డెవలప్‌మెంట్ కిట్:
    — Intel Agilex 7 I-సిరీస్ FPGA డెవలప్‌మెంట్ కిట్
    — Intel Agilex 7 I-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్
    — Intel Agilex 7 F-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్
    — ఇంటెల్ స్ట్రాటిక్స్ 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటెగ్రిటీ డెవలప్‌మెంట్ కిట్ కోసం H-టైల్ డివైస్ వేరియేషన్ డిజైన్ ఎక్స్ample
    — ఇ-టైల్ పరికర వైవిధ్య రూపకల్పన కోసం ఇంటెల్ స్ట్రాటిక్స్ 10 TX ట్రాన్స్‌సీవర్ సిగ్నల్ సమగ్రత అభివృద్ధిample
    — ఇంటెల్ అరియా 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్

సంబంధిత సమాచారం

  • Intel Agilex 7 I-సిరీస్ FPGA డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • Intel Agilex 7 I-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • Intel Agilex 7 F-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • Intel Stratix 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • ఇంటెల్ స్ట్రాటిక్స్ 10 TX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • ఇంటెల్ అర్రియా 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్

1.2 డిజైన్‌ను రూపొందిస్తోంది
అవసరం: మీరు eCPRIని స్వీకరించిన తర్వాత web-కోర్ IP, సేవ్ చేయండి webస్థానిక ప్రాంతానికి కోర్ ఇన్‌స్టాలర్. Windows/Linuxతో ఇన్‌స్టాలర్‌ను రన్ చేయండి. ప్రాంప్ట్ చేసినప్పుడు, ఇన్‌స్టాల్ చేయండి webఇంటెల్ క్వార్టస్ ప్రైమ్ ఫోల్డర్ ఉన్న స్థానానికి కోర్.
eCPRI Intel FPGA IP ఇప్పుడు IP కేటలాగ్‌లో కనిపిస్తుంది.
మీ eCPRI Intel FPGA IP కోర్‌ని ఏకీకృతం చేయడానికి మీకు ఇప్పటికే Intel Quartus Prime Pro ఎడిషన్ ప్రాజెక్ట్ లేకపోతే, మీరు తప్పనిసరిగా ఒకదాన్ని సృష్టించాలి.

  1. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో, క్లిక్ చేయండి File ➤ కొత్త ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌ని సృష్టించడానికి కొత్త ప్రాజెక్ట్ విజార్డ్ లేదా క్లిక్ చేయండి File ➤ ఇప్పటికే ఉన్న ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌ను తెరవడానికి ప్రాజెక్ట్‌ను తెరవండి. పరికరాన్ని పేర్కొనమని విజర్డ్ మిమ్మల్ని అడుగుతుంది.
  2. పరికర కుటుంబాన్ని మరియు స్పీడ్ గ్రేడ్ అవసరాలకు అనుగుణంగా ఉండే పరికరాన్ని పేర్కొనండి.
  3. ముగించు క్లిక్ చేయండి.
  4. IP కేటలాగ్‌లో, eCPRI Intel FPGA IPని గుర్తించి, డబుల్ క్లిక్ చేయండి. కొత్త IP వేరియంట్ విండో కనిపిస్తుంది.

eCPRI IP హార్డ్‌వేర్ డిజైన్‌ను రూపొందించడానికి ఈ దశలను అనుసరించండిample మరియు టెస్ట్బెంచ్:

  1. IP కేటలాగ్‌లో, eCPRI Intel FPGA IPని గుర్తించి, డబుల్ క్లిక్ చేయండి. కొత్త IP వేరియంట్ విండో కనిపిస్తుంది.
  2. సరే క్లిక్ చేయండి. పారామీటర్ ఎడిటర్ కనిపిస్తుంది.
    చిత్రం 2. Example డిజైన్ ట్యాబ్ eCPRI Intel FPGA IP పారామీటర్ ఎడిటర్‌లోeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 2
  3. ఉన్నత స్థాయి పేరును పేర్కొనండి మీ అనుకూల IP వైవిధ్యం కోసం. పారామీటర్ ఎడిటర్ IP వేరియేషన్ సెట్టింగ్‌లను aలో సేవ్ చేస్తుంది file అనే .ip.
  4. సరే క్లిక్ చేయండి. పారామీటర్ ఎడిటర్ కనిపిస్తుంది.
  5. జనరల్ ట్యాబ్‌లో, మీ IP కోర్ వైవిధ్యం కోసం పారామితులను పేర్కొనండి.
    గమనిక: • మీరు డిజైన్ మాజీని రూపొందించినప్పుడు తప్పనిసరిగా eCPRI IP పారామీటర్ ఎడిటర్‌లో స్ట్రీమింగ్ పారామీటర్‌ని ఆన్ చేయాలిample ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) మద్దతు పరామితి ప్రారంభించబడింది,
    • డిజైన్‌ను రూపొందించేటప్పుడు మీరు తప్పనిసరిగా CPRI లైన్ బిట్ రేట్ (Gbit/s)ని ఇతరులకు సెట్ చేయాలిample ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) మద్దతు పరామితి ప్రారంభించబడింది.
  6. మాజీలోample డిజైన్ ట్యాబ్, టెస్ట్‌బెంచ్‌ను రూపొందించడానికి అనుకరణ ఎంపికను ఎంచుకోండి, హార్డ్‌వేర్ ఎక్స్‌ను రూపొందించడానికి సింథసిస్ ఎంపికను ఎంచుకోండిample డిజైన్, మరియు టెస్ట్‌బెంచ్ మరియు హార్డ్‌వేర్ డిజైన్ రెండింటినీ రూపొందించడానికి సింథసిస్ మరియు సిమ్యులేషన్ ఎంపికను ఎంచుకోండిample.
  7. ఉన్నత స్థాయి అనుకరణ కోసం భాష కోసం file, వెరిలాగ్ లేదా VHDLని ఎంచుకోండి.
    గమనిక: మీరు మీ మాజీ కోసం అనుకరణ ఎంపికను ఎంచుకున్నప్పుడు మాత్రమే ఈ ఎంపిక అందుబాటులో ఉంటుందిample డిజైన్.
  8. ఉన్నత స్థాయి సంశ్లేషణ కోసం భాష కోసం file, వెరిలాగ్ లేదా VHDLని ఎంచుకోండి.
    గమనిక: మీరు మీ మాజీ కోసం సింథసిస్ ఎంపికను ఎంచుకున్నప్పుడు మాత్రమే ఈ ఎంపిక అందుబాటులో ఉంటుందిample డిజైన్.
  9. ఛానెల్‌ల సంఖ్య కోసం, మీరు మీ డిజైన్ కోసం ఉద్దేశించిన ఛానెల్‌ల సంఖ్యను (1 నుండి 4) నమోదు చేయవచ్చు. డిఫాల్ట్ విలువ 1.
  10. Ex Generate క్లిక్ చేయండిampలే డిజైన్. సెలెక్ట్ ఎక్స్ample డిజైన్ డైరెక్టరీ విండో కనిపిస్తుంది.
  11. మీరు డిజైన్‌ను సవరించాలనుకుంటే మాజీampప్రదర్శించబడే డిఫాల్ట్‌ల నుండి le డైరెక్టరీ మార్గం లేదా పేరు (ecpri_0_testbench), కొత్త మార్గానికి బ్రౌజ్ చేసి, కొత్త డిజైన్‌ని టైప్ చేయండి example డైరెక్టరీ పేరు.
  12. సరే క్లిక్ చేయండి.

సంబంధిత సమాచారం
eCPRI ఇంటెల్ FPGA IP యూజర్ గైడ్
1.3 డైరెక్టరీ నిర్మాణం
eCPRI IP కోర్ డిజైన్ మాజీample file డైరెక్టరీలు కింది ఉత్పత్తిని కలిగి ఉంటాయి fileడిజైన్ మాజీ కోసం sample.

చిత్రం 3. ఉత్పత్తి చేయబడిన Ex యొక్క డైరెక్టరీ నిర్మాణంampలే డిజైన్eCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 3

గమనిక:

  1. Intel Arria 10 IP డిజైన్ ఎక్స్‌లో మాత్రమే ఉందిample వైవిధ్యం.
  2. Intel Stratix 10 (H-tile లేదా E-tile) IP డిజైన్ ఎక్స్‌లో మాత్రమే ఉందిample వైవిధ్యం.
  3. Intel Agilex E-tile IP డిజైన్ ఎక్స్‌లో మాత్రమే ఉందిample వైవిధ్యం.

టేబుల్ 1. eCPRI ఇంటెల్ FPGA IP కోర్ టెస్ట్బెంచ్ File వివరణలు

File పేర్లు  వివరణ
కీ టెస్ట్బెంచ్ మరియు అనుకరణ Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv అత్యున్నత స్థాయి టెస్ట్‌బెంచ్ file. టెస్ట్‌బెంచ్ DUT రేపర్‌ను ఇన్‌స్టాంటియేట్ చేస్తుంది మరియు ప్యాకెట్‌లను రూపొందించడానికి మరియు ఆమోదించడానికి వెరిలాగ్ HDL టాస్క్‌లను అమలు చేస్తుంది.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT మరియు ఇతర టెస్ట్‌బెంచ్ భాగాలను ఇన్‌స్టాంటియేట్ చేసే DUT రేపర్.
<design_example_dir>/simulation/ed_fw/flow.c సి-కోడ్ మూలం file.
టెస్ట్‌బెంచ్ స్క్రిప్ట్‌లు
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి సిమెన్స్ EDA క్వెస్టాసిమ్ స్క్రిప్ట్.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి Synopsys VCS స్క్రిప్ట్.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh సారాంశం VCS MX స్క్రిప్ట్ (కలిపి వెరిలాగ్ HDL మరియు
సిస్టమ్‌వెరిలాగ్‌తో VHDL) టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి Aldec* Riviera-PRO స్క్రిప్ట్.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి Cadence* Xcelium స్క్రిప్ట్.

పట్టిక 2. eCPRI ఇంటెల్ FPGA IP కోర్ హార్డ్‌వేర్ డిజైన్ Example File వివరణలు

File పేర్లు వివరణలు
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ సెట్టింగ్ file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc సారాంశ రూపకల్పన పరిమితులు fileలు. మీరు వీటిని కాపీ చేసి సవరించవచ్చు fileమీ స్వంత ఇంటెల్ స్ట్రాటిక్స్ 10 డిజైన్ కోసం.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv అగ్ర-స్థాయి వెరిలాగ్ HDL డిజైన్ మాజీample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT మరియు ఇతర టెస్ట్‌బెంచ్ భాగాలను ఇన్‌స్టాంటియేట్ చేసే DUT రేపర్.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl ప్రధాన file సిస్టమ్ కన్సోల్‌ను యాక్సెస్ చేయడం కోసం (ఇంటెల్ స్ట్రాటిక్స్ 10 హెచ్-టైల్ మరియు ఇ-టైల్ డిజైన్‌లలో అందుబాటులో ఉంది).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl ప్రధాన file సిస్టమ్ కన్సోల్‌ని యాక్సెస్ చేయడం కోసం (ఇంటెల్ అరియా 10 డిజైన్‌లలో అందుబాటులో ఉంది).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl ప్రధాన file సిస్టమ్ కన్సోల్‌ని యాక్సెస్ చేయడం కోసం (Intel Agilex 7 డిజైన్‌లలో అందుబాటులో ఉంది).

1.4 డిజైన్ ఎక్స్‌ని అనుకరించడంample టెస్ట్బెంచ్
మూర్తి 4. విధానంeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 4

టెస్ట్‌బెంచ్‌ను అనుకరించడానికి ఈ దశలను అనుసరించండి:

  1. కమాండ్ ప్రాంప్ట్ వద్ద, టెస్ట్‌బెంచ్ సిమ్యులేషన్ డైరెక్టరీకి మార్చండిample_dir>/simulation/setup_scripts.
  2. Intel Agilex F-టైల్ పరికర వైవిధ్యాల కోసం, ఈ దశలను అనుసరించండి:
    a. కు నావిగేట్ చేయండిample_dir>/simulation/quartus డైరెక్టరీ మరియు ఈ రెండు ఆదేశాలను క్రింద అమలు చేయండి: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    ప్రత్యామ్నాయంగా, మీరు ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్‌లో ecpri_ed.qpf ప్రాజెక్ట్‌ను తెరవవచ్చు మరియు సపోర్ట్ లాజిక్ జనరేషన్ వరకు సంకలనాన్ని చేయవచ్చు.tage.
    బి. కు నావిగేట్ చేయండిample_dir>/simulation/setup_scripts డైరెక్టరీ.
    సి. కింది ఆదేశాన్ని అమలు చేయండి: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. మీకు నచ్చిన మద్దతు ఉన్న సిమ్యులేటర్ కోసం అనుకరణ స్క్రిప్ట్‌ను అమలు చేయండి. స్క్రిప్ట్ సిమ్యులేటర్‌లో టెస్ట్‌బెంచ్‌ను కంపైల్ చేస్తుంది మరియు అమలు చేస్తుంది. టెస్ట్‌బెంచ్‌ను అనుకరించడానికి దశల పట్టికను చూడండి.
    గమనిక: అనుకరణకు VHDL భాషా మద్దతు QuestaSim మరియు VCS MX సిమ్యులేటర్‌లతో మాత్రమే అందుబాటులో ఉంటుంది. అనుకరణ కోసం వెరిలాగ్ భాషా మద్దతు పట్టికలో జాబితా చేయబడిన అన్ని సిమ్యులేటర్‌లకు అందుబాటులో ఉంది: టెస్ట్‌బెంచ్‌ను అనుకరించే దశలు.
  4. ఫలితాలను విశ్లేషించండి. విజయవంతమైన టెస్ట్‌బెంచ్ ప్యాకెట్‌లను పంపుతుంది మరియు స్వీకరిస్తుంది మరియు "పాస్డ్"ని ప్రదర్శిస్తుంది.

టేబుల్ 3. టెస్ట్‌బెంచ్‌ను అనుకరించే దశలు

సిమ్యులేటర్ సూచనలు
క్వెస్టాసిమ్ కమాండ్ లైన్‌లో, vsim -do run_vsim.do అని టైప్ చేయండి, మీరు QuestaSim GUIని తీసుకురాకుండా అనుకరించాలనుకుంటే, vsim -c -do run_vsim.do అని టైప్ చేయండి.
VCS • కమాండ్ లైన్‌లో, sh run_vcs.sh అని టైప్ చేయండి
• దీనికి నావిగేట్ చేయండిample_dir>/simulation/setup_scripts/ synopsys/vcs మరియు కింది ఆదేశాన్ని అమలు చేయండి: sh run_vcs.sh
VCS MX కమాండ్ లైన్‌లో, sh run_vcsmx.sh అని టైప్ చేయండి
రివేరా-PRO కమాండ్ లైన్‌లో, vsim -c -do run_rivierapro.tcl అని టైప్ చేయండి
గమనిక: ఇంటెల్ స్ట్రాటిక్స్ 10 హెచ్-టైల్ డిజైన్ వైవిధ్యాలలో మాత్రమే మద్దతు ఉంది.
Xcelium(1) కమాండ్ లైన్‌లో, sh run_xcelium.sh అని టైప్ చేయండి
  1. ఈ సిమ్యులేటర్‌కు eCPRI Intel FPGA IP డిజైన్ మాజీకి మద్దతు లేదుampIWF ఫీచర్ ఎనేబుల్ చేయడంతో రూపొందించబడింది.

Sample అవుట్‌పుట్: కింది ఎస్ample అవుట్‌పుట్ eCPRI IP డిజైన్ మాజీ యొక్క విజయవంతమైన అనుకరణ పరీక్ష రన్‌ను వివరిస్తుందిampIWF ఫీచర్ లేకుండా le ఛానెల్‌ల సంఖ్య = 4తో ప్రారంభించబడింది:

# RX అమరిక కోసం వేచి ఉంది
# RX డెస్కీ లాక్ చేయబడింది
# RX లేన్ అమరిక లాక్ చేయబడింది
# లింక్ తప్పు క్లియర్ కోసం వేచి ఉంది
# లింక్ తప్పు క్లియర్
# MAC మూల చిరునామా 0_0 ఛానెల్ 0: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 0: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 0: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 0: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 0: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 0: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 0: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 0: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 0: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 0: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 0: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 0: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 0: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 0: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 0: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 0: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 0: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 0: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 0: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 0: 00000241
# eCPRI వెర్షన్ ఛానెల్ 0: 2
# MAC మూల చిరునామా 0_0 ఛానెల్ 1: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 1: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 1: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 1: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 1: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 1: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 1: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 1: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 1: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 1: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 1: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 1: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 1: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 1: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 1: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 1: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 1: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 1: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 1: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 1: 00000241
# eCPRI వెర్షన్ ఛానెల్ 1: 2
# MAC మూల చిరునామా 0_0 ఛానెల్ 2: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 2: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 2: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 2: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 2: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 2: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 2: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 2: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 2: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 2: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 2: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 2: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 2: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 2: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 2: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 2: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 2: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 2: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 2: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 2: 00000241
# eCPRI వెర్షన్ ఛానెల్ 2: 2
# MAC మూల చిరునామా 0_0 ఛానెల్ 3: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 3: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 3: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 3: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 3: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 3: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 3: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 3: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 3: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 3: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 3: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 3: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 3: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 3: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 3: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 3: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 3: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 3: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 3: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 3: 00000241
# eCPRI వెర్షన్ ఛానెల్ 3: 2
#_________________________________________________________
# సమాచారం: రీసెట్ స్థితి ముగిసింది
#_________________________________________________________
#
#
# ఛానెల్ 0 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 0 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 0 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 0 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య RX EOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య RX EOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య RX EOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య RX EOPల సంఖ్య : 0
#_________________________________________________________
# సమాచారం: ప్యాకెట్‌లను ప్రసారం చేయడం ప్రారంభించండి
#_________________________________________________________
#
#
# సమాచారం: ఛానెల్ 0 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 0 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 1 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 1 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 2 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 2 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 3 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 3 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
#_________________________________________________________
# సమాచారం: ప్యాకెట్లను ప్రసారం చేయడం ఆపివేయండి
#_________________________________________________________
#
#
#_________________________________________________________
# సమాచారం: ప్యాకెట్ల గణాంకాలను తనిఖీ చేస్తోంది
#_________________________________________________________
#
#
# ఛానెల్ 0 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 0 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 0 eCPRI SOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 0 eCPRI EOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 0 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 0 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 0 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 0 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 0 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 0 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 0 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 0 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 0 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 0 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 0 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 0 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 0 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
# ఛానెల్ 1 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 1 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 1 eCPRI SOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 1 eCPRI EOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 1 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 1 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 1 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 1 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 1 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 1 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 1 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 1 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 1 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 1 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 1 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 1 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 1 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
# ఛానెల్ 2 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 2 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 2 eCPRI SOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 2 eCPRI EOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 2 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 2 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 2 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 2 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 2 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 2 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 2 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 2 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 2 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 2 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 2 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 2 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 2 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
# ఛానెల్ 3 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 3 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 300
# ఛానెల్ 3 eCPRI SOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 3 eCPRI EOPలు స్వీకరించబడ్డాయి: 300
# ఛానెల్ 3 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 3 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 3 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 3 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 3 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 3 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 3 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 3 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 3 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 3 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 3 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 3 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 3 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
#_________________________________________________________
# సమాచారం: పరీక్ష ఉత్తీర్ణత
#
#_________________________________________________________

Sample అవుట్‌పుట్: కింది ఎస్ample అవుట్‌పుట్ eCPRI IP డిజైన్ మాజీ యొక్క విజయవంతమైన అనుకరణ పరీక్ష రన్‌ను వివరిస్తుందిampIWF ఫీచర్‌తో le ఛానెల్‌ల సంఖ్య = 4తో ప్రారంభించబడింది:

# CPRI TXని ప్రారంభించండి
# CPRI ఛానల్ 0 L1_CONFIG : 00000001
# CPRI ఛానెల్ 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ఛానల్ 1 L1_CONFIG : 00000001
# CPRI ఛానెల్ 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ఛానల్ 2 L1_CONFIG : 00000001
# CPRI ఛానెల్ 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ఛానల్ 3 L1_CONFIG : 00000001
# CPRI ఛానెల్ 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX అమరిక కోసం వేచి ఉంది
# RX డెస్కీ లాక్ చేయబడింది
# RX లేన్ అమరిక లాక్ చేయబడింది
# లింక్ తప్పు క్లియర్ కోసం వేచి ఉంది
# లింక్ తప్పు క్లియర్
# MAC మూల చిరునామా 0_0 ఛానెల్ 0: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 0: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 0: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 0: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 0: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 0: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 0: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 0: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 0: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 0: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 0: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 0: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 0: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 0: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 0: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 0: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 0: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 0: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 0: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 0: 00000241
# eCPRI వెర్షన్ ఛానెల్ 0: 2
# MAC మూల చిరునామా 0_0 ఛానెల్ 1: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 1: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 1: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 1: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 1: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 1: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 1: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 1: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 1: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 1: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 1: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 1: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 1: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 1: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 1: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 1: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 1: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 1: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 1: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 1: 00000241
# eCPRI వెర్షన్ ఛానెల్ 1: 2
# MAC మూల చిరునామా 0_0 ఛానెల్ 2: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 2: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 2: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 2: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 2: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 2: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 2: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 2: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 2: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 2: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 2: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 2: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 2: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 2: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 2: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 2: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 2: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 2: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 2: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 2: 00000241
# eCPRI వెర్షన్ ఛానెల్ 2: 2
# MAC మూల చిరునామా 0_0 ఛానెల్ 3: 33445566
# MAC మూల చిరునామా 0_1 ఛానెల్ 3: 00007788
# MAC గమ్యం చిరునామా 0_0 ఛానెల్ 3: 33445566
# MAC గమ్యం చిరునామా 0_1 ఛానెల్ 3: 00007788
# MAC గమ్యం చిరునామా 1_0 ఛానెల్ 3: 11223344
# MAC గమ్యం చిరునామా 1_1 ఛానెల్ 3: 00005566
# MAC గమ్యం చిరునామా 2_0 ఛానెల్ 3: 22334455
# MAC గమ్యం చిరునామా 2_1 ఛానెల్ 3: 00006677
# MAC గమ్యం చిరునామా 3_0 ఛానెల్ 3: 44556677
# MAC గమ్యం చిరునామా 3_1 ఛానెల్ 3: 00008899
# MAC గమ్యం చిరునామా 4_0 ఛానెల్ 3: 66778899
# MAC గమ్యం చిరునామా 4_1 ఛానెల్ 3: 0000aabb
# MAC గమ్యం చిరునామా 5_0 ఛానెల్ 3: 778899aa
# MAC గమ్యం చిరునామా 5_1 ఛానెల్ 3: 0000bbcc
# MAC గమ్యం చిరునామా 6_0 ఛానెల్ 3: 8899aabb
# MAC గమ్యం చిరునామా 6_1 ఛానెల్ 3: 0000ccdd
# MAC గమ్యం చిరునామా 7_0 ఛానెల్ 3: 99aabbcc
# MAC గమ్యం చిరునామా 7_1 ఛానెల్ 3: 0000ddee
# eCPRI కామన్ కంట్రోల్ ఛానెల్ 3: 00000041
# అంతరాయాన్ని ప్రారంభించండి eCPRI కామన్ కంట్రోల్ ఛానెల్ 3: 00000241
# eCPRI వెర్షన్ ఛానెల్ 3: 2
# CPRI కోసం HSYNC లింక్ అప్ స్థితిని సాధించడానికి వేచి ఉంది
# CPRI ఛానెల్ 0 HSYNC స్థితిని సాధించింది
# CPRI ఛానెల్ 1 HSYNC స్థితిని సాధించింది
# CPRI ఛానెల్ 2 HSYNC స్థితిని సాధించింది
# CPRI ఛానెల్ 3 HSYNC స్థితిని సాధించింది
# 11100250000 నెగో_బిట్రేట్_పూర్తి చేయడానికి 1 వ్రాయండి
# 11100650000 పోలింగ్ PROT_VER ఛానెల్ 0
#_________________________________________________________
# 11100850000 పోలింగ్ రిజిస్టర్: a0000010
#_________________________________________________________
# 13105050000 పోలింగ్ PROT_VER ఛానెల్ 1
#_________________________________________________________
# 13105250000 పోలింగ్ రిజిస్టర్: a0800010
#_________________________________________________________
# 13105950000 పోలింగ్ PROT_VER ఛానెల్ 2
#_________________________________________________________
# 13106150000 పోలింగ్ రిజిస్టర్: a1000010
#_________________________________________________________
# 13106850000 పోలింగ్ PROT_VER ఛానెల్ 3
#_________________________________________________________
# 13107050000 పోలింగ్ రిజిస్టర్: a1800010
#_________________________________________________________
# 13107750000 nego_protol_completeకి 1 వ్రాయండి
# 13108150000 పోలింగ్ CM_STATUS.rx_fast_cm_ptr_valid Channel 0
#_________________________________________________________
# 13108350000 పోలింగ్ రిజిస్టర్: a0000020
#_________________________________________________________
# 14272050000 పోలింగ్ CM_STATUS.rx_fast_cm_ptr_valid Channel 1
#_________________________________________________________
# 14272250000 పోలింగ్ రిజిస్టర్: a0800020
#_________________________________________________________
# 14272950000 పోలింగ్ CM_STATUS.rx_fast_cm_ptr_valid Channel 2
#_________________________________________________________
# 14273150000 పోలింగ్ రిజిస్టర్: a1000020
#_________________________________________________________
# 14273850000 పోలింగ్ CM_STATUS.rx_fast_cm_ptr_valid Channel 3
#_________________________________________________________
# 14274050000 పోలింగ్ రిజిస్టర్: a1800020
#_________________________________________________________
# 14274750000 nego_cm_పూర్తి చేయడానికి 1 వ్రాయండి
# 14275150000 nego_vss_completeకి 1 వ్రాయండి
# CPRI ఛానెల్ 0 కోసం వేచి ఉంది HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించడానికి
# CPRI ఛానెల్ 0 HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించింది
# CPRI ఛానెల్ 1 కోసం వేచి ఉంది HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించడానికి
# CPRI ఛానెల్ 1 HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించింది
# CPRI ఛానెల్ 2 కోసం వేచి ఉంది HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించడానికి
# CPRI ఛానెల్ 2 HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించింది
# CPRI ఛానెల్ 3 కోసం వేచి ఉంది HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించడానికి
# CPRI ఛానెల్ 3 HSYNC & స్టార్టప్ సీక్వెన్స్ FSM STATE_F సాధించింది
#_________________________________________________________
# సమాచారం: రీసెట్ స్థితి ముగిసింది
#_________________________________________________________
#
#
# ఛానెల్ 0 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 0 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 0 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 0 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 0 బాహ్య RX EOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 1 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 1 బాహ్య RX EOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 2 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 2 బాహ్య RX EOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI TX SOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI TX EOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI RX SOPల సంఖ్య : 0
# ఛానెల్ 3 eCPRI RX EOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య PTP TX SOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య PTP TX EOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య MISC TX SOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య MISC TX EOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య RX SOPల సంఖ్య : 0
# ఛానెల్ 3 బాహ్య RX EOPల సంఖ్య : 0
#_________________________________________________________
# సమాచారం: ప్యాకెట్‌లను ప్రసారం చేయడం ప్రారంభించండి
#_________________________________________________________
#
#
# సమాచారం: ఛానెల్ 0 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 0 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 0 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 1 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 1 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 1 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 2 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 2 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 2 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 3 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయ్యే వరకు వేచి ఉంది
# సమాచారం: ఛానెల్ 3 eCPRI TX ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX PTP ట్రాఫిక్ బదిలీ పూర్తయింది
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ కోసం వేచి ఉంది
పూర్తి
# సమాచారం: ఛానెల్ 3 eCPRI బాహ్య TX ఇతర ట్రాఫిక్ బదిలీ పూర్తయింది
#_________________________________________________________
# సమాచారం: ప్యాకెట్లను ప్రసారం చేయడం ఆపివేయండి
#_________________________________________________________
#
#
#_________________________________________________________
# సమాచారం: ప్యాకెట్ల గణాంకాలను తనిఖీ చేస్తోంది
#_________________________________________________________
#
#
# ఛానెల్ 0 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 0 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 0 eCPRI SOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 0 eCPRI EOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 0 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 0 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 0 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 0 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 0 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 0 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 0 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 0 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 0 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 0 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 0 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 0 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 0 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
# ఛానెల్ 1 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 1 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 1 eCPRI SOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 1 eCPRI EOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 1 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 1 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 1 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 1 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 1 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 1 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 1 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 1 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 1 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 1 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 1 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 1 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 1 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
# ఛానెల్ 2 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 2 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 2 eCPRI SOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 2 eCPRI EOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 2 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 2 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 2 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 2 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 2 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 2 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 2 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 2 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 2 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 2 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 2 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 2 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 2 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
# ఛానెల్ 3 eCPRI SOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 3 eCPRI EOPలు ప్రసారం చేయబడ్డాయి: 50
# ఛానెల్ 3 eCPRI SOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 3 eCPRI EOPలు స్వీకరించబడ్డాయి: 50
# ఛానెల్ 3 eCPRI లోపం నివేదించబడింది: 0
# ఛానెల్ 3 బాహ్య PTP SOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 3 బాహ్య PTP EOPలు ప్రసారం చేయబడ్డాయి: 4
# ఛానెల్ 3 బాహ్య MISC SOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 3 బాహ్య MISC EOPలు ప్రసారం చేయబడ్డాయి: 128
# ఛానెల్ 3 అందుకున్న బాహ్య SOPలు: 132
# ఛానెల్ 3 బాహ్య EOPలు స్వీకరించబడ్డాయి: 132
# ఛానెల్ 3 అందుకున్న బాహ్య PTP SOPలు: 4
# ఛానెల్ 3 బాహ్య PTP EOPలు స్వీకరించబడ్డాయి: 4
# ఛానెల్ 3 బాహ్య MISC SOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 3 బాహ్య MISC EOPలు స్వీకరించబడ్డాయి: 128
# ఛానెల్ 3 బాహ్య లోపం నివేదించబడింది: 0
# ఛానెల్ 3 బాహ్య సమయంamp వేలిముద్ర లోపం నివేదించబడింది: 0
#_________________________________________________________
# సమాచారం: పరీక్ష ఉత్తీర్ణత
#
#_________________________________________________________

1.4.1 ఈథర్నెట్ IPకి డైనమిక్ రీకాన్ఫిగరేషన్‌ని ప్రారంభిస్తోంది
డిఫాల్ట్‌గా, eCPRI IP డిజైన్ ఎక్స్‌లో డైనమిక్ రీకాన్ఫిగరేషన్ నిలిపివేయబడిందిample మరియు ఇది ఇంటెల్ స్ట్రాటిక్స్ 10 (ఇ-టైల్ మరియు హెచ్-టైల్) మరియు ఇంటెల్ అజిలెక్స్ 7 (ఇ-టైల్) డిజైన్ ఎక్స్‌లకు మాత్రమే వర్తిస్తుందిampలెస్.

  1. ఉత్పత్తి నుండి test_wrapper.svలో కింది లైన్ కోసం చూడండిample_dir>/simulation/testbench డైరెక్టరీ: పారామితి ETHERNET_DR_EN = 0
  2. విలువను 0 నుండి 1కి మార్చండి: పరామితి ETHERNET_DR_EN = 1
  3. అదే ఉత్పత్తి చేయబడిన మాజీని ఉపయోగించి అనుకరణను మళ్లీ అమలు చేయండిample డిజైన్ డైరెక్టరీ.

1.5 కంపైలేషన్-మాత్రమే ప్రాజెక్ట్ కంపైల్ చేస్తోంది
సంకలనం-మాత్రమే మాజీని కంపైల్ చేయడానికిampప్రాజెక్ట్, ఈ దశలను అనుసరించండి:

  1. సంకలన రూపకల్పనను నిర్ధారించుకోండి example తరం పూర్తయింది.
  2. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో, ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ ప్రాజెక్ట్‌ను తెరవండిample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. ప్రాసెసింగ్ మెనులో, కంపైలేషన్ ప్రారంభించు క్లిక్ చేయండి.
  4. విజయవంతమైన సంకలనం తర్వాత, సమయం మరియు వనరుల వినియోగం కోసం నివేదికలు మీ ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సెషన్‌లో అందుబాటులో ఉంటాయి. ప్రాసెసింగ్ ➤ కంపైలేషన్ రిపోర్ట్‌కి వెళ్లండి view సంకలనంపై వివరణాత్మక నివేదిక.
    సంబంధిత సమాచారం
    బ్లాక్ ఆధారిత డిజైన్ ప్రవాహాలు

1.6 డిజైన్ ఎక్స్‌ని కంపైల్ చేయడం మరియు కాన్ఫిగర్ చేయడంampహార్డ్‌వేర్‌లో లే
హార్డ్‌వేర్ డిజైన్‌ను కంపైల్ చేయడానికి మాజీample మరియు మీ Intel పరికరంలో దీన్ని కాన్ఫిగర్ చేయండి, ఈ దశలను అనుసరించండి:

  1. హార్డ్‌వేర్ డిజైన్‌ను నిర్ధారించుకోండిample తరం పూర్తయింది.
  2. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో, ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌ను తెరవండిample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. ప్రాసెసింగ్ మెనులో, కంపైలేషన్ ప్రారంభించు క్లిక్ చేయండి.
  4. విజయవంతమైన సంకలనం తర్వాత, a .sof file లో అందుబాటులో ఉందిample_dir>/ సంశ్లేషణ/క్వార్టస్/అవుట్‌పుట్_files డైరెక్టరీ. హార్డ్‌వేర్ డిజైన్ మాజీని ప్రోగ్రామ్ చేయడానికి ఈ దశలను అనుసరించండిampఇంటెల్ FPGA పరికరంలో le:
    a. డెవలప్‌మెంట్ కిట్‌ని హోస్ట్ కంప్యూటర్‌కి కనెక్ట్ చేయండి.
    బి. డెవలప్‌మెంట్ కిట్‌లో భాగమైన క్లాక్ కంట్రోల్ అప్లికేషన్‌ను ప్రారంభించండి మరియు డిజైన్ మాజీ కోసం కొత్త ఫ్రీక్వెన్సీలను సెట్ చేయండిample. క్లాక్ కంట్రోల్ అప్లికేషన్‌లో ఫ్రీక్వెన్సీ సెట్టింగ్ క్రింద ఉంది:
    • మీరు Intel Stratix 10 GX SI డెవలప్‌మెంట్ కిట్‌లో మీ డిజైన్‌ను లక్ష్యంగా చేసుకుంటే:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 మరియు OUT5- 307.2 MHz
    • మీరు Intel Stratix 10 TX SI డెవలప్‌మెంట్ కిట్‌లో మీ డిజైన్‌ను లక్ష్యంగా చేసుకుంటే:
    — U1, CLK4- 322.265625 MHz (25G డేటా రేటు కోసం)
    — U6- 156.25 MHz (10G డేటా రేటు కోసం)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • మీరు Intel Agilex 7 F-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్‌లో మీ డిజైన్‌ను లక్ష్యంగా చేసుకుంటే:
    - U37, CLK1A- 100 MHz
    - U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • మీరు Intel Arria 10 GX SI డెవలప్‌మెంట్ కిట్‌లో మీ డిజైన్‌ను లక్ష్యంగా చేసుకుంటే:
    - U52, CLK0- 156.25 MHz
    - U52, CLK1- 250 MHz
    - U52, CLK3- 125 MHz
    - Y5- 307.2 MHz
    - Y6- 322.265625 MHz
    సి. టూల్స్ మెనులో, ప్రోగ్రామర్ క్లిక్ చేయండి.
    డి. ప్రోగ్రామర్‌లో, హార్డ్‌వేర్ సెటప్ క్లిక్ చేయండి.
    ఇ. ప్రోగ్రామింగ్ పరికరాన్ని ఎంచుకోండి.
    f. మీ ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సెషన్ కనెక్ట్ చేయగల డెవలప్‌మెంట్ కిట్‌ని ఎంచుకుని, జోడించండి.
    g. మోడ్ J కి సెట్ చేయబడిందని నిర్ధారించుకోండిTAG.
    h. పరికరాన్ని ఎంచుకుని, పరికరాన్ని జోడించు క్లిక్ చేయండి. ప్రోగ్రామర్ మీ బోర్డ్‌లోని పరికరాల మధ్య కనెక్షన్‌ల బ్లాక్ రేఖాచిత్రాన్ని ప్రదర్శిస్తుంది.
    i. .sofని లోడ్ చేయండి file మీ సంబంధిత Intel FPGA పరికరానికి.
    జె. ఎక్జిక్యూటబుల్ మరియు లింకింగ్ ఫార్మాట్ (.elf)ని లోడ్ చేయండి file మీ Intel Stratix 10కి లేదా
    మీరు 7G మరియు 25G మధ్య డేటా రేటును మార్చడానికి డైనమిక్ రీకాన్ఫిగరేషన్ (DR) చేయాలనుకుంటే Intel Agilex 10 పరికరం. ఎక్జిక్యూటబుల్ మరియు లింకింగ్ ఫార్మాట్ (.elf) ప్రోగ్రామింగ్‌ని రూపొందించడం మరియు డౌన్‌లోడ్ చేయడం నుండి సూచనలను అనుసరించండి File .elfని రూపొందించడానికి 38వ పేజీలో file.
    కె. మీ .sofతో ఉన్న వరుసలో, .sof కోసం ప్రోగ్రామ్/కాన్ఫిగర్ బాక్స్‌ను చెక్ చేయండి file.
    ఎల్. ప్రారంభం క్లిక్ చేయండి.

సంబంధిత సమాచారం

  • బ్లాక్-ఆధారిత డిజైన్
  • ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రోగ్రామర్ యూజర్ గైడ్
  • సిస్టమ్ కన్సోల్‌తో డిజైన్‌లను విశ్లేషించడం మరియు డీబగ్గింగ్ చేయడం
  • Intel Agilex 7 F-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • Intel Stratix 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • ఇంటెల్ స్ట్రాటిక్స్ 10 TX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్
  • ఇంటెల్ అర్రియా 10 GX ట్రాన్స్‌సీవర్ సిగ్నల్ ఇంటిగ్రిటీ డెవలప్‌మెంట్ కిట్ యూజర్ గైడ్

1.7 eCPRI Intel FPGA IP డిజైన్ ఎక్స్‌ని పరీక్షిస్తోందిample
మీరు eCPRI Intel FPGA IP కోర్ డిజైన్‌ను కంపైల్ చేసిన తర్వాతample మరియు దానిని మీ Intel FPGA పరికరంలో కాన్ఫిగర్ చేయండి, మీరు IP కోర్ మరియు దాని ఎంబెడెడ్ స్థానిక PHY IP కోర్ రిజిస్టర్‌లను ప్రోగ్రామ్ చేయడానికి సిస్టమ్ కన్సోల్‌ని ఉపయోగించవచ్చు.
సిస్టమ్ కన్సోల్‌ని ఆన్ చేసి, హార్డ్‌వేర్ డిజైన్‌ని పరీక్షించడానికి మాజీample, ఈ దశలను అనుసరించండి:

  1. హార్డ్‌వేర్ డిజైన్ తర్వాత మాజీample అనేది Intel పరికరంలో కాన్ఫిగర్ చేయబడింది, Intel Quartus Prime Pro ఎడిషన్ సాఫ్ట్‌వేర్‌లో, టూల్స్ మెనులో, సిస్టమ్ డీబగ్గింగ్ టూల్స్ ➤ సిస్టమ్ కన్సోల్ క్లిక్ చేయండి.
  2. Tcl కన్సోల్ పేన్‌లో, డైరెక్టరీని దీనికి మార్చండిample_dir>/ synthesis/quartus/hardware_test ని టైప్ చేసి, J కి కనెక్షన్ తెరవడానికి కింది ఆదేశాన్ని టైప్ చేయండి.TAG మాస్టర్ మరియు పరీక్ష ప్రారంభించండి:
    • Intel Agilex 7 డిజైన్‌ల కోసం ecpri_agilex.tcl మూలం
    • Intel Stratix 10 డిజైన్‌ల కోసం ecpri_s10.tcl మూలం
    • Intel Arria 10 డిజైన్‌ల కోసం ecpri_a10.tcl మూలం
  3. మీ Intel Stratix 10 లేదా Intel Agilex 7 E-టైల్ పరికర వైవిధ్యాల కోసం, మీరు .sofని ప్రోగ్రామ్ చేసిన తర్వాత ఒకసారి తప్పనిసరిగా అంతర్గత లేదా బాహ్య లూప్‌బ్యాక్ ఆదేశాన్ని అమలు చేయాలి. file:
    a. ఫ్లో.సిలో TEST_MODE వేరియబుల్‌ని సవరించండి file లూప్‌బ్యాక్ మోడ్‌ని ఎంచుకోవడానికి:
    TEST_MODE చర్య
    0 సీరియల్ లూప్‌బ్యాక్ అనుకరణ కోసం మాత్రమే ప్రారంభించబడుతుంది
    1 సీరియల్ లూప్‌బ్యాక్ హార్డ్‌వేర్ కోసం మాత్రమే ప్రారంభించబడుతుంది
    2 సీరియల్ లూప్‌బ్యాక్ మరియు క్రమాంకనం
    3 క్రమాంకనం మాత్రమే

    మీరు ఫ్లో.సిని మార్చినప్పుడల్లా మీరు NIOS II సాఫ్ట్‌వేర్‌ను తిరిగి కంపైల్ చేసి, పునరుత్పత్తి చేయాలి file.
    బి. .elfని పునరుత్పత్తి చేయండి file మరియు మరొకసారి బోర్డుకి ప్రోగ్రామ్ చేయండి మరియు .sofని రీప్రోగ్రామ్ చేయండి file.

  4. సిస్టమ్ కన్సోల్ స్క్రిప్ట్‌లో మద్దతు ఇచ్చే ఆదేశాల ద్వారా డిజైన్ ఆపరేషన్‌ను పరీక్షించండి. సిస్టమ్ కన్సోల్ స్క్రిప్ట్ గణాంకాలను చదవడానికి ఉపయోగకరమైన ఆదేశాలను అందిస్తుంది మరియు డిజైన్‌లో ఎనేబుల్ చేసే లక్షణాలను అందిస్తుంది.

టేబుల్ 4. సిస్టమ్ కన్సోల్ స్క్రిప్ట్ ఆదేశాలు

ఆదేశం వివరణ
లూప్_ఆన్ TX నుండి RX అంతర్గత సీరియల్ లూప్‌బ్యాక్‌ని ప్రారంభిస్తుంది. Intel Stratix 10 H-tile మరియు Intel Arria 10 పరికరాల కోసం మాత్రమే ఉపయోగించండి.
లూప్_ఆఫ్ TX నుండి RX అంతర్గత సీరియల్ లూప్‌బ్యాక్‌ని నిలిపివేస్తుంది. Intel Stratix 10 H-tile మరియు Intel Arria 10 పరికరాల కోసం మాత్రమే ఉపయోగించండి.
లింక్ _ init _ int _1pbk ట్రాన్స్‌సీవర్‌లో TX నుండి RX ఇంటర్నల్ సీరియల్ లూప్‌బ్యాక్‌ని ప్రారంభిస్తుంది మరియు ట్రాన్స్‌సీవర్ కాలిబ్రేషన్ ఫ్లోను నిర్వహిస్తుంది. Intel Stratix 10 E-tile మరియు Intel Agilex 7 E-టైల్ డిజైన్‌లకు మాత్రమే వర్తిస్తుంది.
లింక్ _ init _ ext _1pbk TX నుండి RX బాహ్య లూప్‌బ్యాక్‌ని ప్రారంభిస్తుంది మరియు ట్రాన్స్‌సీవర్ కాలిబ్రేషన్ ఫ్లోను నిర్వహిస్తుంది. Intel Stratix 10 E-tile మరియు Intel Agilex 7 E-టైల్ డిజైన్‌లకు మాత్రమే వర్తిస్తుంది.
ట్రాఫిక్ జెన్ డిసేబుల్ ట్రాఫిక్ జనరేటర్ మరియు చెకర్‌ను నిలిపివేస్తుంది.
chkmac గణాంకాలు ఈథర్నెట్ MAC కోసం గణాంకాలను ప్రదర్శిస్తుంది.
చదవండి_ పరీక్ష_ గణాంకాలు ట్రాఫిక్ జనరేటర్ మరియు చెకర్స్ కోసం ఎర్రర్ గణాంకాలను ప్రదర్శించండి.
ext _ నిరంతర _ మోడ్ _en మొత్తం డిజైన్ సిస్టమ్‌ను రీసెట్ చేస్తుంది మరియు నిరంతర ట్రాఫిక్ ప్యాకెట్‌లను రూపొందించడానికి ట్రాఫిక్ జనరేటర్‌ను ప్రారంభిస్తుంది.
dr _ 25g _ to _ log _etile ఈథర్నెట్ MAC డేటా రేట్‌ను 25G నుండి 10Gకి మారుస్తుంది. Intel Stratix 10 E-tile మరియు Intel Agilex 7 E-tile పరికరాల కోసం మాత్రమే ఉపయోగించండి.
dr_25g_to_10g_htile ఈథర్నెట్ MAC డేటా రేట్‌ను 25G నుండి 10Gకి మారుస్తుంది. H-టైల్ పరికరాల కోసం మాత్రమే ఉపయోగించండి
dr_10g_to_25g_etile ఈథర్నెట్ MAC డేటా రేట్‌ను 10G నుండి 25Gకి మారుస్తుంది. Intel Stratix 10 E-tile మరియు Intel Agilex 7 E-tile పరికరాల కోసం మాత్రమే ఉపయోగించండి.
dr _ 25g _ to _ log _htile ఈథర్‌నెట్ MAC డేటా రేట్‌ను 10G నుండి 25Gకి మారుస్తుంది. H-టైల్ పరికరాల కోసం మాత్రమే ఉపయోగించండి.

కింది ఎస్ample అవుట్‌పుట్ విజయవంతమైన టెస్ట్ రన్‌ను వివరిస్తుంది:
సిస్టమ్ కన్సోల్ ప్రింటౌట్ (ఛానెళ్ల సంఖ్య = 1)
ఛానెల్ 0 EXT PTP TX SOP కౌంట్: 256
ఛానెల్ 0 EXT PTP TX EOP కౌంట్: 256
ఛానెల్ 0 EXT MISC TX SOP కౌంట్: 36328972
ఛానెల్ 0 EXT MISC TX EOP కౌంట్: 36369511
ఛానెల్ 0 EXT RX SOP కౌంట్: 36410364
ఛానెల్ 0 EXT RX EOP కౌంట్: 36449971
ఛానెల్ 0 EXT చెకర్ లోపాలు: 0
ఛానెల్ 0 EXT చెకర్ లోపం గణనలు: 0
ఛానెల్ 0 EXT PTP వేలిముద్ర లోపాలు: 0
ఛానెల్ 0 EXT PTP వేలిముద్ర దోష గణనలు: 0
ఛానెల్ 0 TX SOP కౌంట్: 1337760
ఛానెల్ 0 TX EOP కౌంట్: 1339229
ఛానెల్ 0 RX SOP కౌంట్: 1340728
ఛానెల్ 0 RX EOP కౌంట్: 1342555
ఛానెల్ 0 చెకర్ లోపాలు: 0
ఛానెల్ 0 చెకర్ లోపం గణనలు: 0

====================================================================================
=============
ఛానెల్ 0 (Rx) కోసం ఈథర్‌నెట్ MAC గణాంకాలు

====================================================================================
=============
ఫ్రాగ్మెంటెడ్ ఫ్రేమ్‌లు : 0
జాబర్డ్ ఫ్రేమ్‌లు : 0
FCS ఎర్రర్ ఫ్రేమ్‌లతో సరైన పరిమాణం : 0
మల్టీకాస్ట్ డేటా ఎర్రర్ ఫ్రేమ్‌లు : 0
ప్రసార డేటా ఎర్రర్ ఫ్రేమ్‌లు : 0
యూనికాస్ట్ డేటా ఎర్రర్ ఫ్రేమ్‌లు : 0
64 బైట్ ఫ్రేమ్‌లు : 3641342
65 – 127 బైట్ ఫ్రేమ్‌లు : 0
128 – 255 బైట్ ఫ్రేమ్‌లు : 37404809
256 – 511 బైట్ ఫ్రేమ్‌లు : 29128650
512 – 1023 బైట్ ఫ్రేమ్‌లు : 0
1024 – 1518 బైట్ ఫ్రేమ్‌లు : 0
1519 – MAX బైట్ ఫ్రేమ్‌లు : 0
> MAX బైట్ ఫ్రేమ్‌లు : 0
మల్టీకాస్ట్ డేటా సరే ఫ్రేమ్ : 70174801
ప్రసార డేటా సరే ఫ్రేమ్ : 0
యూనికాస్ట్ డేటా సరే ఫ్రేమ్‌లు : 0
బహుళ ప్రసార నియంత్రణ ఫ్రేమ్‌లు : 0
ప్రసార నియంత్రణ ఫ్రేమ్‌లు : 0
యూనికాస్ట్ కంట్రోల్ ఫ్రేమ్‌లు : 0
పాజ్ కంట్రోల్ ఫ్రేమ్‌లు : 0
పేలోడ్ ఆక్టేట్స్ సరే : 11505935812
ఫ్రేమ్ ఆక్టేట్స్ సరే : 12918701444
Rx గరిష్ట ఫ్రేమ్ పొడవు : 1518
FCS ఎర్రర్ ఫ్రేమ్‌తో ఏదైనా పరిమాణం: 0
బహుళ ప్రసార నియంత్రణ దోష ఫ్రేమ్: 0
ప్రసార నియంత్రణ ఎర్రర్ ఫ్రేమ్ : 0
యూనికాస్ట్ నియంత్రణ దోష ఫ్రేమ్‌లు : 0
పాజ్ నియంత్రణ దోష ఫ్రేమ్‌లు : 0
Rx ఫ్రేమ్ ప్రారంభం : 70174801

కిందిది ఎస్amp25G నుండి 10G DR టెస్ట్ రన్ కోసం le అవుట్‌పుట్:
సిస్టమ్ కన్సోల్ ప్రింటౌట్ (25G నుండి 10G DR E-టైల్)

ఈథర్నెట్ 25G -> 10G కోసం డైనమిక్ రీకాన్ఫిగరేషన్‌ను ప్రారంభించండి
DR విజయవంతమైన 25G -> 10G
RX PHY రిజిస్టర్ యాక్సెస్: క్లాక్ ఫ్రీక్వెన్సీలను తనిఖీ చేస్తోంది (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY స్థితి పోలింగ్
Rx ఫ్రీక్వెన్సీ లాక్ స్థితి 0x0000000f
Mac క్లాక్ సరైన స్థితిలో ఉందా? 0x00000001
Rx ఫ్రేమ్ లోపం? 0x00000000
Rx PHY పూర్తిగా సమలేఖనం చేయబడిందా? 0x00000001
పోలింగ్ RX PHY ఛానెల్ 0
RX PHY ఛానెల్ 0 పని చేస్తోంది!

సిస్టమ్ కన్సోల్ ప్రింటౌట్ (25G నుండి 10G DR H-టైల్)
ఈథర్నెట్ 25G -> 10G కోసం డైనమిక్ రీకాన్ఫిగరేషన్‌ను ప్రారంభించండి
DR విజయవంతమైన 25G -> 10G
RX PHY రిజిస్టర్ యాక్సెస్: క్లాక్ ఫ్రీక్వెన్సీలను తనిఖీ చేస్తోంది (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY స్థితి పోలింగ్
Rx ఫ్రీక్వెన్సీ లాక్ స్థితి 0x00000001
Mac క్లాక్ సరైన స్థితిలో ఉందా? 0x00000007
Rx ఫ్రేమ్ లోపం? 0x00000000
Rx PHY పూర్తిగా సమలేఖనం చేయబడిందా? 0x00000001
పోలింగ్ RX PHY ఛానెల్ 0
RX PHY ఛానెల్ 0 పని చేస్తోంది!

సిస్టమ్ కన్సోల్ ప్రింటౌట్ (10G నుండి 25G DR E-టైల్)
ఈథర్నెట్ 10G -> 25G కోసం డైనమిక్ రీకాన్ఫిగరేషన్‌ను ప్రారంభించండి
DR విజయవంతమైన 10G -> 25G
RX PHY రిజిస్టర్ యాక్సెస్: క్లాక్ ఫ్రీక్వెన్సీలను తనిఖీ చేస్తోంది (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY స్థితి పోలింగ్
Rx ఫ్రీక్వెన్సీ లాక్ స్థితి 0x0000000f
Mac క్లాక్ సరైన స్థితిలో ఉందా? 0x00000001
Rx ఫ్రేమ్ లోపం? 0x00000000
Rx PHY పూర్తిగా సమలేఖనం చేయబడిందా? 0x00000001
పోలింగ్ RX PHY ఛానెల్ 0
RX PHY ఛానెల్ 0 పని చేస్తోంది!

సిస్టమ్ కన్సోల్ ప్రింటౌట్ (10G నుండి 25G DR H-టైల్)
ఈథర్నెట్ 10G -> 25G కోసం డైనమిక్ రీకాన్ఫిగరేషన్‌ను ప్రారంభించండి
DR విజయవంతమైన 10G -> 25G
RX PHY రిజిస్టర్ యాక్సెస్: క్లాక్ ఫ్రీక్వెన్సీలను తనిఖీ చేస్తోంది (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY స్థితి పోలింగ్
Rx ఫ్రీక్వెన్సీ లాక్ స్థితి 0x00000001
Mac క్లాక్ సరైన స్థితిలో ఉందా? 0x00000007
Rx ఫ్రేమ్ లోపం? 0x00000000
Rx PHY పూర్తిగా సమలేఖనం చేయబడిందా? 0x00000001
పోలింగ్ RX PHY ఛానెల్ 0
RX PHY ఛానెల్ 0 పని చేస్తోంది!

డిజైన్ ఎక్స్ampలే వివరణ

డిజైన్ మాజీample eCPRI IP కోర్ యొక్క ప్రాథమిక కార్యాచరణను ప్రదర్శిస్తుంది. మీరు Ex నుండి డిజైన్‌ను రూపొందించవచ్చుample డిజైన్ ట్యాబ్ eCPRI IP పారామీటర్ ఎడిటర్‌లో.

2.1 ఫీచర్లు

  • అంతర్గత TX మరియు RX సీరియల్ లూప్‌బ్యాక్ మోడ్
  • స్థిర పరిమాణ ప్యాకెట్‌లను స్వయంచాలకంగా ఉత్పత్తి చేస్తుంది
  • ప్రాథమిక ప్యాకెట్ తనిఖీ సామర్థ్యాలు
  • డిజైన్‌ను పరీక్షించడానికి సిస్టమ్ కన్సోల్‌ను ఉపయోగించగల సామర్థ్యం మరియు రీ-టెస్టింగ్ ప్రయోజనం కోసం డిజైన్‌ను రీసెట్ చేయగల సామర్థ్యం

2.2 హార్డ్‌వేర్ డిజైన్ ఎక్స్ample
మూర్తి 5. Intel Agilex 7 F-టైల్ డిజైన్‌ల కోసం బ్లాక్ రేఖాచిత్రంeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 5

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

మూర్తి 6. ఇంటెల్ అజిలెక్స్ 7 ఇ-టైల్ డిజైన్‌ల కోసం బ్లాక్ రేఖాచిత్రంeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 6మూర్తి 7. ఇంటెల్ స్ట్రాటిక్స్ 10 డిజైన్‌ల కోసం బ్లాక్ రేఖాచిత్రంeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 7

మూర్తి 8. ఇంటెల్ అరియా 10 డిజైన్ల కోసం బ్లాక్ రేఖాచిత్రంeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 8eCPRI ఇంటెల్ FPGA IP కోర్ హార్డ్‌వేర్ డిజైన్ మాజీample కింది భాగాలను కలిగి ఉంటుంది:
eCPRI ఇంటెల్ FPGA IP
టెస్ట్ రేపర్‌లో ఇన్‌స్టాంటియేట్ చేయబడిన ట్రాఫిక్ జనరేటర్‌ల నుండి డేటాను అంగీకరిస్తుంది మరియు ఈథర్‌నెట్ IPకి ప్రసారం చేయడానికి డేటాకు ప్రాధాన్యత ఇస్తుంది.

ఈథర్నెట్ IP

  • F-టైల్ ఈథర్నెట్ ఇంటెల్ FPGA హార్డ్ IP (Intel Agilex 7 F-టైల్ డిజైన్‌లు)
  • ఈథర్నెట్ కోసం ఇ-టైల్ హార్డ్ IP (ఇంటెల్ స్ట్రాటిక్స్ 10 లేదా ఇంటెల్ అజిలెక్స్ 7 ఇ-టైల్ డిజైన్‌లు)
  • 25G ఈథర్నెట్ ఇంటెల్ స్ట్రాటిక్స్ 10 IP (ఇంటెల్ స్ట్రాటిక్స్ 10 హెచ్-టైల్ డిజైన్‌లు)
  • తక్కువ జాప్యం ఈథర్నెట్ 10G MAC IP మరియు 1G/10GbE మరియు 10GBASE-KR PHY IP (ఇంటెల్ అరియా 10 డిజైన్‌లు)

ప్రెసిషన్ టైమ్ ప్రోటోకాల్ (PTP) IO PLL
Intel Stratix 10 H-టైల్ డిజైన్‌ల కోసం—ఈథర్‌నెట్ IP మరియు s కోసం జాప్యం కొలత ఇన్‌పుట్ రిఫరెన్స్ గడియారాన్ని రూపొందించడానికి తక్షణమేampటైమ్ ఆఫ్ డే (TOD) సబ్‌సిస్టమ్ కోసం లింగ్ క్లాక్. IEEE 25v10 ఫీచర్‌తో 1588G ఈథర్నెట్ ఇంటెల్ స్ట్రాటిక్స్ 2 FPGA IP కోసం, ఈ గడియారం యొక్క ఫ్రీక్వెన్సీని 156.25 MHzకి సెట్ చేయమని ఇంటెల్ మీకు సిఫార్సు చేస్తోంది. మరింత సమాచారం కోసం 25G ఈథర్నెట్ ఇంటెల్ స్ట్రాటిక్స్ 10 FPGA IP యూజర్ గైడ్ మరియు Intel Stratix 10 H-tile Transceiver PHY యూజర్ గైడ్‌ని చూడండి. PTP IOPLL క్యాస్కేడింగ్ పద్ధతిలో eCPRI IO PLL కోసం రిఫరెన్స్ గడియారాన్ని కూడా ఉత్పత్తి చేస్తుంది.
Intel Arria 10 డిజైన్‌ల కోసం—తక్కువ లేటెన్సీ ఈథర్‌నెట్ 312.5G MAC IP మరియు 156.25G/10GbE, 1GBASE-KR PHY IP మరియు eCPRI IP కోసం 10 MHz మరియు 10 MHz క్లాక్ ఇన్‌పుట్‌లను రూపొందించడానికి తక్షణమే అందించబడింది.

eCPRI IO PLL
eCPRI IP మరియు ట్రాఫిక్ భాగాల యొక్క TX మరియు RX పాత్ కోసం 390.625 MHz కోర్ క్లాక్ అవుట్‌పుట్‌ను ఉత్పత్తి చేస్తుంది.
గమనిక: ఈ బ్లాక్ డిజైన్ ఎక్స్‌లో మాత్రమే ఉందిample Intel Stratix 10 మరియు Intel Agilex 7 పరికరాల కోసం రూపొందించబడింది.

గమనిక: eCPRI ఇంటెల్ FPGA IP యొక్క ప్రస్తుత వెర్షన్ IWF రకం 0కి మాత్రమే మద్దతు ఇస్తుంది. Intel Agilex 7 F-టైల్ పరికరాల కోసం, డిజైన్ మాజీampIWF ఫీచర్‌తో ప్రారంభించబడిన le మద్దతు లేదు.
మీరు డిజైన్‌ను రూపొందించినప్పుడు example ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) సపోర్ట్ పారామీటర్ ఆఫ్ చేయబడింది, ప్యాకెట్ ట్రాఫిక్ టెస్ట్ రేపర్ మాడ్యూల్ నుండి నేరుగా Avalon-ST సోర్స్/సింక్ ఇంటర్‌ఫేస్ మరియు eCPRI IP యొక్క బాహ్య మూలం/సింక్ ఇంటర్‌ఫేస్‌కు ప్రవహిస్తుంది.
మీరు డిజైన్‌ను రూపొందించినప్పుడు example ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) సపోర్ట్ పారామీటర్ ఆన్ చేయబడింది, ప్యాకెట్ ట్రాఫిక్ ముందుగా టెస్ట్ రేపర్ మాడ్యూల్ నుండి IWF Avalon-ST సింక్ ఇంటర్‌ఫేస్‌కు ప్రవహిస్తుంది మరియు IWF Avalon-ST సోర్స్ ఇంటర్‌ఫేస్ నుండి eCPRI Avalon-ST సోర్స్/సింక్‌కి వస్తుంది. ఇంటర్ఫేస్.
CPRI MAC
యూజర్ ప్లేన్, C&M మరియు REC మరియు RE మధ్య అలాగే రెండు RE మధ్య సమకాలీకరణ సమాచారాన్ని బదిలీ చేయడానికి లేయర్ 1 యొక్క CPRI భాగం మరియు పూర్తి లేయర్ 2 ప్రోటోకాల్‌లను అందిస్తుంది.
CPRI PHY
లైన్ కోడింగ్, బిట్ ఎర్రర్ కరెక్షన్/డిటెక్షన్ మరియు మొదలైన వాటి కోసం CPRI లేయర్ 1 ప్రోటోకాల్ యొక్క మిగిలిన భాగాన్ని అందిస్తుంది.

గమనిక: CPRI MAC మరియు CPRI PHY IP ఈ డిజైన్‌లో తక్షణమే అందించబడ్డాయిample ఒకే CPRI లైన్ రేటు 9.8 Gbps వద్ద మాత్రమే అమలు అయ్యేలా కాన్ఫిగర్ చేయబడింది. డిజైన్ మాజీample ప్రస్తుత విడుదలలో లైన్ రేట్ ఆటో-నెగోషియేషన్‌కు మద్దతు ఇవ్వదు.

టెస్ట్ రేపర్
దిగువన ఉన్న eCPRI IP యొక్క Avalon స్ట్రీమింగ్ (Avalon-ST) ఇంటర్‌ఫేస్‌లకు విభిన్న డేటా ప్యాకెట్‌లను రూపొందించే ట్రాఫిక్ జనరేటర్‌లు మరియు చెకర్‌లను కలిగి ఉంటుంది:

  • Avalon-ST సోర్స్/సింక్ ఇంటర్‌ఫేస్‌లకు eCPRI ప్యాకెట్‌లు (IWF ఫీచర్ డిసేబుల్ చేయబడింది):
    — మెసేజ్ టైప్ 2కి మాత్రమే మద్దతిస్తుంది.
    - ప్రతి ప్యాకెట్‌కు 72 బైట్‌ల పేలోడ్ పరిమాణం మరియు పెరుగుతున్న నమూనా మోడ్ జనరేషన్‌తో బ్యాక్-టు-బ్యాక్ మోడ్ జనరేషన్.
    — నిరంతర లేదా నిరంతర మోడ్‌లో అమలు చేయడానికి CSR ద్వారా కాన్ఫిగర్ చేయవచ్చు.
    — CSR ద్వారా యాక్సెస్ చేయడానికి TX/RX ప్యాకెట్ స్టాటిస్టిక్ స్టేటస్ అందుబాటులో ఉంది.
  • Avalon-ST మూలం/సింక్ ఇంటర్‌ఫేస్‌లకు eCPRI ప్యాకెట్‌లు (IWF ఫీచర్ ప్రారంభించబడింది):
    — ప్రస్తుత విడుదలలో సందేశ రకం 0కి మాత్రమే మద్దతు ఇస్తుంది.
    - ఇంటర్‌ప్యాకెట్ గ్యాప్ జనరేషన్‌తో ఇంక్రిమెంటల్ ప్యాటర్న్ మోడ్ జనరేషన్ మరియు ఒక్కో ప్యాకెట్‌కు 240 బైట్‌ల పేలోడ్ సైజు.
    — నిరంతర లేదా నిరంతర మోడ్‌లో అమలు చేయడానికి CSR ద్వారా కాన్ఫిగర్ చేయవచ్చు.
    — CSR ద్వారా యాక్సెస్ చేయడానికి TX/RX ప్యాకెట్ స్టాటిస్టిక్ స్టేటస్ అందుబాటులో ఉంది.
  • ఎక్స్‌టర్నల్ సోర్స్/సింక్ ఇంటర్‌ఫేస్‌లకు ప్రెసిషన్ టైమ్ ప్రోటోకాల్ (1588 PTP) ప్యాకెట్ మరియు PTP కాని ఇతర ప్యాకెట్‌లు:
    — ముందుగా నిర్వచించిన పారామితులతో స్టాటిక్ ఈథర్నెట్ హెడర్ జనరేషన్: Ethertype0x88F7, మెసేజ్ టైప్- Opcode 0 (Sync), మరియు PTP వెర్షన్-0.
    — 2 సైకిళ్ల ఇంటర్‌ప్యాకెట్ గ్యాప్ మరియు ప్రతి ప్యాకెట్‌కు 57 బైట్‌ల పేలోడ్ పరిమాణంతో ముందే నిర్వచించబడిన నమూనా మోడ్ జనరేషన్.
    - ప్రతి సెకను వ్యవధిలో 128 ప్యాకెట్లు ఉత్పత్తి అవుతాయి.
    — నిరంతర లేదా నిరంతర మోడ్‌లో అమలు చేయడానికి CSR ద్వారా కాన్ఫిగర్ చేయవచ్చు.
    — CSR ద్వారా యాక్సెస్ చేయడానికి TX/RX ప్యాకెట్ స్టాటిస్టిక్ స్టేటస్ అందుబాటులో ఉంది.
  • బాహ్య నాన్-పిటిపి ఇతర ప్యాకెట్‌లు:
    — ముందుగా నిర్వచించిన పరామితితో స్టాటిక్ ఈథర్నెట్ హెడర్ జనరేషన్, ఈథర్‌టైప్- 0x8100 (PTP కానిది).
    — 2 సైకిళ్ల ఇంటర్‌ప్యాకెట్ గ్యాప్‌తో PRBS ప్యాటర్న్ మోడ్ జనరేషన్ మరియు ప్రతి ప్యాకెట్‌కు 128 బైట్‌ల పేలోడ్ పరిమాణం.
    — నిరంతర లేదా నిరంతర మోడ్‌లో అమలు చేయడానికి CSR ద్వారా కాన్ఫిగర్ చేయవచ్చు.
    — CSR ద్వారా యాక్సెస్ చేయడానికి TX/RX ప్యాకెట్ స్టాటిస్టిక్ స్టేటస్ అందుబాటులో ఉంది.

టైమ్ ఆఫ్ డే (TOD) సబ్‌సిస్టమ్
TX మరియు RX రెండింటికీ రెండు IEEE 1588 TOD మాడ్యూల్‌లు మరియు ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ ద్వారా రూపొందించబడిన ఒక IEEE 1588 TOD సింక్రోనైజర్ మాడ్యూల్ ఉన్నాయి.
Nios® II సబ్‌సిస్టమ్
Nios II ప్రాసెసర్, టెస్ట్ రేపర్ మరియు Avalon® -MM అడ్రస్ డీకోడర్ బ్లాక్‌ల మధ్య Avalon-MM డేటా ఆర్బిట్రేషన్‌ను అనుమతించే Avalon-MM బ్రిడ్జిని కలిగి ఉంటుంది.
పరీక్ష రేపర్ యొక్క రేటు_స్విచ్ రిజిస్టర్ విలువ నుండి అవుట్‌పుట్ ఆధారంగా డేటా రేట్ స్విచింగ్‌ను నిర్వహించడానికి Nios II బాధ్యత వహిస్తుంది. ఈ బ్లాక్ టెస్ట్ రేపర్ నుండి ఆదేశాన్ని స్వీకరించిన తర్వాత అవసరమైన రిజిస్టర్‌ను ప్రోగ్రామ్ చేస్తుంది.

గమనిక: ఈ బ్లాక్ డిజైన్ ఎక్స్‌లో లేదుample Intel Arria 10 మరియు Intel Agilex 7 F-టైల్ పరికరాల కోసం రూపొందించబడింది.
సిస్టమ్ కన్సోల్
మొదటి-స్థాయి డీబగ్గింగ్ చేయడానికి మరియు IP యొక్క స్థితిని మరియు ట్రాఫిక్ జనరేటర్లు మరియు చెకర్‌లను పర్యవేక్షించడానికి మీ కోసం వినియోగదారు-స్నేహపూర్వక ఇంటర్‌ఫేస్‌ను అందిస్తుంది.
డెమో నియంత్రణ
ఈ మాడ్యూల్‌లో రీసెట్ సింక్రోనైజర్ మాడ్యూల్స్ మరియు డిజైన్ సిస్టమ్ డీబగ్గింగ్ మరియు ఇనిషియలైజేషన్ ప్రాసెస్ కోసం ఇన్-సిస్టమ్ సోర్స్ మరియు ప్రోబ్ (ISSP) మాడ్యూల్స్ ఉంటాయి.

సంబంధిత సమాచారం

  • 25G ఈథర్నెట్ ఇంటెల్ స్ట్రాటిక్స్ 10 FPGA IP యూజర్ గైడ్
  • ఇ-టైల్ హార్డ్ IP యూజర్ గైడ్
  • eCPRI ఇంటెల్ FPGA IP యూజర్ గైడ్
  • 25G ఈథర్నెట్ ఇంటెల్ స్ట్రాటిక్స్ 10 FPGA IP డిజైన్ Example యూజర్ గైడ్
  • ఇంటెల్ స్ట్రాటిక్స్ 10 డిజైన్ ఎక్స్ కోసం ఇ-టైల్ హార్డ్ IPampలెస్ యూజర్ గైడ్
  • Intel Stratix 10 L- మరియు H-టైల్ ట్రాన్స్‌సీవర్ PHY యూజర్ గైడ్
  • E-టైల్ ట్రాన్స్‌సీవర్ PHY యూజర్ గైడ్
  • ఇంటెల్ స్ట్రాటిక్స్ 10 10GBASE-KR PHY IP యూజర్ గైడ్
  • ఇ-టైల్ హార్డ్ IP ఇంటెల్ అజిలెక్స్ డిజైన్ ఎక్స్ample యూజర్ గైడ్

2.3 అనుకరణ డిజైన్ Example
eCPRI డిజైన్ మాజీample అనుకరణ టెస్ట్‌బెంచ్ మరియు అనుకరణను ఉత్పత్తి చేస్తుంది fileమీరు సిమ్యులేషన్ లేదా సింథసిస్ & సిమ్యులేషన్ ఎంపికను ఎంచుకున్నప్పుడు eCPRI Intel FPGA IP కోర్‌ను ప్రారంభిస్తుంది.

మూర్తి 9. eCPRI ఇంటెల్ FPGA IP అనుకరణ బ్లాక్ రేఖాచిత్రంeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 9

గమనిక: Nios II సబ్‌సిస్టమ్ బ్లాక్ డిజైన్ ఎక్స్‌లో లేదుample Intel Arria 10 మరియు Intel Agilex 7 F-టైల్ పరికరాల కోసం రూపొందించబడింది.
ఈ డిజైన్‌లో మాజీample, అనుకరణ టెస్ట్‌బెంచ్ స్టార్టప్ మరియు లాక్ కోసం వేచి ఉండటం, ప్యాకెట్‌లను ప్రసారం చేయడం మరియు స్వీకరించడం వంటి ప్రాథమిక కార్యాచరణను అందిస్తుంది.

విజయవంతమైన పరీక్ష రన్ క్రింది ప్రవర్తనను నిర్ధారిస్తూ అవుట్‌పుట్‌ని ప్రదర్శిస్తుంది:

  1. క్లయింట్ లాజిక్ IP కోర్ని రీసెట్ చేస్తుంది.
  2. క్లయింట్ లాజిక్ RX డేటాపాత్ అమరిక కోసం వేచి ఉంది.
  3. క్లయింట్ లాజిక్ Avalon-ST ఇంటర్‌ఫేస్‌పై ప్యాకెట్‌లను ప్రసారం చేస్తుంది.
  4. ప్యాకెట్ల కంటెంట్ మరియు ఖచ్చితత్వాన్ని స్వీకరించండి మరియు తనిఖీ చేయండి.
  5. "పరీక్షలో ఉత్తీర్ణత" సందేశాన్ని ప్రదర్శించండి.

2.4 ఇంటర్ఫేస్ సిగ్నల్స్
టేబుల్ 5. డిజైన్ ఎక్స్ample ఇంటర్ఫేస్ సిగ్నల్స్

సిగ్నల్ దిశ వివరణ
clk_ref ఇన్పుట్ ఈథర్నెట్ MAC కోసం సూచన గడియారం.
• Intel Stratix 10 E-tile, Intel Agilex 7 E-tile మరియు F-tile డిజైన్ల కోసం, E-tile Ethernet Hard IP కోర్ లేదా F-tile Ethernet Hard IP కోర్ కోసం 156.25 MHz క్లాక్ ఇన్‌పుట్. ఈథర్నెట్ హార్డ్ IPలో i_clk_ref[0]కి కనెక్ట్ చేయండి.
• Intel Stratix 10 H-టైల్ డిజైన్‌ల కోసం, ట్రాన్స్‌సీవర్ ATX PLL మరియు 322.2625G ఈథర్నెట్ IP కోసం 25 MHz క్లాక్ ఇన్‌పుట్. ట్రాన్స్‌సీవర్ ATX PLLలో pll_refclk0[0]కి మరియు 0G ఈథర్‌నెట్ IPలో clk_ref[25]కి కనెక్ట్ చేయండి.
• Intel Arria 10 డిజైన్‌ల కోసం, ట్రాన్స్‌సీవర్ ATX PLL మరియు 322.265625G/ 1GbE మరియు 10GBase-KR PHY IP కోసం 10 MHz క్లాక్ ఇన్‌పుట్. ట్రాన్స్‌సీవర్ ATX PLLలో pll_refclk0[0]కి మరియు 10G/ 0GbE మరియు 1G BASE-KR PHY IPలో rx_cdr_ref_clk_10g[10]కి కనెక్ట్ చేయండి.
tod_sync_sampling_clk ఇన్పుట్ Intel Arria 10 డిజైన్‌ల కోసం, TOD సబ్‌సిస్టమ్ కోసం 250 MHz క్లాక్ ఇన్‌పుట్.
clk100 ఇన్పుట్ నిర్వహణ గడియారం. PTP కోసం latency_clkని రూపొందించడానికి ఈ గడియారం ఉపయోగించబడుతుంది. 100 MHz వద్ద డ్రైవ్ చేయండి.
mgmt_reset_n ఇన్పుట్ Nios II సిస్టమ్ కోసం సిగ్నల్‌ని రీసెట్ చేయండి.
tx_serial అవుట్‌పుట్ TX సీరియల్ డేటా. 4 ఛానెల్‌ల వరకు మద్దతు ఇస్తుంది.
rx_serial ఇన్పుట్ RX సీరియల్ డేటా. 4 ఛానెల్‌ల వరకు మద్దతు ఇస్తుంది.
iwf_cpri_ehip_ref_clk ఇన్పుట్ ఇ-టైల్ CPRI PHY రిఫరెన్స్ క్లాక్ ఇన్‌పుట్. ఈ గడియారం Intel Stratix 10 E-tile మరియు Intelలో మాత్రమే ఉంది
అజిలెక్స్ 7 ఇ-టైల్ డిజైన్‌లు. 153.6 Gbps CPRI లైన్ రేట్ కోసం 9.8 MHz వద్ద డ్రైవ్ చేయండి.
iwf_cpri_pll_refclk0 అవుట్‌పుట్ CPRI TX PLL సూచన గడియారం.
• Intel Stratix 10 H-టైల్ డిజైన్‌ల కోసం: CPRI డేటా రేట్ 307.2 Gbps కోసం 9.8 MHz వద్ద డ్రైవ్ చేయండి.
• Intel Stratix 10 E-tile మరియు Intel Agilex 7 E- టైల్ డిజైన్‌ల కోసం: CPRI డేటా రేట్ 156.25 Gbps కోసం 9.8 MHz వద్ద డ్రైవ్ చేయండి.
iwf_cpri_xcvr_cdr_refclk అవుట్‌పుట్ CPRI రిసీవర్ CDR సూచన గడియారం. ఈ గడియారం Intel Stratix 10 H-టైల్ డిజైన్‌లలో మాత్రమే ఉంది.
307.2 Gbps CPRI లైన్ రేట్ కోసం 9.8 MHz వద్ద డ్రైవ్ చేయండి.
iwf_cpri_xcvr_txdataout అవుట్‌పుట్ CPRI సీరియల్ డేటాను ప్రసారం చేస్తుంది. 4 ఛానెల్‌ల వరకు మద్దతు ఇస్తుంది.
iwf_cpri_xcvr_rxdatain అవుట్‌పుట్ CPRI రిసీవర్ సీరియల్ డేటా. 4 ఛానెల్‌ల వరకు మద్దతు ఇస్తుంది.
cpri_gmii_clk ఇన్పుట్ CPRI GMII 125 MHz ఇన్‌పుట్ గడియారం.

సంబంధిత సమాచారం
PHY ఇంటర్ఫేస్ సిగ్నల్స్
25G ఈథర్నెట్ ఇంటెల్ FPGA IP యొక్క PHY ఇంటర్‌ఫేస్ సిగ్నల్‌లను జాబితా చేస్తుంది.

2.5. డిజైన్ Example రిజిస్టర్ మ్యాప్
క్రింద eCPRI IP కోర్ డిజైన్ కోసం రిజిస్టర్ మ్యాపింగ్ ఉందిampలే:
పట్టిక 6. eCPRI ఇంటెల్ FPGA IP డిజైన్ Example రిజిస్టర్ మ్యాపింగ్

చిరునామా  నమోదు చేసుకోండి
0x20100000 – 0x201FFFFF(2) IOPLL రీ-కాన్ఫిగరేషన్ రిజిస్టర్.
0x20200000 – 0x203FFFFF ఈథర్నెట్ MAC అవలోన్-MM రిజిస్టర్
0x20400000 – 0x205FFFFF ఈథర్నెట్ MAC స్థానిక PHY Avalon-MM రిజిస్టర్
0x20600000 – 0x207FFFFF(2) స్థానిక PHY RS-FEC అవలోన్-MM రిజిస్టర్.
0x40000000 – 0x5FFFFFFF eCPRI IP అవలోన్-MM రిజిస్టర్
0x80000000 – 0x9FFFFFFF ఈథర్నెట్ డిజైన్ టెస్ట్ జనరేటర్/వెరిఫైయర్ Avalon-MM రిజిస్టర్

టేబుల్ 7. నియోస్ II రిజిస్టర్ మ్యాపింగ్
దిగువ పట్టికలోని రిజిస్టర్‌లు డిజైన్ ఎక్స్‌లో మాత్రమే అందుబాటులో ఉన్నాయిample Intel Stratix 10 లేదా Intel Agilex 7 E-టైల్ పరికరాల కోసం రూపొందించబడింది.

చిరునామా  నమోదు చేసుకోండి
0x00100000 – 0x001FFFFF IOPLL రీ-కాన్ఫిగరేషన్ రిజిస్టర్
0x00200000 – 0x003FFFFF ఈథర్నెట్ MAC అవలోన్-MM రిజిస్టర్
0x00400000 – 0x005FFFFF ఈథర్నెట్ MAC స్థానిక PHY Avalon-MM రిజిస్టర్
0x00600000 – 0x007FFFFF స్థానిక PHY RS-FEC అవలోన్-MM రిజిస్టర్

గమనిక: మీరు బైట్ ఆఫ్‌సెట్‌కు బదులుగా వర్డ్ ఆఫ్‌సెట్‌ని ఉపయోగించి ఈథర్నెట్ MAC మరియు ఈథర్నెట్ MAC స్థానిక PHY AVMM రిజిస్టర్‌లను యాక్సెస్ చేయవచ్చు.
ఈథర్‌నెట్ MAC, ఈథర్‌నెట్ MAC నేటివ్ PHY మరియు eCPRI IP కోర్ రిజిస్టర్ మ్యాప్‌లపై వివరణాత్మక సమాచారం కోసం, సంబంధిత యూజర్ గైడ్‌లను చూడండి.

(2)ఉదా డిజైన్‌లో మాత్రమే అందుబాటులో ఉందిample Intel Stratix 10 మరియు Intel Agilex 7 E-టైల్ పరికరాల కోసం రూపొందించబడింది.

టేబుల్ 8. eCPRI Intel FPGA IP హార్డ్‌వేర్ డిజైన్ Example రిజిస్టర్ మ్యాప్

వర్డ్ ఆఫ్‌సెట్  నమోదు రకం  డిఫాల్ట్ విలువ  యాక్సెస్ రకం
0x0 డేటా పంపడం ప్రారంభించండి:
• బిట్ 1: PTP, PTP కాని రకం
• బిట్ 0: eCPRI రకం
0x0 RW
0x1 నిరంతర ప్యాకెట్ ప్రారంభించు 0x0 RW
0x2 క్లియర్ ఎర్రర్ 0x0 RW
0x3 (3) రేటు స్విచ్:
• బిట్ [7]- టైల్‌ను సూచిస్తుంది:
— 1'b0: H-టైల్
- 1'b1: ఇ-టైల్
• బిట్ [6:4]- ఈథర్నెట్ డేటా రేట్ మారడాన్ని సూచిస్తుంది:
— 3'b000: 25G నుండి 10G
— 3'b001: 10G నుండి 25G
• బిట్ [0]- స్విచ్ రేట్ ఎనేబుల్. రేటు మారడం కోసం ఈ బిట్ 0ని సెట్ చేసి, బిట్ 0 స్పష్టంగా కనిపించే వరకు పోల్ చేయాల్సి ఉంటుంది.
గమనిక: Intel Agilex 7 F-tile మరియు Intel Arria 10 డిజైన్‌లకు ఈ రిజిస్టర్ అందుబాటులో లేదు.
• ఇ-టైల్: 0x80
• H-టైల్: 0x0
RW
0x4 (3) రేటు స్విచ్ పూర్తయింది:
• బిట్ [1] రేటు స్విచ్చింగ్ పూర్తయినట్లు సూచిస్తుంది.
0x0 RO
0x5 (4) సిస్టమ్ కాన్ఫిగరేషన్ స్థితి:
• బిట్ [31]: సిస్టమ్ సిద్ధంగా ఉంది
• బిట్ [30]: IWF_EN
• బిట్ [29]: STARTUP_SEQ_EN
• బిట్ [28:4]: రిజర్వ్ చేయబడింది
• బిట్ [3]: EXT_PACKET_EN
• బిట్ [2:0]: రిజర్వ్ చేయబడింది
0x0 RO
0x6 (4) CPRI చర్చలు పూర్తయ్యాయి:
• బిట్ [3:0]: బిట్ రేట్ పూర్తయింది
• బిట్ [19:16]: ప్రోటోకాల్ పూర్తయింది
0x0 RW
0x7 (4) CPRI చర్చలు పూర్తయ్యాయి:
• బిట్ [3:0]: వేగవంతమైన C&M పూర్తయింది
• బిట్ [19:16]: వేగవంతమైన VSS పూర్తయింది
0x0 RW
0x8 - 0x1F రిజర్వ్ చేయబడింది.
0x20 eCPRI లోపం అంతరాయం:
• బిట్ [0] అంతరాయాన్ని సూచిస్తుంది.
0x0 RO
0x21 బాహ్య ప్యాకెట్ల లోపం 0x0 RO
0x22 బాహ్య PTP ప్యాకెట్లు TX ప్యాకెట్ ప్రారంభం (SOP) కౌంట్ 0x0 RO
0x23 బాహ్య PTP ప్యాకెట్లు TX ప్యాకెట్ ముగింపు (EOP) కౌంట్ 0x0 RO
0x24 బాహ్య ఇతర ప్యాకెట్లు TX SOP కౌంట్ 0x0 RO
0x25 బాహ్య ఇతర ప్యాకెట్లు TX EOP కౌంట్ 0x0 RO
0x26 బాహ్య RX ప్యాకెట్లు SOP కౌంట్ 0x0 RO
0x27 బాహ్య RX ప్యాకెట్లు EOP కౌంట్ 0x0 RO
0x28 బాహ్య ప్యాకెట్ల ఎర్రర్ కౌంట్ 0x0 RO
0x29 - 0x2C రిజర్వ్ చేయబడింది.
0x2D బాహ్య PTP టైమ్‌స్ట్amp వేలిముద్ర లోపం గణన 0x0 RO
0x2E బాహ్య PTP టైమ్‌స్ట్amp వేలిముద్ర లోపం 0x0 RO
0x2F బాహ్య Rx లోపం స్థితి 0x0 RO
0x30 - 0x47 రిజర్వ్ చేయబడింది.
0x48 eCPRI ప్యాకెట్‌ల లోపం RO
0x49 eCPRI TX SOP కౌంట్ RO
0x4A eCPRI TX EOP కౌంట్ RO
0x4B eCPRI RX SOP కౌంట్ RO
0x4 సి eCPRI RX EOP కౌంట్ RO
0x4D eCPRI ప్యాకెట్ల ఎర్రర్ కౌంట్ RO

సంబంధిత సమాచారం

  • నియంత్రణ, స్థితి మరియు గణాంకాల రిజిస్టర్ వివరణలు
    25G ఈథర్నెట్ స్ట్రాటిక్స్ 10 FPGA IP కోసం సమాచారాన్ని నమోదు చేయండి
  • రీకాన్ఫిగరేషన్ మరియు స్టేటస్ రిజిస్టర్
    వివరణలు ఈథర్నెట్ కోసం E-టైల్ హార్డ్ IP కోసం సమాచారాన్ని నమోదు చేయండి
  • నమోదు చేస్తుంది
    eCPRI Intel FPGA IP కోసం సమాచారాన్ని నమోదు చేయండి

eCPRI ఇంటెల్ FPGA IP డిజైన్ Example యూజర్ గైడ్ ఆర్కైవ్స్

ఈ వినియోగదారు గైడ్ యొక్క తాజా మరియు మునుపటి సంస్కరణల కోసం, eCPRI Intel FPGA IP డిజైన్ Exని చూడండిample యూజర్ గైడ్ HTML వెర్షన్. సంస్కరణను ఎంచుకుని, డౌన్‌లోడ్ క్లిక్ చేయండి. IP లేదా సాఫ్ట్‌వేర్ వెర్షన్ జాబితా చేయబడకపోతే, మునుపటి IP లేదా సాఫ్ట్‌వేర్ వెర్షన్ కోసం వినియోగదారు గైడ్ వర్తిస్తుంది.

eCPRI Intel FPGA IP డిజైన్ కోసం డాక్యుమెంట్ పునర్విమర్శ చరిత్ర Example యూజర్ గైడ్

డాక్యుమెంట్ వెర్షన్ ఇంటెల్ క్వార్టస్
ప్రైమ్ వెర్షన్
IP వెర్షన్ మార్పులు
2023.05.19 23.1 2.0.3 • డిజైన్ ఎక్స్‌ని అనుకరించడం అప్‌డేట్ చేయబడిందిampక్విక్ స్టార్ట్ గైడ్ చాప్టర్‌లోని టెస్ట్‌బెంచ్ విభాగం.
• ఉత్పత్తి కుటుంబ పేరు "Intel Agilex 7"కి నవీకరించబడింది.
2022.11.15 22.3 2.0.1 విభాగంలో VCS సిమ్యులేటర్ కోసం నవీకరించబడిన సూచనలు: డిజైన్ ఎక్స్‌ని అనుకరించడంample టెస్ట్బెంచ్.
2022.07.01 22.1 1.4.1 • హార్డ్‌వేర్ డిజైన్ మాజీ జోడించబడిందిampIntel Agilex 7 F-టైల్ పరికర వైవిధ్యాలకు le మద్దతు.
• కింది డెవలప్‌మెంట్ కిట్‌లకు మద్దతు జోడించబడింది:
— Intel Agilex 7 I-సిరీస్ FPGA డెవలప్‌మెంట్ కిట్
— Intel Agilex 7 I-Series Transceiver-SoC డెవలప్‌మెంట్ కిట్
• QuestaSim సిమ్యులేటర్‌కు మద్దతు జోడించబడింది.
• ModelSim* SE సిమ్యులేటర్‌కు మద్దతు తీసివేయబడింది.
2021.10.01 21.2 1.3.1 • Intel Agilex 7 F-టైల్ పరికరాలకు మద్దతు జోడించబడింది.
• బహుళ-ఛానల్ డిజైన్‌లకు మద్దతు జోడించబడింది.
• నవీకరించబడిన పట్టిక: eCPRI Intel FPGA IP హార్డ్‌వేర్ డిజైన్ Example రిజిస్టర్ మ్యాప్.
• NCSim సిమ్యులేటర్‌కు మద్దతు తీసివేయబడింది.
2021.02.26 20.4 1.3.0 • Intel Agilex 7 E-tile పరికరాలకు మద్దతు జోడించబడింది.
2021.01.08 20.3 1.2.0 • eCPRI Intel Stratix 10 FPGA IP డిజైన్ Ex నుండి పత్రం శీర్షిక మార్చబడిందిample వినియోగదారు గైడ్
eCPRI ఇంటెల్ FPGA IP డిజైన్ Example యూజర్ గైడ్.
• Intel Arria 10 డిజైన్‌లకు మద్దతు జోడించబడింది.
• eCPRI IP డిజైన్ మాజీample ఇప్పుడు ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) ఫీచర్ మద్దతుతో అందుబాటులో ఉంది.
• eCPRI డిజైన్ మాజీని స్పష్టం చేయడానికి గమనిక జోడించబడిందిampIWF ఫీచర్‌తో le 9.8 Gbps CPRIకి మాత్రమే అందుబాటులో ఉంది
లైన్ బిట్ రేటు.
• డిజైన్ మాజీని రూపొందించేటప్పుడు డిజైన్‌ను రూపొందించే విభాగంలో షరతులు జోడించబడ్డాయిampతో le
ఇంటర్‌వర్కింగ్ ఫంక్షన్ (IWF) మద్దతు పరామితి ప్రారంభించబడింది.
• చేర్చబడిన లుampడిజైన్‌ను అనుకరిస్తున్న విభాగంలో ప్రారంభించబడిన IWF ఫీచర్‌తో le సిమ్యులేషన్ టెస్ట్ రన్ అవుట్‌పుట్
Example టెస్ట్బెంచ్.
• ఈథర్నెట్ IPకి డైనమిక్ రీకాన్ఫిగరేషన్‌ని ప్రారంభించే కొత్త విభాగం జోడించబడింది.
• నవీకరించబడిన హార్డ్‌వేర్ పరీక్ష లుampవిభాగంలో le అవుట్‌పుట్
eCPRI Intel FPGA IP డిజైన్ ఎక్స్‌ని పరీక్షిస్తోందిample.
2020.06.15 20.1 1.1.0 • 10G డేటా రేటుకు మద్దతు జోడించబడింది.
• ఫ్లో.సి file ఇప్పుడు డిజైన్ ఎక్స్‌తో అందుబాటులో ఉందిampలూప్‌బ్యాక్ మోడ్‌ని ఎంచుకోవడానికి le తరం.
• లను సవరించారుampడిజైన్ ఎక్స్‌ని అనుకరించే విభాగంలో అనుకరణ పరీక్ష రన్ కోసం le అవుట్‌పుట్ample టెస్ట్బెంచ్.
• విభాగంలో కంపైలింగ్ మరియు కాన్ఫిగర్ చేయడంలో 10G డేటా రేట్ డిజైన్‌ను అమలు చేయడానికి ఫ్రీక్వెన్సీ విలువ జోడించబడింది
డిజైన్ ఎక్స్ampహార్డ్‌వేర్‌లో లే.
• eCPRI Intel FPGA IP డిజైన్ Exని పరీక్షిస్తున్న విభాగంలో కింది మార్పులు చేయబడ్డాయిampలే:
— 10G మరియు 25G మధ్య డేటా రేటును మార్చడానికి ఆదేశాలు జోడించబడ్డాయి
- చేర్చబడిన లుampడేటా రేటు స్విచ్చింగ్ కోసం le అవుట్పుట్
— E-టైల్ పరికర వైవిధ్యాలలో లూప్‌బ్యాక్‌ని ఎంచుకోవడానికి TEST_MODE వేరియబుల్ సమాచారం జోడించబడింది.
• సవరించిన eCPRI Intel FPGA IP హార్డ్‌వేర్ డిజైన్ Exampలెస్ హై లెవెల్ బ్లాక్ రేఖాచిత్రం కొత్తది చేర్చడానికి
బ్లాక్స్.
• నవీకరించబడిన పట్టిక: డిజైన్ Exampకొత్త సిగ్నల్‌ను చేర్చడానికి ఇంటర్‌ఫేస్ సిగ్నల్స్.
• అప్‌డేట్ చేయబడిన డిజైన్ Example రిజిస్టర్ మ్యాప్ విభాగం.
• కొత్త అనుబంధం విభాగం జోడించబడింది: ఎక్జిక్యూటబుల్ మరియు లింకింగ్ ఫార్మాట్ (.elf) ప్రోగ్రామింగ్‌ని రూపొందించడం మరియు డౌన్‌లోడ్ చేయడం File .
2020.04.13 19.4 1.1.0 ప్రారంభ విడుదల.

ఎ. ఎక్జిక్యూటబుల్ మరియు లింకింగ్ ఫార్మాట్ (.elf) ప్రోగ్రామింగ్‌ను రూపొందించడం మరియు డౌన్‌లోడ్ చేయడం File

ఈ విభాగం .elfని ఎలా రూపొందించాలో మరియు డౌన్‌లోడ్ చేయాలో వివరిస్తుంది file బోర్డుకి:

  1. డైరెక్టరీని మార్చండిample_dir>/సింథసిస్/క్వాటస్.
  2. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో, ఓపెన్ ప్రాజెక్ట్‌ని క్లిక్ చేసి, తెరవండిample_dir>/synthesis/quartus/epri_ed.qpf. ఇప్పుడు ఎక్లిప్స్ కోసం టూల్స్ ➤ Nios II సాఫ్ట్‌వేర్ బిల్డ్ టూల్స్ ఎంచుకోండి.
    మూర్తి 10. నియోస్ II సాఫ్ట్‌వేర్ ఎక్లిప్స్ కోసం బిల్డ్ టూల్స్eCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 10
  3. వర్క్‌స్పేస్ లాంచర్ విండో ప్రాంప్ట్ కనిపిస్తుంది. కార్యస్థలంలో మార్గాన్ని ఇలా పేర్కొనండిampమీ ఎక్లిప్స్ ప్రాజెక్ట్‌ను నిల్వ చేయడానికి le_dir>/సింథసిస్/క్వాటస్. కొత్త Nios II - ఎక్లిప్స్ విండో కనిపిస్తుంది.
    మూర్తి 11. వర్క్‌స్పేస్ లాంచర్ విండోeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 11
  4. నియోస్ II - ఎక్లిప్స్ విండోలో, ప్రాజెక్ట్ ఎక్స్‌ప్లోరర్ ట్యాబ్ కింద కుడి-క్లిక్ చేసి, కొత్త ➤ నియోస్ II బోర్డ్ సపోర్ట్ ప్యాకేజీని ఎంచుకోండి. కొత్త విండో కనిపిస్తుంది.
    మూర్తి 12. ప్రాజెక్ట్ ఎక్స్‌ప్లోరర్ ట్యాబ్eCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 12
  5. Nios II బోర్డ్ సపోర్ట్ ప్యాకేజీ విండోలో:
    • ప్రాజెక్ట్ పేరు పరామితిలో, మీకు కావలసిన ప్రాజెక్ట్ పేరును పేర్కొనండి.
    • SOPC సమాచారంలో File పేరు పరామితి, యొక్క స్థానానికి బ్రౌజ్ చేయండిample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. ముగించు క్లిక్ చేయండి.
    మూర్తి 13. Nios II బోర్డు మద్దతు ప్యాకేజీ విండోeCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 13
  6. కొత్తగా సృష్టించిన ప్రాజెక్ట్ నియోస్ II ఎక్లిప్స్ విండోలో ప్రాజెక్ట్ ఎక్స్‌ప్లోరర్ ట్యాబ్ క్రింద కనిపిస్తుంది. ప్రాజెక్ట్ ఎక్స్‌ప్లోరర్ ట్యాబ్ కింద కుడి-క్లిక్ చేసి, Nios II ➤ Nios II కమాండ్ షెల్‌ను ఎంచుకోండి.
    మూర్తి 14. ప్రాజెక్ట్ ఎక్స్‌ప్లోరర్- నియోస్ II కమాండ్ షెల్eCPRI ఇంటెల్ FPGA IP డిజైన్ - మూర్తి 14
  7. Nios II కమాండ్ షెల్‌లో, కింది మూడు ఆదేశాలను టైప్ చేయండి: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir యాప్ –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. ది .elf file కింది స్థానంలో ఉత్పత్తి చేయబడింది:ample_dir>/ సంశ్లేషణ/ip_components/software/ /యాప్.
  9. .elfని బోర్డుకి డౌన్‌లోడ్ చేయడానికి Nios II కమాండ్ షెల్‌లో కింది ఆదేశాన్ని టైప్ చేయండి:
    • Intel Stratix 10 కోసం: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Intel Agilex 7 కోసం: nios2-డౌన్‌లోడ్ -g -r -c 1 -d 1 –accept-bad-sysid యాప్/nios_system.elf

ఇంటెల్ లోగోeCPRI ఇంటెల్ FPGA IP డిజైన్ - చిహ్నం ఆన్లైన్ వెర్షన్
eCPRI ఇంటెల్ FPGA IP డిజైన్ - చిహ్నం 1 అభిప్రాయాన్ని పంపండి
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP డిజైన్ Example యూజర్ గైడ్

పత్రాలు / వనరులు

ఇంటెల్ eCPRI ఇంటెల్ FPGA IP డిజైన్ [pdf] యూజర్ గైడ్
eCPRI ఇంటెల్ FPGA IP డిజైన్, eCPRI, ఇంటెల్ FPGA IP డిజైన్, FPGA IP డిజైన్, IP డిజైన్, డిజైన్

సూచనలు

వ్యాఖ్యానించండి

మీ ఇమెయిల్ చిరునామా ప్రచురించబడదు. అవసరమైన ఫీల్డ్‌లు గుర్తించబడ్డాయి *