logo InteleCPRI Intel® FPGA IP Design
Example alakaʻi hoʻohana
Hōʻano hou ʻia no Intel®
Quartus®
Hui Hoʻolālā Nui: 23.1
Manaʻo IP: 2.0.3

Alakaʻi hoʻomaka wikiwiki

Hoʻohana ka Intel® FPGA IP core i hoʻonui ʻia ʻo Common Public Radio Interface (eCPRI) i ka mana kikoʻī eCPRI 2.0. Hāʻawi ka eCPRI Intel FPGA IP i kahi hōʻike hōʻike simulation a me kahi hoʻolālā ʻenehana example e kākoʻo ana i ka hoʻopili ʻana a me ka hoʻāʻo ʻana i nā lako. Ke hana ʻoe i ka hoʻolālā example, hana 'akomi ka mea hooponopono parameter i ka files pono e simulate, hōʻuluʻulu, a ho'āʻo i ka hoʻolālā example i ka lako lako.
ʻO ka hoʻolālā ʻenehana i hōʻuluʻulu ʻia example holo ma:

  • Intel Agilex™ 7 I-Series FPGA Development Kit
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit
  • Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit no ka H-tile design examples
  • ʻO Intel Stratix 10 TX Transceiver Signal Integrity Development Kit no ka hoʻolālā E-tile examples
  • ʻO Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

Hāʻawi ʻo Intel i kahi ex compilation-wale nōampka papahana hiki iā ʻoe ke hoʻohana no ka hoʻohālikelike wikiwiki ʻana i ka wahi IP a me ka manawa.
ʻO ka papa hōʻike a me ka hoʻolālā exampKākoʻo ʻo ia i nā helu data 25G a me 10G no Intel Stratix 10 H-tile a i ʻole E-tile a me Intel Agilex 7 E-tile a i ʻole F-tile mea hoʻololi o ka eCPRI IP.

Nānā: ʻO ka hoʻolālā IP eCPRI example with interworking function (IWF) loaʻa wale no 9.8 Gbps CPRI laina bit rate i ka hoʻokuʻu ʻana i kēia manawa.
Nānā: ʻO ka hoʻolālā IP eCPRI exampʻAʻole kākoʻo ʻo ia i ka hoʻonohonoho hou ʻana no ka helu data 10G ma nā hoʻolālā Intel Arria 10.

ʻO ka eCPRI Intel FPGA IP core design exampLe kākoʻo i kēia mau hiʻohiʻona:

  • Kūloko TX i RX mode loopback
  • mīkini hana kaʻa a me ka mea nānā
  • Nā mea hiki ke hoʻopaʻa packet maʻamau
  • Hiki ke hoʻohana i ka System Console e holo i ka hoʻolālā a hoʻonohonoho hou i ka hoʻolālā no ka hoʻāʻo hou ʻana

Huina Intel. Ua mālama ʻia nā kuleana āpau. ʻO Intel, ka Intel logo, a me nā hōʻailona Intel ʻē aʻe he mau hōʻailona o Intel Corporation a i ʻole kāna mau lālā. Mālama ʻo Intel i ka hana o kāna mau huahana FPGA a me semiconductor i nā kikoʻī o kēia manawa e like me ka palapala hōʻoia maʻamau o Intel, akā aia ke kuleana e hoʻololi i nā huahana a me nā lawelawe i kēlā me kēia manawa me ka ʻole o ka hoʻolaha. ʻAʻole ʻo Intel i kuleana a i ʻole kuleana e puka mai ana mai ka noi a i ʻole ka hoʻohana ʻana i kekahi ʻike, huahana, a i ʻole lawelawe i wehewehe ʻia ma ʻaneʻi koe wale nō i ʻae ʻia ma ke kākau ʻana e Intel. Manaʻo ʻia nā mea kūʻai aku Intel e loaʻa i ka mana hou o nā kikoʻī o nā hāmeʻa ma mua o ka hilinaʻi ʻana i kekahi ʻike i paʻi ʻia a ma mua o ke kau ʻana i nā kauoha no nā huahana a i ʻole nā ​​​​lawelawe. * Hiki ke koi ʻia nā inoa a me nā hōʻailona ʻē aʻe ma ke ʻano he waiwai o nā poʻe ʻē aʻe.

ISO 9001:2015 Kakau

Kiʻi 1. Nā Kaʻina Hana no ka Hoʻolālā ExampleeCPRI Intel FPGA IP Design - Kiʻi 1

ʻIke pili

  • eCPRI Intel FPGA IP alakaʻi hoʻohana
  • eCPRI Intel FPGA IP Release Notes

1.1. Pono nā lako lako a me nā lako polokalamu
E ho'āʻo i ka exampe hoʻolālā, e hoʻohana i ka lako a me ka lako polokalamu:

  • ʻO ka polokalamu polokalamu polokalamu Intel Quartus® Prime Pro Edition 23.1
  • Pūnaehana Console
  • Nā Simulators i kākoʻo ʻia:
    — Siemens* EDA QuestaSim*
    — Nā huaʻōlelo * VCS *
    — Synopsys VCS MX
    — Aldec* Riviera-PRO*
    — Kaʻiʻo * Xcelium *
  • Mea hoʻomohala:
    — Intel Agilex 7 I-Series FPGA Development Kit
    — Intel Agilex 7 I-Series Transceiver-SoC Development Kit
    — Intel Agilex 7 F-Series Transceiver-SoC Development Kit
    — Intel Stratix 10 GX Transceiver Signal Integrity Development Kit no ka hoʻolālā ʻokoʻa ʻana o ka hāmeʻa H-tile example
    — Intel Stratix 10 TX Transceiver Signal Integrity Development no ka hoʻolālā ʻokoʻa ʻana o ka hāmeʻa E-tile example
    — Intel Arria 10 GX Transceiver Signal Integrity Development Kit

ʻIke pili

  • ʻO Intel Agilex 7 I-Series FPGA Development Kit Guide Guide
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit Ke alakaʻi hoʻohana
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Ke alakaʻi hoʻohana
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Guide User Guide
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Guide User Guide
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Guide User Guide

1.2. Hana i ka Hoʻolālā
Pono: Ke loaʻa iā ʻoe ka eCPRI web-core IP, mālama i ka web-mea hoʻonoho kumu i ka wahi kūloko. E holo i ka mea hoʻonoho me Windows/Linux. Ke koi ʻia, e hoʻokomo i ka webi ka wahi like me ka waihona Intel Quartus Prime.
ʻIke ʻia ka eCPRI Intel FPGA IP ma ka IP Catalog.
Inā ʻaʻole i loaʻa iā ʻoe kahi papahana Intel Quartus Prime Pro Edition kahi e hoʻohui ai i kāu eCPRI Intel FPGA IP core, pono ʻoe e hana i hoʻokahi.

  1. Ma ka polokalamu Intel Quartus Prime Pro Edition, kaomi File ➤ New Project Wizard e hana i kahi papahana Intel Quartus Prime hou, a i ʻole kaomi File ➤ Open Project e wehe i kahi papahana Intel Quartus Prime. Koi ka wizard iā ʻoe e kuhikuhi i kahi mea hana.
  2. E wehewehe i ka ʻohana hāmeʻa a me kahi hāmeʻa i kūpono i nā koi o ka māka wikiwiki.
  3. Kaomi Hoʻopau.
  4. Ma ka IP Catalog, e huli a kaomi pālua i ka eCPRI Intel FPGA IP. Hōʻike ʻia ka puka aniani IP Variant hou.

E hahai i kēia mau ʻanuʻu no ka hoʻohua ʻana i ka eCPRI IP hardware design example and testbench:

  1. Ma ka IP Catalog, e huli a kaomi pālua i ka eCPRI Intel FPGA IP. Hōʻike ʻia ka puka aniani IP Variant hou.
  2. Kaomi OK. Hōʻike ʻia ka mea hoʻoponopono hoʻohālikelike.
    Kiʻi 2. Example Design Tab i ka eCPRI Intel FPGA IP Parameter EditoreCPRI Intel FPGA IP Design - Kiʻi 2
  3. E wehewehe i kahi inoa kiʻekiʻe no kāu hoʻololi IP maʻamau. Mālama ka mea hoʻoponopono hoʻoponopono i nā hoʻonohonoho hoʻololi IP ma kahi file inoa ʻia .ip.
  4. Kaomi OK. Hōʻike ʻia ka mea hoʻoponopono hoʻohālikelike.
  5. Ma ka ʻaoʻao General, e kuhikuhi i nā ʻāpana no kāu hoʻololi kumu IP.
    Nānā: • Pono ʻoe e hoʻā i ka palena Streaming ma ka eCPRI IP parameter hoʻoponopono ke hoʻopuka ʻoe i ka hoʻolālā example me Interworking Function (IWF) Kākoʻo koho i hiki,
    • Pono ʻoe e hoʻonoho i ka CPRI Line Bit Rate (Gbit/s) iā ʻē aʻe i ka wā e hana ai i ka hoʻolālā example me Interworking Function (IWF) Kākoʻo ʻia ka ʻāpana.
  6. Ma ka Example Design tab, koho i ke koho simulation e hoʻohua i ka papa hoʻāʻo, koho i ka koho synthesis e hoʻohua i ka ʻenehana exampka hoʻolālā, a koho i ke koho synthesis a me ka simulation e hoʻohua i ka papa hoʻokolohua a me ka hoʻolālā ʻenehana example.
  7. No ka ʻōlelo no ka hoʻohālike kiʻekiʻe file, koho iā Verilog a i ʻole VHDL.
    Nānā: Loaʻa kēia koho ke koho ʻoe i ke koho Simulation no kāu examphoʻolālā.
  8. No ka ʻōlelo no ka hoʻohui ʻana o ka pae kiʻekiʻe file, koho iā Verilog a i ʻole VHDL.
    Nānā: Loaʻa kēia koho inā koho ʻoe i ke koho Synthesis no kāu examphoʻolālā.
  9. No ka helu o nā kaha, hiki iā ʻoe ke hoʻokomo i ka helu o nā kaha (1 a 4) i manaʻo ʻia no kāu hoʻolālā. ʻO 1 ka waiwai paʻamau.
  10. Kaomi Generate Example Hoʻolālā. ʻO ke koho Example Design Directory puka makani.
  11. Inā makemake ʻoe e hoʻololi i ka hoʻolālā exampke ala papa kuhikuhi a i ʻole ka inoa mai nā kuhi hewa i hōʻike ʻia (ecpri_0_testbench), e nānā i ke ala hou a kākau i ka hoʻolālā hou example inoa papa kuhikuhi.
  12. Kaomi OK.

ʻIke pili
eCPRI Intel FPGA IP alakaʻi hoʻohana
1.3. Papa kuhikuhi
ʻO ka hoʻolālā kumu eCPRI IP example file Aia nā papa kuhikuhi i nā mea i hana ʻia files no ka hoʻolālā example.

Kiʻi 3. Ka Papa kuhikuhi o ka Generated Example HoʻolālāeCPRI Intel FPGA IP Design - Kiʻi 3

Nānā:

  1. Aia wale nō ma Intel Arria 10 IP design example hoʻololi .
  2. Aia wale ma Intel Stratix 10 (H-tile a i ʻole E-tile) IP design example hoʻololi .
  3. Aia wale ma Intel Agilex E-tile IP design example hoʻololi .

Papa 1. eCPRI Intel FPGA IP Core Testbench File Nā wehewehe

File Na inoa  wehewehe
Key Testbench a me ka Simulation Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv pae hoʻāʻo pae kiʻekiʻe file. Hoʻomaka koke ka testbench i ka wīwī DUT a holo i nā hana Verilog HDL e hana a ʻae i nā ʻeke.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT wrapper e hoʻomaka koke ana i ka DUT a me nā mea hoʻāʻo ʻē aʻe.
<design_example_dir>/simulation/ed_fw/flow.c Puna C-code file.
Nā Palapala Hōʻikeʻike
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do ʻO ka palapala Siemens EDA QuestaSim e holo i ka papa hoʻāʻo.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh ʻO ka palapala Synopsys VCS e holo i ka papa hōʻike.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh ʻO ka palapala Synopsys VCS MX (hui pū ʻia ʻo Verilog HDL a me
SystemVerilog me VHDL) e holo i ka papa hōʻike.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl ʻO ka palapala Aldec* Riviera-PRO e holo i ka papa hōʻike.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh ʻO ka palapala Cadence* Xcelium e holo i ka papa hoʻāʻo.

Papa 2. eCPRI Intel FPGA IP Core Hardware Design Example File Nā wehewehe

File Na inoa Nā wehewehe
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Papahana Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Hoʻonohonoho papahana Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Nā Palena Hoʻolālā Synopsys files. Hiki iā ʻoe ke kope a hoʻololi i kēia mau mea files no kāu hoʻolālā Intel Stratix 10 ponoʻī.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Hoʻolālā kiʻekiʻe ʻo Verilog HDL example file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT wrapper e hoʻomaka koke ana i ka DUT a me nā mea hoʻāʻo ʻē aʻe.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Nui file no ke kiʻi ʻana i ka Pūnaehana Console (Loaʻa i nā hoʻolālā Intel Stratix 10 H-tile a me E-tile).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Nui file no ke komo ʻana i ka System Console (Loaʻa i nā hoʻolālā Intel Arria 10).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Nui file no ke komo ʻana i ka System Console (Loaʻa i nā hoʻolālā Intel Agilex 7).

1.4. Hoʻohālike i ka Hoʻolālā Example Hōʻikeʻike
Kiʻi 4. Kaʻina hanaeCPRI Intel FPGA IP Design - Kiʻi 4

E hahai i kēia mau ʻanuʻu e hoʻohālike i ka papa hoʻokolohua:

  1. Ma ke kauoha kauoha, e hoʻololi i ka papa kuhikuhi simulation testbenchample_dir>/simulation/setup_scripts.
  2. No nā ʻano ʻokoʻa o ka mea hana Intel Agilex F-tile, e hahai i kēia mau ʻanuʻu:
    a. E hoʻokele i kaample_dir>/simulation/quartus directory a holo i kēia mau kauoha ʻelua ma lalo nei: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    ʻO kahi ʻē aʻe, hiki iā ʻoe ke wehe i ka papahana ecpri_ed.qpf ma Intel Quartus Prime Pro Edition a hana i ka hui ʻana a hiki i ka Support Logic Generation s.tage.
    b. E hoʻokele i kaample_dir>/simulation/setup_scripts directory.
    c. E holo i kēia kauoha: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. E holo i ka palapala simulation no ka simulator kākoʻo o kāu koho. Hoʻopili ka ʻatikala a holo i ka papa hōʻike ma ka simulator. E nānā i ka papaʻaina Nā ʻanuʻu e hoʻohālike i ka Testbench.
    Nānā: Loaʻa ke kākoʻo ʻōlelo VHDL no ka hoʻohālikelike ʻana me nā simulators QuestaSim a me VCS MX. Loaʻa ke kākoʻo ʻōlelo Verilog no ka hoʻohālikelike ʻana no nā simulators āpau i helu ʻia ma ka Papa: Nā ʻanuʻu e hoʻohālikelike i ka Testbench.
  4. E noʻonoʻo i nā hopena. Hoʻouna a loaʻa mai ka testbench kūleʻa i nā ʻeke, a hōʻike iā "PASSED".

Papa 3. Nā ʻanuʻu e hoʻohālike i ka Testbench

Mea hoʻomeamea Nā kuhikuhi
ʻO QuestaSim Ma ka laina kauoha, e hoʻokomo i ka vsim -do run_vsim.do Inā makemake ʻoe e hoʻohālike me ka lawe ʻole ʻana i ka QuestaSim GUI, e hoʻokomo i ka vsim -c -do run_vsim.do
VCS • Ma ka laina kauoha, e kikokiko sh run_vcs.sh
• E hoʻokele i kaample_dir>/simulation/setup_scripts/ synopsys/vcs a holo i kēia kauoha: sh run_vcs.sh
VCS MX Ma ka laina kauoha, e kikokiko sh run_vcsmx.sh
Riviera-PRO Ma ka laina kauoha, e kikokiko vsim -c -do run_rivierapro.tcl
Nānā: Kākoʻo wale ʻia i nā ʻano hoʻolālā hoʻolālā Intel Stratix 10 H-tile.
Xcelium(1) Ma ka laina kauoha, e kikokiko sh run_xcelium.sh
  1. ʻAʻole kākoʻo ʻia kēia simulator no ka eCPRI Intel FPGA IP design exampi hana ʻia me ka hiʻohiʻona IWF.

Sample Output: sampHōʻike ka hoʻopuka i kahi hoʻāʻo simulation holomua o ka eCPRI IP design example me ka ʻole o ka hiʻohiʻona IWF me ka helu o nā kaha = 4:

# Ke kali nei no ka alignment RX
# RX deskew laka
Ua laka ʻia ka laina laina RX
# Ke kali nei no ka hewa o ka loulou
# Hoʻomaʻemaʻe i ka hewa pili
# ʻŌlelo Kūlana MAC 0_0 Kanal 0: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 0: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 0: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 0: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 0: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 0: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 0: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 0: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 0: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 0: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 0: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 0: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 0: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 0: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 0: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 0: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 0: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 0: 0000ddee
# eCPRI Kaila Mana Maʻamau 0: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 0: 00000241
# mana eCPRI Kanal 0: 2
# ʻŌlelo Kūlana MAC 0_0 Kanal 1: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 1: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 1: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 1: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 1: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 1: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 1: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 1: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 1: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 1: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 1: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 1: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 1: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 1: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 1: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 1: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 1: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 1: 0000ddee
# eCPRI Kaila Mana Maʻamau 1: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 1: 00000241
# mana eCPRI Kanal 1: 2
# ʻŌlelo Kūlana MAC 0_0 Kanal 2: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 2: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 2: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 2: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 2: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 2: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 2: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 2: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 2: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 2: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 2: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 2: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 2: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 2: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 2: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 2: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 2: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 2: 0000ddee
# eCPRI Kaila Mana Maʻamau 2: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 2: 00000241
# mana eCPRI Kanal 2: 2
# ʻŌlelo Kūlana MAC 0_0 Kanal 3: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 3: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 3: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 3: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 3: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 3: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 3: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 3: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 3: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 3: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 3: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 3: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 3: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 3: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 3: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 3: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 3: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 3: 0000ddee
# eCPRI Kaila Mana Maʻamau 3: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 3: 00000241
# mana eCPRI Kanal 3: 2
# _________________________________________________________
# INFO: Ma waho o ke kūlana hoʻonohonoho
# _________________________________________________________
#
#
# Kanal 0 eCPRI TX helu SOP : 0
# Kanal 0 eCPRI TX EOPs helu : 0
# Kanal 0 eCPRI RX SOP helu: 0
# Kanal 0 eCPRI RX EOPs helu : 0
# Kanal 0 He helu SOP PTP TX waho : 0
# Kanal 0 Helu PTP TX EOP waho : 0
# Kanal 0 He helu SOP MISC TX waho : 0
# Kanal 0 Helu MISC TX EOP waho : 0
# Kanal 0 He helu RX SOP waho: 0
# Kanal 0 Helu RX EOP waho : 0
# Kanal 1 eCPRI TX helu SOP : 0
# Kanal 1 eCPRI TX EOPs helu : 0
# Kanal 1 eCPRI RX SOP helu: 0
# Kanal 1 eCPRI RX EOPs helu : 0
# Kanal 1 He helu SOP PTP TX waho : 0
# Kanal 1 Helu PTP TX EOP waho : 0
# Kanal 1 He helu SOP MISC TX waho : 0
# Kanal 1 Helu MISC TX EOP waho : 0
# Kanal 1 He helu RX SOP waho: 0
# Kanal 1 Helu RX EOP waho : 0
# Kanal 2 eCPRI TX helu SOP : 0
# Kanal 2 eCPRI TX EOPs helu : 0
# Kanal 2 eCPRI RX SOP helu: 0
# Kanal 2 eCPRI RX EOPs helu : 0
# Kanal 2 He helu SOP PTP TX waho : 0
# Kanal 2 Helu PTP TX EOP waho : 0
# Kanal 2 He helu SOP MISC TX waho : 0
# Kanal 2 Helu MISC TX EOP waho : 0
# Kanal 2 He helu RX SOP waho: 0
# Kanal 2 Helu RX EOP waho : 0
# Kanal 3 eCPRI TX helu SOP : 0
# Kanal 3 eCPRI TX EOPs helu : 0
# Kanal 3 eCPRI RX SOP helu: 0
# Kanal 3 eCPRI RX EOPs helu : 0
# Kanal 3 He helu SOP PTP TX waho : 0
# Kanal 3 Helu PTP TX EOP waho : 0
# Kanal 3 He helu SOP MISC TX waho : 0
# Kanal 3 Helu MISC TX EOP waho : 0
# Kanal 3 He helu RX SOP waho: 0
# Kanal 3 Helu RX EOP waho : 0
# _________________________________________________________
# INFO: Hoʻomaka e hoʻouna i nā ʻeke
# _________________________________________________________
#
#
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 0 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 0 eCPRI TX
# INFO: Ke kali nei i ke kahawai 0 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 0 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 0 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 0 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 1 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 1 eCPRI TX
# INFO: Ke kali nei i ke kahawai 1 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 1 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 1 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 1 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 2 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 2 eCPRI TX
# INFO: Ke kali nei i ke kahawai 2 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 2 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 2 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 2 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 3 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 3 eCPRI TX
# INFO: Ke kali nei i ke kahawai 3 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 3 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 3 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 3 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# _________________________________________________________
# INFO: E hooki i ka lawe ʻana i nā ʻeke
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Ke nānā ʻana i nā helu helu
# _________________________________________________________
#
#
# Kanal 0 eCPRI SOP i hoʻouna ʻia: 300
# Kanal 0 eCPRI EOP i hoʻouna ʻia: 300
Loaʻa iā # Channel 0 eCPRI SOPs: 300
Loaʻa iā # Channel 0 eCPRI EOPs: 300
Ua hōʻike ʻia ka hewa # Channel 0 eCPRI: 0
# Kanal 0 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 0 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 0 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 0 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 0 Nā SOP waho i loaʻa: 132
# Kanal 0 Nā EOP waho i loaʻa: 132
# Kanal 0 Loaʻa nā SOP PTP waho: 4
# Kanal 0 Nā PTP EOP waho i loaʻa: 4
# Channel 0 Nā SOP MISC waho i loaʻa: 128
# Kanal 0 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 0 i ka hewa waho: 0
# Kanal 0 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# Kanal 1 eCPRI SOP i hoʻouna ʻia: 300
# Kanal 1 eCPRI EOP i hoʻouna ʻia: 300
Loaʻa iā # Channel 1 eCPRI SOPs: 300
Loaʻa iā # Channel 1 eCPRI EOPs: 300
Ua hōʻike ʻia ka hewa # Channel 1 eCPRI: 0
# Kanal 1 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 1 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 1 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 1 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 1 Nā SOP waho i loaʻa: 132
# Kanal 1 Nā EOP waho i loaʻa: 132
# Kanal 1 Loaʻa nā SOP PTP waho: 4
# Kanal 1 Nā PTP EOP waho i loaʻa: 4
# Channel 1 Nā SOP MISC waho i loaʻa: 128
# Kanal 1 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 1 i ka hewa waho: 0
# Kanal 1 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# Kanal 2 eCPRI SOP i hoʻouna ʻia: 300
# Kanal 2 eCPRI EOP i hoʻouna ʻia: 300
Loaʻa iā # Channel 2 eCPRI SOPs: 300
Loaʻa iā # Channel 2 eCPRI EOPs: 300
Ua hōʻike ʻia ka hewa # Channel 2 eCPRI: 0
# Kanal 2 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 2 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 2 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 2 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 2 Nā SOP waho i loaʻa: 132
# Kanal 2 Nā EOP waho i loaʻa: 132
# Kanal 2 Loaʻa nā SOP PTP waho: 4
# Kanal 2 Nā PTP EOP waho i loaʻa: 4
# Channel 2 Nā SOP MISC waho i loaʻa: 128
# Kanal 2 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 2 i ka hewa waho: 0
# Kanal 2 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# Kanal 3 eCPRI SOP i hoʻouna ʻia: 300
# Kanal 3 eCPRI EOP i hoʻouna ʻia: 300
Loaʻa iā # Channel 3 eCPRI SOPs: 300
Loaʻa iā # Channel 3 eCPRI EOPs: 300
Ua hōʻike ʻia ka hewa # Channel 3 eCPRI: 0
# Kanal 3 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 3 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 3 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 3 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 3 Nā SOP waho i loaʻa: 132
# Kanal 3 Nā EOP waho i loaʻa: 132
# Kanal 3 Loaʻa nā SOP PTP waho: 4
# Kanal 3 Nā PTP EOP waho i loaʻa: 4
# Channel 3 Nā SOP MISC waho i loaʻa: 128
# Kanal 3 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 3 i ka hewa waho: 0
# Kanal 3 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# _________________________________________________________
# INFO: HALA ʻia ka hoʻāʻo
#
# _________________________________________________________

Sample Output: sampHōʻike ka hoʻopuka i kahi hoʻāʻo simulation holomua o ka eCPRI IP design example me ka hiʻohiʻona IWF i hoʻohana ʻia me ka helu o nā kahawai = 4:

# Hiki iā CPRI TX
# Kaila CPRI 0 L1_CONFIG : 00000001
# Kaila CPRI 0 CPRI_CORE_CM_CONFIG : 00001ed4
# Kaila CPRI 1 L1_CONFIG : 00000001
# Kaila CPRI 1 CPRI_CORE_CM_CONFIG : 00001ed4
# Kaila CPRI 2 L1_CONFIG : 00000001
# Kaila CPRI 2 CPRI_CORE_CM_CONFIG : 00001ed4
# Kaila CPRI 3 L1_CONFIG : 00000001
# Kaila CPRI 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Ke kali nei no ka alignment RX
# RX deskew laka
Ua laka ʻia ka laina laina RX
# Ke kali nei no ka hewa o ka loulou
# Hoʻomaʻemaʻe i ka hewa pili
# ʻŌlelo Kūlana MAC 0_0 Kanal 0: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 0: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 0: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 0: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 0: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 0: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 0: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 0: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 0: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 0: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 0: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 0: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 0: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 0: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 0: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 0: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 0: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 0: 0000ddee
# eCPRI Kaila Mana Maʻamau 0: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 0: 00000241
# mana eCPRI Kanal 0: 2
# ʻŌlelo Kūlana MAC 0_0 Kanal 1: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 1: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 1: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 1: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 1: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 1: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 1: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 1: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 1: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 1: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 1: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 1: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 1: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 1: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 1: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 1: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 1: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 1: 0000ddee
# eCPRI Kaila Mana Maʻamau 1: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 1: 00000241
# mana eCPRI Kanal 1: 2
# ʻŌlelo Kūlana MAC 0_0 Kanal 2: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 2: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 2: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 2: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 2: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 2: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 2: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 2: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 2: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 2: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 2: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 2: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 2: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 2: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 2: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 2: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 2: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 2: 0000ddee
# eCPRI Kaila Mana Maʻamau 2: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 2: 00000241
# mana eCPRI Kanal 2: 2
# ʻŌlelo Kūlana MAC 0_0 Kanal 3: 33445566
# ʻŌlelo Kūlana MAC 0_1 Kanal 3: 00007788
# ʻO ka helu wahi e hele ai MAC 0_0 Kanal 3: 33445566
# ʻO ka helu wahi e hele ai MAC 0_1 Kanal 3: 00007788
# ʻO ka helu wahi e hele ai MAC 1_0 Kanal 3: 11223344
# ʻO ka helu wahi e hele ai MAC 1_1 Kanal 3: 00005566
# ʻO ka helu wahi e hele ai MAC 2_0 Kanal 3: 22334455
# ʻO ka helu wahi e hele ai MAC 2_1 Kanal 3: 00006677
# ʻO ka helu wahi e hele ai MAC 3_0 Kanal 3: 44556677
# ʻO ka helu wahi e hele ai MAC 3_1 Kanal 3: 00008899
# ʻO ka helu wahi e hele ai MAC 4_0 Kanal 3: 66778899
# ʻO ka helu wahi e hele ai MAC 4_1 Kanal 3: 0000aabb
# MAC wahi i hoʻopaʻa ʻia ai 5_0 Kanal 3: 778899aa
# ʻO ka helu wahi e hele ai MAC 5_1 Kanal 3: 0000bbcc
# ʻO ka helu wahi e hele ai MAC 6_0 Kanal 3: 8899aabb
# ʻO MAC wahi hoʻopaʻa inoa 6_1 Kanal 3: 0000ccdd
# ʻO ka helu wahi e hele ai MAC 7_0 Kanal 3: 99aabbcc
# ʻO MAC wahi i hoʻopaʻa ʻia ai 7_1 Kanal 3: 0000ddee
# eCPRI Kaila Mana Maʻamau 3: 00000041
# E hoʻopau i ka eCPRI Common Control Channel 3: 00000241
# mana eCPRI Kanal 3: 2
# Ke kali nei no ka hoʻokō ʻana o CPRI i ka mokuʻāina hoʻohui HSYNC
Loaʻa ka mokuʻāina ʻo # CPRI Channel 0 HSYNC
Loaʻa ka mokuʻāina ʻo # CPRI Channel 1 HSYNC
Loaʻa ka mokuʻāina ʻo # CPRI Channel 2 HSYNC
Loaʻa ka mokuʻāina ʻo # CPRI Channel 3 HSYNC
# 11100250000 Kākau 1 i nego_bitrate_complete
# 11100650000 Koho PROT_VER Kanal 0
# _________________________________________________________
# 11100850000 Palapala koho: a0000010
# _________________________________________________________
# 13105050000 Koho PROT_VER Kanal 1
# _________________________________________________________
# 13105250000 Palapala koho: a0800010
# _________________________________________________________
# 13105950000 Koho PROT_VER Kanal 2
# _________________________________________________________
# 13106150000 Palapala koho: a1000010
# _________________________________________________________
# 13106850000 Koho PROT_VER Kanal 3
# _________________________________________________________
# 13107050000 Palapala koho: a1800010
# _________________________________________________________
# 13107750000 Kākau 1 i nego_protol_complete
# 13108150000 Ke koho balota CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# _________________________________________________________
# 13108350000 Palapala koho: a0000020
# _________________________________________________________
# 14272050000 Ke koho balota CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# _________________________________________________________
# 14272250000 Palapala koho: a0800020
# _________________________________________________________
# 14272950000 Ke koho balota CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# _________________________________________________________
# 14273150000 Palapala koho: a1000020
# _________________________________________________________
# 14273850000 Ke koho balota CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# _________________________________________________________
# 14274050000 Palapala koho: a1800020
# _________________________________________________________
# 14274750000 Kākau 1 iā nego_cm_complete
# 14275150000 Kākau 1 i nego_vss_complete
# Ke kali nei no CPRI Channel 0 e hoʻokō i ka HSYNC & kaʻina hoʻomaka FSM STATE_F
# CPRI Channel 0 HSYNC & kaʻina hoʻomaka FSM STATE_F i loaʻa
# Ke kali nei no CPRI Channel 1 e hoʻokō i ka HSYNC & kaʻina hoʻomaka FSM STATE_F
# CPRI Channel 1 HSYNC & kaʻina hoʻomaka FSM STATE_F i loaʻa
# Ke kali nei no CPRI Channel 2 e hoʻokō i ka HSYNC & kaʻina hoʻomaka FSM STATE_F
# CPRI Channel 2 HSYNC & kaʻina hoʻomaka FSM STATE_F i loaʻa
# Ke kali nei no CPRI Channel 3 e hoʻokō i ka HSYNC & kaʻina hoʻomaka FSM STATE_F
# CPRI Channel 3 HSYNC & kaʻina hoʻomaka FSM STATE_F i loaʻa
# _________________________________________________________
# INFO: Ma waho o ke kūlana hoʻonohonoho
# _________________________________________________________
#
#
# Kanal 0 eCPRI TX helu SOP : 0
# Kanal 0 eCPRI TX EOPs helu : 0
# Kanal 0 eCPRI RX SOP helu: 0
# Kanal 0 eCPRI RX EOPs helu : 0
# Kanal 0 He helu SOP PTP TX waho : 0
# Kanal 0 Helu PTP TX EOP waho : 0
# Kanal 0 He helu SOP MISC TX waho : 0
# Kanal 0 Helu MISC TX EOP waho : 0
# Kanal 0 He helu RX SOP waho: 0
# Kanal 0 Helu RX EOP waho : 0
# Kanal 1 eCPRI TX helu SOP : 0
# Kanal 1 eCPRI TX EOPs helu : 0
# Kanal 1 eCPRI RX SOP helu: 0
# Kanal 1 eCPRI RX EOPs helu : 0
# Kanal 1 He helu SOP PTP TX waho : 0
# Kanal 1 Helu PTP TX EOP waho : 0
# Kanal 1 He helu SOP MISC TX waho : 0
# Kanal 1 Helu MISC TX EOP waho : 0
# Kanal 1 He helu RX SOP waho: 0
# Kanal 1 Helu RX EOP waho : 0
# Kanal 2 eCPRI TX helu SOP : 0
# Kanal 2 eCPRI TX EOPs helu : 0
# Kanal 2 eCPRI RX SOP helu: 0
# Kanal 2 eCPRI RX EOPs helu : 0
# Kanal 2 He helu SOP PTP TX waho : 0
# Kanal 2 Helu PTP TX EOP waho : 0
# Kanal 2 He helu SOP MISC TX waho : 0
# Kanal 2 Helu MISC TX EOP waho : 0
# Kanal 2 He helu RX SOP waho: 0
# Kanal 2 Helu RX EOP waho : 0
# Kanal 3 eCPRI TX helu SOP : 0
# Kanal 3 eCPRI TX EOPs helu : 0
# Kanal 3 eCPRI RX SOP helu: 0
# Kanal 3 eCPRI RX EOPs helu : 0
# Kanal 3 He helu SOP PTP TX waho : 0
# Kanal 3 Helu PTP TX EOP waho : 0
# Kanal 3 He helu SOP MISC TX waho : 0
# Kanal 3 Helu MISC TX EOP waho : 0
# Kanal 3 He helu RX SOP waho: 0
# Kanal 3 Helu RX EOP waho : 0
# _________________________________________________________
# INFO: Hoʻomaka e hoʻouna i nā ʻeke
# _________________________________________________________
#
#
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 0 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 0 eCPRI TX
# INFO: Ke kali nei i ke kahawai 0 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 0 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 0 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 0 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 1 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 1 eCPRI TX
# INFO: Ke kali nei i ke kahawai 1 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 1 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 1 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 1 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 2 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 2 eCPRI TX
# INFO: Ke kali nei i ke kahawai 2 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 2 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 2 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 2 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei no ka hoʻopau ʻana o ke kahawai 3 eCPRI TX
# INFO: Ua hoʻopau ʻia ka hoʻoili ʻana o ke kahawai 3 eCPRI TX
# INFO: Ke kali nei i ke kahawai 3 eCPRI External TX PTP hoʻoili i ka
piha
# INFO: Channel 3 eCPRI External TX PTP hoʻoili kaʻa i hoʻopau ʻia
# INFO: Ke kali nei i ke Kanal 3 eCPRI External TX Misc ka hoʻoili ʻana i
piha
# INFO: Channel 3 eCPRI External TX Misc hoʻoili kaʻa i hoʻopau ʻia
# _________________________________________________________
# INFO: E hooki i ka lawe ʻana i nā ʻeke
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Ke nānā ʻana i nā helu helu
# _________________________________________________________
#
#
# Kanal 0 eCPRI SOP i hoʻouna ʻia: 50
# Kanal 0 eCPRI EOP i hoʻouna ʻia: 50
Loaʻa iā # Channel 0 eCPRI SOPs: 50
Loaʻa iā # Channel 0 eCPRI EOPs: 50
Ua hōʻike ʻia ka hewa # Channel 0 eCPRI: 0
# Kanal 0 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 0 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 0 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 0 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 0 Nā SOP waho i loaʻa: 132
# Kanal 0 Nā EOP waho i loaʻa: 132
# Kanal 0 Loaʻa nā SOP PTP waho: 4
# Kanal 0 Nā PTP EOP waho i loaʻa: 4
# Channel 0 Nā SOP MISC waho i loaʻa: 128
# Kanal 0 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 0 i ka hewa waho: 0
# Kanal 0 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# Kanal 1 eCPRI SOP i hoʻouna ʻia: 50
# Kanal 1 eCPRI EOP i hoʻouna ʻia: 50
Loaʻa iā # Channel 1 eCPRI SOPs: 50
Loaʻa iā # Channel 1 eCPRI EOPs: 50
Ua hōʻike ʻia ka hewa # Channel 1 eCPRI: 0
# Kanal 1 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 1 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 1 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 1 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 1 Nā SOP waho i loaʻa: 132
# Kanal 1 Nā EOP waho i loaʻa: 132
# Kanal 1 Loaʻa nā SOP PTP waho: 4
# Kanal 1 Nā PTP EOP waho i loaʻa: 4
# Channel 1 Nā SOP MISC waho i loaʻa: 128
# Kanal 1 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 1 i ka hewa waho: 0
# Kanal 1 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# Kanal 2 eCPRI SOP i hoʻouna ʻia: 50
# Kanal 2 eCPRI EOP i hoʻouna ʻia: 50
Loaʻa iā # Channel 2 eCPRI SOPs: 50
Loaʻa iā # Channel 2 eCPRI EOPs: 50
Ua hōʻike ʻia ka hewa # Channel 2 eCPRI: 0
# Kanal 2 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 2 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 2 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 2 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 2 Nā SOP waho i loaʻa: 132
# Kanal 2 Nā EOP waho i loaʻa: 132
# Kanal 2 Loaʻa nā SOP PTP waho: 4
# Kanal 2 Nā PTP EOP waho i loaʻa: 4
# Channel 2 Nā SOP MISC waho i loaʻa: 128
# Kanal 2 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 2 i ka hewa waho: 0
# Kanal 2 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# Kanal 3 eCPRI SOP i hoʻouna ʻia: 50
# Kanal 3 eCPRI EOP i hoʻouna ʻia: 50
Loaʻa iā # Channel 3 eCPRI SOPs: 50
Loaʻa iā # Channel 3 eCPRI EOPs: 50
Ua hōʻike ʻia ka hewa # Channel 3 eCPRI: 0
# Kanal 3 Nā SOP PTP waho i hoʻouna ʻia: 4
# Kanal 3 Nā PTP EOP waho i hoʻouna ʻia: 4
# Kanal 3 Nā SOP MISC waho i hoʻouna ʻia: 128
# Kanal 3 Nā MISC EOP waho i hoʻouna ʻia: 128
# Channel 3 Nā SOP waho i loaʻa: 132
# Kanal 3 Nā EOP waho i loaʻa: 132
# Kanal 3 Loaʻa nā SOP PTP waho: 4
# Kanal 3 Nā PTP EOP waho i loaʻa: 4
# Channel 3 Nā SOP MISC waho i loaʻa: 128
# Kanal 3 Loaʻa nā MISC EOP waho: 128
Ua hōʻike ʻia ʻo # Channel 3 i ka hewa waho: 0
# Kanal 3 Kūwaho Manawaamp Ua hōʻike ʻia ka hewa manamana lima: 0
# _________________________________________________________
# INFO: HALA ʻia ka hoʻāʻo
#
# _________________________________________________________

1.4.1. E ho'ā ana i ka Dynamic Reconfiguration i ka Ethernet IP
Ma ka paʻamau, ua pio ka hoʻonohonoho hou ʻana i ka eCPRI IP design example a pili wale ia no Intel Stratix 10 (E-tile a me H-tile) a me Intel Agilex 7 (E-tile) design examples.

  1. E nānā i kēia laina ma ka test_wrapper.sv mai ka mea i hana ʻiaample_dir>/simulation/testbench papa kuhikuhi: ʻāpana ETHERNET_DR_EN = 0
  2. E hoʻololi i ka waiwai mai ka 0 a i ka 1: ʻāpana ETHERNET_DR_EN = 1
  3. Hoʻomaka hou i ka simulation me ka hoʻohana ʻana i ka ex i hana ʻiaample papa kuhikuhi hoʻolālā.

1.5. Ka Houluulu ana i ka Papahana Houluulu wale
No ka hōʻuluʻulu ʻana i ka exampka papahana, e hahai i kēia mau ʻanuʻu:

  1. E hōʻoia i ka hoʻolālā hōʻuluʻulu exampua pau ka hanauna.
  2. Ma ka polokalamu Intel Quartus Prime Pro Edition, wehe i ka papahana Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Ma ka papa kuhikuhi Processing, kaomi i ka Start Compilation.
  4. Ma hope o ka hōʻuluʻulu kūleʻa, loaʻa nā hōʻike no ka manawa a me ka hoʻohana waiwai i kāu kau Intel Quartus Prime Pro Edition. E hele i ka Processing ➤ Compilation Report to view ka hōʻike kikoʻī e pili ana i ka hōʻuluʻulu ʻana.
    ʻIke pili
    Nā Kahe Hoʻolālā Hoʻokumu Paʻa

1.6. Hoʻopili a hoʻonohonoho i ka Design Example ma Lako
No ka hōʻuluʻulu ʻana i ka hoʻolālā ʻenehana example a hoʻonohonoho iā ia ma kāu polokalamu Intel, e hahai i kēia mau hana:

  1. E hōʻoia i ka hoʻolālā ʻana o nā lako lakoampua pau ka hanauna.
  2. Ma ka polokalamu Intel Quartus Prime Pro Edition, wehe i ka papahana Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Ma ka papa kuhikuhi Processing, kaomi i ka Start Compilation.
  4. Ma hope o ka hōʻuluʻulu kūleʻa, a .sof file loaʻa maample_dir>/ synthesis/quartus/output_files papa kuhikuhi. E hahai i kēia mau ʻanuʻu e hoʻolālā i ka hoʻolālā ʻenehana exampma ka mea hana Intel FPGA:
    a. E hoʻohui i ka pahu hoʻomohala i ke kamepiula hoʻokipa.
    b. E hoʻokuʻu i ka noi Clock Control, kahi ʻāpana o ka pahu hoʻomohala, a hoʻonohonoho i nā alapine hou no ka hoʻolālā example. Aia ma lalo iho ka hoʻonohonoho alapine ma ka noi Clock Control:
    • Inā ʻoe e huli ana i kāu hoʻolālā ma Intel Stratix 10 GX SI Development Kit:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 a me OUT5- 307.2 MHz
    • Inā ʻoe e huli ana i kāu hoʻolālā ma Intel Stratix 10 TX SI Development Kit:
    — U1, CLK4- 322.265625 MHz (No ka helu ʻikepili 25G)
    — U6- 156.25 MHz (No ka helu ʻikepili 10G)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • Inā ʻoe e ʻimi nei i kāu hoʻolālā ma Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Inā ʻoe e huli ana i kāu hoʻolālā ma Intel Arria 10 GX SI Development Kit:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c. Ma ka papa kuhikuhi Tools, kaomi Programmer.
    d. I ka Programmer, kaomi Hardware Setup.
    e. E koho i kahi lako polokalamu.
    f. E koho a hoʻohui i ka Development Kit e hiki ai i kāu hui Intel Quartus Prime Pro Edition ke hoʻohui.
    g. E hōʻoia ua hoʻonohonoho ʻia ke ʻano iā JTAG.
    h. E koho i ka mea hana a kaomi Add Device. Hōʻike ka Programmer i kahi kiʻikuhi poloka o nā pilina ma waena o nā mea hana ma kāu papa.
    i. E hoouka i ka .sof file i kāu polokalamu Intel FPGA ponoʻī.
    j. Hoʻouka i ke ʻano hoʻokō a me ka hoʻopili ʻana (.elf) file i kāu Intel Stratix 10 a i ʻole
    ʻO ka polokalamu Intel Agilex 7 inā hoʻolālā ʻoe e hana i ka hoʻonohonoho hou ʻana (DR) e hoʻololi i ka helu ʻikepili ma waena o 25G a me 10G. E hahai i nā ʻōlelo aʻoaʻo mai ka Generating and Downloading the Executable and Linking Format (.elf) Programming File ma ka ʻaoʻao 38 e hana i ka .elf file.
    k. Ma ka lālani me kāu .sof, e nānā i ka pahu Program/Configure no ka .sof file.
    l. Kaomi hoʻomaka.

ʻIke pili

  • Hoʻolālā Palekana
  • ʻO Intel Quartus Prime Programmer alakaʻi hoʻohana
  • Ka nānā 'ana a me ka Debugging Design me ka System Console
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Ke alakaʻi hoʻohana
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Guide User Guide
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Guide User Guide
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Guide User Guide

1.7. Ke ho'āʻo nei i ka eCPRI Intel FPGA IP Design Example
Ma hope o kou hōʻuluʻulu ʻana i ka eCPRI Intel FPGA IP core design exampa hoʻonohonoho iā ia ma kāu polokalamu Intel FPGA, hiki iā ʻoe ke hoʻohana i ka System Console e hoʻolālā i ka IP core a me kāna mau papa inoa koʻikoʻi PHY IP i hoʻokomo ʻia.
E hoʻā i ka System Console a hoʻāʻo i ka hoʻolālā ʻenehana example, e hahai i kēia mau ʻanuʻu:

  1. Ma hope o ka hoʻolālā ʻenehana exampua hoʻonohonoho ʻia ma ka polokalamu Intel, ma ka polokalamu Intel Quartus Prime Pro Edition, ma ka papa kuhikuhi Tools, kaomi System Debugging Tools ➤ System Console.
  2. Ma ka pane Tcl Console, hoʻololi i ka papa kuhikuhi iample_dir>/ synthesis/quartus/hardware_test a hoʻokomo i kēia kauoha e wehe i kahi pilina i ka JTAG haku a hoʻomaka i ka hoʻāʻo:
    • kumu ecpri_agilex.tcl no nā hoʻolālā Intel Agilex 7
    • kumu ecpri_s10.tcl no nā hoʻolālā Intel Stratix 10
    • kumu ecpri_a10.tcl no nā hoʻolālā Intel Arria 10
  3. No kāu Intel Stratix 10 a i ʻole Intel Agilex 7 E-tile ʻokoʻa, pono ʻoe e hana i kekahi kauoha loopback kūloko a i waho paha ma hope o ka hoʻolālā ʻana i ka .sof. file:
    a. Hoʻololi i ka hoʻololi TEST_MODE i ke kahe.c file e koho i ke ʻano loopback:
    TEST_MODE Hana
    0 Hiki iā Serial loopback ke hoʻohālikelike wale
    1 Hiki iā Serial loopback no ka lako lako wale nō
    2 Serial loopback a calibration
    3 Kalibration wale nō

    Pono ʻoe e hōʻuluʻulu a hana hou i ka polokalamu NIOS II ke hoʻololi ʻoe i ka flow.c file.
    b. Hana hou i ka .elf file a hoʻolālā i ka papa i hoʻokahi manawa a hoʻonohonoho hou i ka .sof file.

  4. E ho'āʻo i ka hana hoʻolālā ma o nā kauoha i kākoʻo ʻia ma ka palapala console system. Hāʻawi ka ʻōnaehana console i nā kauoha kūpono no ka heluhelu ʻana i nā helu a me nā hiʻohiʻona e hiki ai i ka hoʻolālā.

Papa 4. Pūnaehana Console Script Kauoha

Kauoha wehewehe
loop_on Hiki iā TX a RX i loko o ka loopback serial. E hoʻohana no nā polokalamu Intel Stratix 10 H-tile a me Intel Arria 10 wale nō.
loop_off Hoʻopau iā TX i RX i loko o ka loopback serial. E hoʻohana no nā polokalamu Intel Stratix 10 H-tile a me Intel Arria 10 wale nō.
loulou _ init _ int _1pbk Hiki iā TX a i RX i loko o ka loopback serial i loko o ka transceiver a hana i ke kahe kalibration transceiver. Pili i ka Intel Stratix 10 E-tile a me Intel Agilex 7 E-tile hoʻolālā wale nō.
loulou _ init _ ext _1pbk Hiki iā TX i ka RX waho loopback a hana i ka transceiver calibration kahe. Pili i ka Intel Stratix 10 E-tile a me Intel Agilex 7 E-tile hoʻolālā wale nō.
traffic gen disable Hoʻopau i ka mea hana kaʻa a me ka mea nānā.
helu helu chkmac Hōʻike i nā ʻikepili no ka Ethernet MAC.
heluhelu_ hoao_ helu helu E hōʻike i nā helu kuhi hewa no nā mea hana kaʻa a me nā mea nānā.
ext _ mau _ mode _en Hoʻoponopono hou i ka ʻōnaehana hoʻolālā holoʻokoʻa, a hiki i ka mea hana kaʻa ke hana i nā ʻeke kaʻa mau.
dr _ 25g _ i _ lOg _etile Hoʻololi i ka helu ʻikepili o ka Ethernet MAC mai 25G a i 10G. E hoʻohana no ka Intel Stratix 10 E-tile a me Intel Agilex 7 E-tile wale nō.
dr_25g_to_10g_htile Hoʻololi i ka helu ʻikepili o ka Ethernet MAC mai 25G a i 10G. E hoʻohana no nā mea H-tile wale nō
dr_10g_to_25g_etile Hoʻololi i ka helu ʻikepili o ka Ethernet MAC mai 10G a i 25G. E hoʻohana no ka Intel Stratix 10 E-tile a me Intel Agilex 7 E-tile wale nō.
dr _ 25g _ i _ lOg _htile Hoʻololi i ka helu ʻikepili o ka Ethernet MAC mai 10G a i 25G. E hoʻohana no nā mea H-tile wale nō.

sample output hōʻike i ka holomua ho'āʻo holo:
Paʻi Console System (Nui o nā Kanal = 1)
Kaila 0 EXT PTP TX Helu SOP: 256
Helu 0 EXT PTP TX EOP: 256
Kaila 0 EXT MISC TX Helu SOP: 36328972
Kaila 0 EXT MISC TX EOP helu: 36369511
Helu 0 EXT RX SOP: 36410364
Helu 0 EXT RX EOP: 36449971
Kanal 0 EXT Checker Hapa: 0
Helu Hepa EXT Checker Channel 0: 0
Kanal 0 EXT PTP Kupa Manamana: 0
Kaila 0 EXT PTP helu kuhi hewa: 0
Kaila 0 TX Helu SOP: 1337760
Kaila 0 TX EOP helu: 1339229
Kaila 0 RX SOP helu: 1340728
Helu 0 RX EOP: 1342555
Nā Hapa Nānā Kanal 0: 0
Ka helu ʻana i nā hewa o ka Channel 0 Checker: 0

================================================================== ===========================
=============
NĀ HELU ETHERNET MAC NO ke Kanal 0 (Rx)

================================================================== ===========================
=============
Nā Papa Māmā: 0
Nā Papa Hoʻopaʻa ʻia: 0
ʻO ka nui kūpono me ka FCS Err Frames: 0
ʻIkepili multicast Err Frames: 0
Hoʻolaha ʻikepili Err Frames: 0
ʻO ka ʻikepili Unicast Err Frames: 0
64 Paʻa Paʻa : 3641342
65 – 127 Byte Papa : 0
128 – 255 Byte Papa : 37404809
256 – 511 Byte Papa : 29128650
512 – 1023 Byte Papa : 0
1024 – 1518 Byte Papa : 0
1519 – MAX Byte Papa : 0
> MAX Byte Papa: 0
ʻIkepili multicast OK Frame : 70174801
ʻIkepili hoʻolaha OK Frame: 0
ʻIkepili Unicast OK Nā Papa: 0
Nā Papa Mana Mana Nui: 0
Nā Papa Mana Hoʻolaha: 0
Nā Papa Mana Unicast: 0
Hoʻomaha i nā papa hoʻomalu: 0
Uku Uku Oke OK : 11505935812
ʻO nā Octets Frame OK: 12918701444
Rx ka lōʻihi o ka pahu: 1518
Ka nui me ka FCS Err Frame: 0
ʻO ka mana multicast Err Frame: 0
Ka mana hoʻolaha Err Frame: 0
Unicast control Err Frames: 0
Hoʻomaha i ka mana ʻo Err Frames: 0
Hoʻomaka ʻo Rx Frame: 70174801

Eia ka samplehua no ka holo ho'āʻo 25G a 10G DR:
Paʻi Console System (25G a 10G DR E-tile)

E hoʻomaka i ka Dynamic Reconfiguration no Ethernet 25G -> 10G
Ua lanakila ʻo DR 25G -> 10G
Loaʻa Kākau inoa ʻo RX PHY: Ke nānā ʻana i nā alapine uaki (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY Koho Balota
Kūlana Laka ʻo Rx Frequency 0x0000000f
ʻO ka uaki Mac ma ke kūlana OK? 0x00000001
Hepa Rx Frame ? 0x00000000
Rx PHY Hoʻopili piha ʻia? 0x00000001
Koloka RX PHY Channel 0
Ke holo nei ka RX PHY Channel 0!

Paʻi Console System (25G a 10G DR H-tile)
E hoʻomaka i ka Dynamic Reconfiguration no Ethernet 25G -> 10G
Ua lanakila ʻo DR 25G -> 10G
Loaʻa Kākau inoa ʻo RX PHY: Ke nānā ʻana i nā alapine uaki (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY Koho Balota
Kūlana Laka ʻo Rx Frequency 0x00000001
ʻO ka uaki Mac ma ke kūlana OK? 0x00000007
Hepa Rx Frame ? 0x00000000
Rx PHY Hoʻopili piha ʻia? 0x00000001
Koloka RX PHY Channel 0
Ke holo nei ka RX PHY Channel 0!

Paʻi Console System (10G a 25G DR E-tile)
E hoʻomaka i ka Dynamic Reconfiguration no Ethernet 10G -> 25G
Ua lanakila ʻo DR 10G -> 25G
Loaʻa Kākau inoa ʻo RX PHY: Ke nānā ʻana i nā alapine uaki (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY Koho Balota
Kūlana Laka ʻo Rx Frequency 0x0000000f
ʻO ka uaki Mac ma ke kūlana OK? 0x00000001
Hepa Rx Frame ? 0x00000000
Rx PHY Hoʻopili piha ʻia? 0x00000001
Koloka RX PHY Channel 0
Ke holo nei ka RX PHY Channel 0!

Paʻi Console System (10G a 25G DR H-tile)
E hoʻomaka i ka Dynamic Reconfiguration no Ethernet 10G -> 25G
Ua lanakila ʻo DR 10G -> 25G
Loaʻa Kākau inoa ʻo RX PHY: Ke nānā ʻana i nā alapine uaki (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY Koho Balota
Kūlana Laka ʻo Rx Frequency 0x00000001
ʻO ka uaki Mac ma ke kūlana OK? 0x00000007
Hepa Rx Frame ? 0x00000000
Rx PHY Hoʻopili piha ʻia? 0x00000001
Koloka RX PHY Channel 0
Ke holo nei ka RX PHY Channel 0!

Hoʻolālā Example Wehewehe

ʻO ka hoʻolālā example hōʻike i ka hana kumu o ka eCPRI IP core. Hiki iā ʻoe ke hana i ka hoʻolālā mai ka Example Design tab i ka eCPRI IP hoʻoponopono hoʻoponopono.

2.1. Nā hiʻohiʻona

  • ʻO ke ʻano loopback serial TX a me RX
  • Hoʻopuka 'akomi i nā ʻeke nui paʻa
  • Nā mea hiki ke hoʻopaʻa packet maʻamau
  • Hiki ke hoʻohana i ka System Console e hoʻāʻo i ka hoʻolālā a hoʻonohonoho hou i ka hoʻolālā no ka hoʻāʻo hou ʻana

2.2. Hoʻolālā Lako Paʻa Example
Kiʻi 5. Paʻi Paʻi no ka Intel Agilex 7 F-tile DesignseCPRI Intel FPGA IP Design - Kiʻi 5

Huina Intel. Ua mālama ʻia nā kuleana āpau. ʻO Intel, ka Intel logo, a me nā hōʻailona Intel ʻē aʻe he mau hōʻailona o Intel Corporation a i ʻole kāna mau lālā. Mālama ʻo Intel i ka hana o kāna mau huahana FPGA a me semiconductor i nā kikoʻī o kēia manawa e like me ka palapala hōʻoia maʻamau o Intel, akā aia ke kuleana e hoʻololi i nā huahana a me nā lawelawe i kēlā me kēia manawa me ka ʻole o ka hoʻolaha. ʻAʻole ʻo Intel i kuleana a i ʻole kuleana e puka mai ana mai ka noi a i ʻole ka hoʻohana ʻana i kekahi ʻike, huahana, a i ʻole lawelawe i wehewehe ʻia ma ʻaneʻi koe wale nō i ʻae ʻia ma ke kākau ʻana e Intel. Manaʻo ʻia nā mea kūʻai aku Intel e loaʻa i ka mana hou o nā kikoʻī o nā hāmeʻa ma mua o ka hilinaʻi ʻana i kekahi ʻike i paʻi ʻia a ma mua o ke kau ʻana i nā kauoha no nā huahana a i ʻole nā ​​​​lawelawe. * Hiki ke koi ʻia nā inoa a me nā hōʻailona ʻē aʻe ma ke ʻano he waiwai o nā poʻe ʻē aʻe.

Kiʻi 6. Paʻi Paʻi no ka Intel Agilex 7 E-tile DesignseCPRI Intel FPGA IP Design - Kiʻi 6Kiʻi 7. Paʻi Paʻi no ka Intel Stratix 10 DesignseCPRI Intel FPGA IP Design - Kiʻi 7

Kiʻi 8. Hoʻolālā Block no Intel Arria 10 DesignseCPRI Intel FPGA IP Design - Kiʻi 8ʻO ka eCPRI Intel FPGA IP core hardware design example e komo i keia mau mea.
eCPRI Intel FPGA IP
E ʻae i ka ʻikepili mai nā mea hana kaʻa i hoʻomaka koke ʻia i loko o ka pahu hoʻāʻo a hoʻonohonoho mua i ka ʻikepili no ka hoʻouna ʻana i ka Ethernet IP.

Ethernet IP

  • F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-tile hoʻolālā)
  • IP paʻakikī E-tile no Ethernet (Intel Stratix 10 a i ʻole Intel Agilex 7 E-tile hoʻolālā)
  • 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-tile hoʻolālā)
  • Haʻahaʻa Latency Ethernet 10G MAC IP a me 1G/10GbE a me 10GBASE-KR PHY IP (Intel Arria 10 hoʻolālā)

Kūkākūkā manawa pololei (PTP) IO PLL
No ka Intel Stratix 10 H-tile hoʻolālā—Hoʻomaka koke ʻia e hoʻopuka i ka uaki kuhikuhi hoʻokomo ʻana i ke ana latency no ka Ethernet IP a me s.ampuaki ling no ka manawa o ka lā (TOD) subsystem. No ka 25G Ethernet Intel Stratix 10 FPGA IP me ka hiʻohiʻona IEEE 1588v2, paipai ʻo Intel iā ʻoe e hoʻonohonoho i ke alapine o kēia uaki i 156.25 MHz. E nānā i ka 25G Ethernet Intel Stratix 10 FPGA IP User Guide a me Intel Stratix 10 H-tile Transceiver PHY User Guide no ka ʻike hou aku. Hoʻopuka pū ka PTP IOPLL i ka uaki kuhikuhi no ka eCPRI IO PLL ma ke ʻano cascading.
No nā hoʻolālā Intel Arria 10—Ua hoʻomaka ʻia e hana i ka 312.5 MHz a me 156.25 MHz hoʻokomo uaki no ka Low Latency Ethernet 10G MAC IP a me 1G/10GbE, 10GBASE-KR PHY IP, a me eCPRI IP.

eCPRI IO PLL
Hoʻopuka i ka puka o ka uaki kumu o 390.625 MHz no ke ala TX a me RX o ka IP eCPRI, a me nā ʻāpana kalepa.
Nānā: Aia kēia poloka ma ka hoʻolālā exampi hana ʻia no nā polokalamu Intel Stratix 10 a me Intel Agilex 7.

Nānā: Kākoʻo ka mana o kēia manawa o ka eCPRI Intel FPGA IP i ka ʻano IWF ʻano 0.ampʻAʻole kākoʻo ʻia ka hana me ka hiʻohiʻona IWF.
Ke hana ʻoe i ka hoʻolālā example me ka Interworking Function (IWF) Kākoʻo hoʻopaʻa 'ana i pio, ke kahe pono 'ana o ka packet mai ka ho'āʻo wrapper module a hiki i ka Avalon-ST source/sink interface and external source/sink interface of the eCPRI IP.
Ke hana ʻoe i ka hoʻolālā exampme ka Interworking Function (IWF) Kākoʻo hoʻohālikelike ʻia i ka hoʻāla ʻia, ke kahe ʻana o ka packet traffic i ka IWF Avalon-ST sink interface mai ka hoʻāʻo wrapper module mua, a puka i waho mai IWF Avalon-ST source interface i ka eCPRI Avalon-ST source/sink interface.
CPRI MAC
Hāʻawi i ka ʻāpana CPRI o ka papa 1 a me ka papa piha 2 mau protocols no ka hoʻololi ʻana i ka mokulele mea hoʻohana, C&M, a me ka ʻike synchronization ma waena o REC a me RE a ma waena o ʻelua RE,
CPRI PHY
Hāʻawi i ke koena ʻāpana o ka CPRI layer 1 protocol no ka laina coding, bit error correction/detection, a pēlā aku.

Nānā: Ua hoʻomaka koke ka CPRI MAC a me CPRI PHY IP i kēia hoʻolālā exampHoʻonohonoho ʻia e holo ma ka laina laina CPRI hoʻokahi 9.8 Gbps wale nō. ʻO ka hoʻolālā exampʻAʻole kākoʻo ʻo le i ke kūkākūkā auto-rate ma ka hoʻokuʻu ʻana i kēia manawa.

Wrapper hoao
Loaʻa i nā mea hana kaʻa a me nā mea nānā e hoʻopuka i nā pūʻulu ʻikepili like ʻole i nā kikowaena Avalon Streaming (Avalon-ST) o ka IP eCPRI e like me lalo:

  • ʻO nā ʻeke eCPRI i ke kumu o Avalon-ST/nā mea hoʻoheheʻe wai (ua pio ka hiʻohiʻona IWF):
    — Kākoʻo wale i ke ʻano memo 2.
    — Ka hana ʻana i ke ʻano hoʻi i hope me ka hoʻonui ʻana i ke ʻano o ke ʻano hana a me ka nui o ka uku o 72 bytes no kēlā me kēia ʻeke.
    - Hiki ke hoʻonohonoho ʻia ma o CSR e holo ma ke ʻano hoʻomau ʻole a mau ʻole.
    — Loaʻa ke kūlana helu helu TX/RX no ke komo ʻana ma o CSR.
  • ʻO nā ʻeke eCPRI i ke kumu o Avalon-ST/nā mea hoʻoheheʻe (hoʻohana ʻia ka hiʻohiʻona IWF):
    — Kākoʻo wale i ke ʻano memo 0 i ka hoʻokuʻu ʻana i kēia manawa.
    — Ka hoʻonui ʻana i ke ʻano hoʻohālike me ka hoʻokumu ʻana o ka interpacket gap a me ka nui o ka uku o 240 bytes no kēlā me kēia ʻeke.
    - Hiki ke hoʻonohonoho ʻia ma o CSR e holo ma ke ʻano hoʻomau ʻole a mau ʻole.
    — Loaʻa ke kūlana helu helu TX/RX no ke komo ʻana ma o CSR.
  • Precision Time Protocol (1588 PTP) packet a me nā ʻeke like ʻole PTP ʻole i ke kumu o waho/nā mea pili:
    — He hanauna poʻomanaʻo ʻo Static Ethernet me nā ʻāpana i hoʻonohonoho mua ʻia: Ethertype0x88F7, ʻAno memo- Opcode 0 (Sync), a me PTP version-0.
    — Ka hoʻokumu ʻana o ke ʻano hoʻohālike i wehewehe mua ʻia me ka ʻāpana interpacket o 2 pōʻaiapuni a me ka nui o ka uku o 57 bytes no kēlā me kēia ʻeke.
    — Hoʻokumu ʻia nā ʻeke 128 i ka manawa o kēlā me kēia kekona.
    - Hiki ke hoʻonohonoho ʻia ma o CSR e holo ma ke ʻano hoʻomau ʻole a mau ʻole.
    — Loaʻa ke kūlana helu helu TX/RX no ke komo ʻana ma o CSR.
  • Nā ʻeke like ʻole PTP waho:
    — Hoʻokumu ʻia ʻo Static Ethernet Header me ka hoʻohālikelike i koho mua ʻia, Ethertype- 0x8100 (non-PTP).
    — Ka hana ʻana o ke ʻano hana PRBS me ka ʻāpana interpacket o 2 pōʻai a me ka nui o ka uku o 128 bytes no kēlā me kēia ʻeke.
    - Hiki ke hoʻonohonoho ʻia ma o CSR e holo ma ke ʻano hoʻomau ʻole a mau ʻole.
    — Loaʻa ke kūlana helu helu TX/RX no ke komo ʻana ma o CSR.

Manawa o ka lā (TOD).
Loaʻa i ʻelua modules IEEE 1588 TOD no TX a me RX, a me hoʻokahi module IEEE 1588 TOD Synchronizer i hana ʻia e ka polokalamu Intel Quartus Prime.
Nios® II Subsystem
Loaʻa iā Avalon-MM alahaka e hiki ai i ka Avalon-MM ke hoʻoholo i ka ʻikepili ma waena o ke kaʻina hana Nios II, ka ʻōwili hoʻāʻo, a me nā poloka decoder address Avalon® -MM.
Na Nios II ke kuleana e hoʻololi i ka helu helu ʻikepili ma muli o ka hoʻopuka ʻana mai ka waiwai hoʻopaʻa inoa rate_switch wrapper. Hoʻolālā kēia poloka i ka papa inoa e pono ai ke loaʻa iā ia ke kauoha mai ka wrapper hōʻike.

Nānā: ʻAʻole loaʻa kēia poloka i ka hoʻolālā exampi hana ʻia no Intel Arria 10 a me Intel Agilex 7 F-tile.
Pūnaehana Console
Hāʻawi i kahi mea hoʻohana-friendly interface no ʻoe e hana i ka debugging pae mua a nānā i ke kūlana o ka IP, a me nā mea hoʻokele a me nā mea nānā.
Mana Demo
Aia kēia module i nā modules reset synchronizer, a me In-system Source and Probe (ISSP) modules no ka hoʻolālā ʻana i ka ʻōnaehana debugging a me ka hana hoʻomaka.

ʻIke pili

  • 25G Ethernet Intel Stratix 10 FPGA IP alakaʻi hoʻohana
  • E-tile paʻakikī IP alakaʻi hoʻohana
  • eCPRI Intel FPGA IP alakaʻi hoʻohana
  • 25G Ethernet Intel Stratix 10 FPGA IP Design Example alakaʻi hoʻohana
  • E-tile IP paakiki no Intel Stratix 10 Design Examples mea hoʻohana alakaʻi
  • ʻO Intel Stratix 10 L- a me H-Tile Transceiver PHY alakaʻi hoʻohana
  • E-Tile Transceiver PHY alakaʻi hoʻohana
  • Intel Stratix 10 10GBASE-KR PHY IP alakaʻi hoʻohana
  • E-tile IP paʻakikī Intel Agilex Design Example alakaʻi hoʻohana

2.3. Hoʻolālā Hoʻohālikelike Example
ʻO ka hoʻolālā eCPRI exampLe hoʻopuka i kahi hōʻike hoʻohālikelike a me ka hoʻohālikelike files e hoʻomaka koke i ka eCPRI Intel FPGA IP core ke koho ʻoe i ka koho Simulation a i ʻole Synthesis & Simulation.

Kiʻi 9. eCPRI Intel FPGA IP Simulation Block DiagrameCPRI Intel FPGA IP Design - Kiʻi 9

Nānā: ʻAʻole i loaʻa ka poloka Nios II Subsystem i ka hoʻolālā exampi hana ʻia no Intel Arria 10 a me Intel Agilex 7 F-tile.
Ma kēia hoʻolālā exampʻO ia, hāʻawi ka simulation testbench i nā hana maʻamau e like me ka hoʻomaka ʻana a kali i ka laka, hoʻouna a loaʻa i nā ʻeke.

Hōʻike ka holo hoʻāʻo kūleʻa i ka hopena e hōʻoia ana i kēia ʻano hana:

  1. Hoʻoponopono ka loina o ka mea kūʻai aku i ke kumu IP.
  2. Ke kali nei ka loina o ka mea kūʻai aku i ka alignment datapath RX.
  3. Hoʻouna ka loina o ka mea kūʻai aku i nā ʻeke ma ke kikowaena Avalon-ST.
  4. Loaʻa a nānā i ka ʻike a me ka pololei o nā ʻeke.
  5. Hōʻike i ka memo "Test PASSED".

2.4. Nā hōʻailona Interface
Papa 5. Hoʻolālā Example Nā hōʻailona Interface

hōʻailona Kuhikuhi wehewehe
clk_ref Hookomo Uaki kuhikuhi no ka Ethernet MAC.
• No ka Intel Stratix 10 E-tile, Intel Agilex 7 E-tile a me F-tile hoʻolālā, 156.25 MHz hoʻokomo uaki no ka E-tile Ethernet Hard IP core a i ʻole F-tile Ethernet Hard IP core. Hoʻohui iā i_clk_ref[0] ma ka Ethernet Hard IP.
• No ka Intel Stratix 10 H-tile hoʻolālā, he 322.2625 MHz hoʻokomo uaki no ka Transceiver ATX PLL a me 25G Ethernet IP. Hoʻohui iā pll_refclk0[0] ma ka Transceiver ATX PLL a me clk_ref[0] ma 25G Ethernet IP.
• No nā hoʻolālā Intel Arria 10, he 322.265625 MHz hoʻokomo uaki no ka Transceiver ATX PLL a me 1G/ 10GbE a me 10GBase-KR PHY IP. Hoʻohui iā pll_refclk0[0] ma ka Transceiver ATX PLL a me rx_cdr_ref_clk_10g[0] ma ka 1G/ 10GbE a me 10G BASE-KR PHY IP.
tod_sync_sampling_clk Hookomo No nā hoʻolālā Intel Arria 10, kahi hoʻokomo 250 MHz uaki no ka subsystem TOD.
clk100 Hookomo Uaki hooponopono. Hoʻohana ʻia kēia uaki e hana i ka latency_clk no PTP. Holoi ma 100 MHz.
mgmt_reset_n Hookomo Hoʻihoʻi hou i ka hōʻailona no ka ʻōnaehana Nios II.
tx_serial Hoʻopuka TX hōʻike ʻikepili. Kākoʻo a hiki i ka 4 channels.
rx_serial Hookomo RX hōʻike ʻikepili. Kākoʻo a hiki i ka 4 channels.
iwf_cpri_ehip_ref_clk Hookomo E-tile CPRI PHY hoʻokomo uaki kuhikuhi. Aia kēia uaki ma Intel Stratix 10 E-tile a me Intel
Nā hoʻolālā E-tile ʻo Agilex 7. Holoi ma 153.6 MHz no 9.8 Gbps CPRI laina laina.
iwf_cpri_pll_refclk0 Hoʻopuka Uaki kuhikuhi CPRI TX PLL.
• No ka Intel Stratix 10 H-tile hoʻolālā: E holo ma 307.2 MHz no ka helu CPRI ʻikepili 9.8 Gbps.
• No ka Intel Stratix 10 E-tile a me Intel Agilex 7 E-tile manao: E holo ma 156.25 MHz no ka CPRI helu helu 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Hoʻopuka CPRI mea hoʻokipa CDR hōʻike uaki. Aia kēia uaki i nā hoʻolālā Intel Stratix 10 H-tile.
Holoi ma 307.2 MHz no 9.8 Gbps CPRI laina laina.
iwf_cpri_xcvr_txdataout Hoʻopuka Hoʻouna ʻo CPRI i ka ʻikepili serial. Kākoʻo a hiki i ka 4 channels.
iwf_cpri_xcvr_rxdatain Hoʻopuka CPRI loaʻa ka ʻikepili serial. Kākoʻo a hiki i ka 4 channels.
cpri_gmii_clk Hookomo CPRI GMII 125 MHz uaki komo.

ʻIke pili
Nā hōʻailona Interface PHY
Papa inoa i nā hōʻailona PHY o ka 25G Ethernet Intel FPGA IP.

2.5. Hoʻolālā Example Palapala Palapala
Aia ma lalo ka palapala palapala inoa no ka eCPRI IP core design example:
Papa 6. eCPRI Intel FPGA IP Design Example Kakau palapala palapala

Heluhelu  Kakau inoa
0x20100000 – 0x201FFFFF(2) IOPLL Re-configuration Register.
0x20200000 – 0x203FFFFF Kakau inoa Ethernet MAC Avalon-MM
0x20400000 – 0x205FFFFF Kakau inoa ʻo Ethernet MAC ʻōiwi PHY Avalon-MM
0x20600000 – 0x207FFFFF(2) Kakau inoa PHY RS-FEC Avalon-MM maoli.
0x40000000 – 0x5FFFFFFFF eCPRI IP Avalon-MM kakau inoa
0x80000000 – 0x9FFFFFFFF ʻO ka Ethernet Design Test Generator/Verifier Avalon-MM Register

Papa 7. Nios II kakau palapala palapala
Loaʻa nā papa inoa ma lalo o ka papa ma ka hoʻolālā exampi hana ʻia no Intel Stratix 10 a i ʻole Intel Agilex 7 E-tile.

Heluhelu  Kakau inoa
0x00100000 – 0x001FFFFF IOPLL Re-configuration Register
0x00200000 – 0x003FFFFF Kakau inoa Ethernet MAC Avalon-MM
0x00400000 – 0x005FFFFF Kakau inoa ʻo Ethernet MAC ʻōiwi PHY Avalon-MM
0x00600000 – 0x007FFFFF Kakau inoa PHY RS-FEC Avalon-MM maoli

Nānā: Hiki iā ʻoe ke komo i ka Ethernet MAC a me ka Ethernet MAC Native PHY AVMM registers me ka hoʻohana ʻana i ka huaʻōlelo offset ma kahi o ka byte offset.
No ka ʻike kikoʻī e pili ana i ka Ethernet MAC, Ethernet MAC Native PHY, a me ka eCPRI IP core register palapala 'āina, e nānā i nā alakaʻi hoʻohana.

(2) Loaʻa wale ma ka hoʻolālā exampi hana ʻia no Intel Stratix 10 a me Intel Agilex 7 E-tile.

Papa 8. eCPRI Intel FPGA IP Hardware Design Example Palapala Palapala

Hua'ōlelo Offset  ʻAno Kakau  Waiwai Paʻamau  ʻAno Komo
0x0 Hoʻomaka e hoʻouna i ka ʻikepili:
• Bit 1: PTP, ʻano PTP ʻole
• Bit 0: ʻano eCPRI
0x0 RW
0x1 Hiki ke hoʻomau i ka ʻeke 0x0 RW
0x2 Holoi hewa 0x0 RW
0x3 (3) Hoʻololi helu:
• Bit [7]- Hōʻike i ka tile:
— 1'b0: H-tile
— 1'b1: E-tile
• Bit [6:4]- Hōʻike i ka hoʻololi ʻana i ka helu ʻikepili Ethernet:
— 3'b000: 25G a i 10G
— 3'b001: 10G a i 25G
• Bit [0]- Hiki ke hoʻololi i ka helu. Pono e hoʻonoho i kēia bit 0 a me ke koho a hiki i ka maopopo ʻana o ka bit 0 no ka hoʻololi pākēneka.
Hoʻomaopopo: ʻAʻole loaʻa kēia papa inoa no nā hoʻolālā Intel Agilex 7 F-tile a me Intel Arria 10.
• E-tile: 0x80
• H-tile: 0x0
RW
0x4 (3) Hoʻololi ʻia ka uku:
• Hōʻike ka bit [1] i ka hoʻololi ʻana i ka uku.
0x0 RO
0x5 (4) Kūlana hoʻonohonoho pūnaewele:
• Bit [31]: Mākaukau ʻōnaehana
• Bit [30]: IWF_EN
• Bit [29]: STARTUP_SEQ_EN
• Bit [28:4]: Mālama ʻia
• Bit [3]: EXT_PACKET_EN
• Bit [2:0]: Mālama ʻia
0x0 RO
0x6 (4) Hoʻopau ke kūkākūkā CPRI:
• Bit [3:0]: Paʻa ka helu bit
• Bit [19:16]: Hoʻopau ke kaʻina
0x0 RW
0x7 (4) Hoʻopau ke kūkākūkā CPRI:
• Bit [3:0]: Hoʻopau C&M wikiwiki
• Bit [19:16]: Hoʻopau VSS wikiwiki
0x0 RW
0x8 – 0x1F Mālama ʻia.
0x20 eCPRI hewa ke 'oki:
• Hōʻike ka bit [0] i ke keakea.
0x0 RO
0x21 Hapa Pakeke waho 0x0 RO
0x22 Nā Pāke PTP waho TX helu hoʻomaka o ka ʻeke (SOP). 0x0 RO
0x23 Helu PTP PTP waho TX Hopena o ka Puke (EOP). 0x0 RO
0x24 Helu SOP TX Nā Paʻi ʻokoʻa waho 0x0 RO
0x25 Helu TX EOP nā ʻāpana like ʻole o waho 0x0 RO
0x26 Helu SOP Nā Pākē RX waho 0x0 RO
0x27 Helu EOP nā Pākē RX waho 0x0 RO
0x28 Helu Hepa Pakeke waho 0x0 RO
0x29 – 0x2C Mālama ʻia.
0x2D Ka manawa PTP wahoamp Ka helu kuhi lima 0x0 RO
0x2E Ka manawa PTP wahoamp Kupa Manamana 0x0 RO
0x2F Kūlana Hapa Rx waho 0x0 RO
0x30 – 0x47 Mālama ʻia.
0x48 Hepa nā ʻeke eCPRI RO
0x49 Helu SOP eCPRI TX RO
0x4A Helu eCPRI TX EOP RO
0x4B. Helu eCPRI RX SOP RO
0x4C. Helu eCPRI RX EOP RO
0x4D Ka helu hewa o nā ʻeke eCPRI RO

ʻIke pili

  • Ka Mana, Kūlana, a me nā Hōʻike Kakau Helu
    E hoʻopaʻa inoa i ka ʻike no ka 25G Ethernet Stratix 10 FPGA IP
  • Hoʻonohonoho hou a me ke kākau inoa kūlana
    Nā wehewehe E hoʻopaʻa inoa i ka ʻike no ka E-tile Hard IP no Ethernet
  • Kakau inoa
    E hoʻopaʻa inoa i ka ʻike no ka eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Design Example Nā waihona alakaʻi hoʻohana

No nā mana hou loa o kēia alakaʻi hoʻohana, e nānā i ka eCPRI Intel FPGA IP Design Example Palapala Hoʻohana HTML mana. E koho i ka mana a kaomi Download. Inā ʻaʻole i helu ʻia kahi IP a i ʻole ka mana lako polokalamu, pili ke alakaʻi mea hoʻohana no ka IP mua a i ʻole ka mana polokalamu.

Moʻolelo Hoʻoponopono Hou no eCPRI Intel FPGA IP Design Example alakaʻi hoʻohana

Palapala Palapala Intel Quartus
Puhi Puhi
Manaʻo IP Nā hoʻololi
2023.05.19 23.1 2.0.3 • Hoʻohou i ka Simulating the Design Example Testbench pauku ma ka mokuna Hoomaka Hoomaka.
• Hoʻohou i ka inoa ʻohana huahana i "Intel Agilex 7".
2022.11.15 22.3 2.0.1 Nā ʻōlelo kuhikuhi hou no ka simulator VCS ma ka ʻāpana: Hoʻohālikelike i ka Design Example Hōʻikeʻike.
2022.07.01 22.1 1.4.1 • Hoʻohui i ka hoʻolālā ʻenehana exampke kākoʻo no nā ʻano like ʻole o ka hāmeʻa Intel Agilex 7 F-tile.
• Kākoʻo hoʻohui ʻia no nā pahu hoʻomohala aʻe:
— Intel Agilex 7 I-Series FPGA Development Kit
— Intel Agilex 7 I-Series Transceiver-SoC Development Kit
• Hoʻohui kākoʻo no QuestaSim simulator.
• Wehe 'ia ke kāko'o no ModelSim* SE simulator.
2021.10.01 21.2 1.3.1 • Kākoʻo hoʻohui ʻia no nā polokalamu Intel Agilex 7 F-tile.
• Kākoʻo hoʻohui ʻia no nā manaʻo hoʻolālā multi-channel.
• Papa Hana Hou: eCPRI Intel FPGA IP Hardware Design Example Palapala Palapala.
• Wehe 'ia ke kāko'o no NCSim simulator.
2021.02.26 20.4 1.3.0 • Hoʻohui kākoʻo no nā polokalamu Intel Agilex 7 E-tile.
2021.01.08 20.3 1.2.0 • Hoʻololi i ka inoa palapala mai eCPRI Intel Stratix 10 FPGA IP Design Example alakai hoohana i
eCPRI Intel FPGA IP Design Example alakaʻi hoʻohana.
• Hoʻohui kākoʻo no nā hoʻolālā Intel Arria 10.
• ʻO ka eCPRI IP design exampLoaʻa ka le i kēia manawa me ke kākoʻo hiʻohiʻona interworking function (IWF).
• Hoʻohui i kahi leka e wehewehe ai i ka hoʻolālā eCPRI example me IWF hiʻona i loaʻa wale no 9.8 Gbps CPRI
laina bit rate.
• Hoʻohui ʻia nā kūlana ma ka ʻāpana Hoʻoulu i ka Hoʻolālā i ka wā e hana ai i ka hoʻolālā example me
Hoʻohana ʻia ka ʻāpana kākoʻo Interworking Function (IWF).
• Hoʻohui sample simulation test run output with IWF feature enabled in section Simulating the Design
Example Hōʻikeʻike.
• Hoʻohui hou i ka ʻāpana Enobling Dynamic Reconfiguration i ka Ethernet IP.
• ho'āʻo lako lako hou sample puka ma ka pauku
Ke ho'āʻo nei i ka eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • Kākoʻo hoʻohui ʻia no ka helu ʻikepili 10G.
• kahe.c file i kēia manawa me ka hoʻolālā exampe koho i ke ʻano loopback.
• Hoʻololi i ka sample puka no ka ho'āʻo simulation holo i ka pauku Hoʻohālike i ka Design Example Hōʻikeʻike.
• Pākuʻi alapine waiwai no ka holo ana 10G ikepili helu manao ma ka pauku Huipu a me ka hoʻonohonoho 'ana i ka
Hoʻolālā Example ma Lako.
• Hana ʻia ma hope o nā hoʻololi ʻana i ka pauku E hoʻāʻo ana i ka eCPRI Intel FPGA IP Design Example:
- Hoʻohui i nā kauoha e hoʻololi i ka helu data ma waena o 10G a me 25G
- Hoʻohui sample pukana no ka hoololi ana i ka helu helu
- Hoʻohui ʻia ka ʻike hoʻololi TEST_MODE e koho i ka loopback i nā ʻano like ʻole o ka hāmeʻa E-tile.
• Hoʻololi ʻia eCPRI Intel FPGA IP Hardware Design Examples High Level Block Diagram e hoʻokomo hou
poloka.
• Papa Hana Hou: Design Example Nā hōʻailona Interface e hoʻokomo i ka hōʻailona hou.
• Hoʻohou Hoʻolālā Example Kakau Palapala Palapala.
• Hoʻohui ʻia ka ʻāpana hoʻohui hou: Hoʻokumu a Hoʻoiho ʻana i ka Papa Hoʻokō a me Linking Format (.elf) Programming File .
2020.04.13 19.4 1.1.0 Hoʻokuʻu mua.

A. Hoʻokumu a hoʻoiho ʻana i ka Papahana Hoʻokō a me Linking Format (.elf). File

Hōʻike kēia ʻāpana i ka hana ʻana a hoʻoiho i ka .elf file i ka papa:

  1. E hoʻololi i ka papa kuhikuhi iample_dir>/synthesis/quatus.
  2. Ma ka polokalamu Intel Quartus Prime Pro Edition, kaomi Open Project a weheample_dir>/synthesis/quartus/epri_ed.qpf. E koho i nā mea hana ➤ Nios II Software Build Tools for Eclipse.
    Kiʻi 10. Nā Mea Hana Hana Pūnaewele ʻo Nios II no EclipseeCPRI Intel FPGA IP Design - Kiʻi 10
  3. Hōʻike ʻia ka puka makani Workspace Launcher. Ma ka Workspace e kuhikuhi i ke ala e like meample_dir>/synthesis/quatus e mālama i kāu papahana Eclipse. Hōʻike ʻia ka pukaaniani Nios II - Eclipse hou.
    Kiʻi 11. Puka pukaaniani hoʻolaha ʻoihanaeCPRI Intel FPGA IP Design - Kiʻi 11
  4. Ma ka pukaaniani Nios II – Eclipse, kaomi pololei ma lalo o Project Explorer tab, a koho i ka New ➤ Nios II Board Support Package. Hōʻike ka puka makani hou.
    Kiʻi 12. Project Explorer TabeCPRI Intel FPGA IP Design - Kiʻi 12
  5. Ma ka pukaaniani Nios II Board Support Package:
    • Ma ka papa inoa Project, e ho'ākāka i kou inoa papahana makemake.
    • Ma ka ʻike SOPC File hoʻokolo inoa, huli i kahi oample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Kaomiʻo Finish.
    Kiʻi 13. Nios II Papa Kākoʻo Paʻi puka makanieCPRI Intel FPGA IP Design - Kiʻi 13
  6. Hōʻike ʻia ka papahana hou ma lalo o Project Explorer tab ma ka pukaaniani Nios II Eclipse. Kaomi ʻākau ma lalo o Project Explorer tab, a koho iā Nios II ➤ Nios II Command Shell.
    Kiʻi 14. Project Explorer- Nios II Command ShelleCPRI Intel FPGA IP Design - Kiʻi 14
  7. Ma ka Nios II Command Shell, e kikokiko i nā kauoha ʻekolu: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. ʻO ka .elf file hana ʻia ma kēia wahi:ample_dir>/ synthesis/ip_components/software/ /app.
  9. Kākau i kēia kauoha ma ka Nios II Command Shell e hoʻoiho i ka .elf i ka papa:
    • No Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • No Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

logo InteleCPRI Intel FPGA IP Design - ikona Online Version
eCPRI Intel FPGA IP Design - ikona 1 Hoʻouna Manaʻo
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design Example alakaʻi hoʻohana

Palapala / Punawai

Intel eCPRI Intel FPGA IP Design [pdf] Ke alakaʻi hoʻohana
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

Nā kuhikuhi

Waiho i kahi manaʻo

ʻAʻole e paʻi ʻia kāu leka uila. Hōʻailona ʻia nā kahua i makemake ʻia *