eCPRI Intel® FPGA IP Disinn
Example Gwida għall-Utent
Aġġornat għal Intel®
Quartus®
Prime Design Suite: 23.1
Verżjoni IP: 2.0.3
Gwida Quick Start
Il-qalba Intel® FPGA IP mtejba tal-Interface Pubblika tar-Radju Komuni (eCPRI) timplimenta l-verżjoni 2.0 tal-ispeċifikazzjoni tal-eCPRI. L-eCPRI Intel FPGA IP jipprovdi testbench ta' simulazzjoni u disinn ta' hardware example li jappoġġja l-kumpilazzjoni u l-ittestjar tal-ħardwer. Meta tiġġenera d-disinn example, l-editur tal-parametru awtomatikament joħloq il- files meħtieġa biex jissimulaw, jikkompilaw, u jittestjaw id-disinn example fil-ħardwer.
Id-disinn tal-ħardwer miġbur example timxi fuq:
- Intel Agilex™ 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit
- Intel Stratix® 10 GX Transceiver Kit ta' Żvilupp tal-Integrità tas-Sinjal għad-disinn H-tile examples
- Intel Stratix 10 TX Transceiver Kit ta' Żvilupp tal-Integrità tas-Sinjal għad-disinn E-tile examples
- Kit ta' Żvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Arria® 10 GX
Intel jipprovdi ex-kumpilazzjoni bissample proġett li tista 'tuża biex tistma malajr iż-żona ċentrali u l-ħin tal-IP.
Il-bank tat-test u d-disinn example tappoġġja rati tad-dejta 25G u 10G għal Intel Stratix 10 H-tile jew E-tile u Intel Agilex 7 E-tile jew varjazzjonijiet tal-apparat F-tile tal-eCPRI IP.
Nota: Id-disinn tal-eCPRI IP example b'funzjoni ta 'interworking (IWF) hija disponibbli biss għal rata tal-bit tal-linja CPRI ta' 9.8 Gbps fir-rilaxx attwali.
Nota: Id-disinn tal-eCPRI IP example ma jappoġġjax rikonfigurazzjoni dinamika għar-rata tad-dejta 10G fid-disinji Intel Arria 10.
Id-disinn tal-qalba tal-eCPRI Intel FPGA IP example jappoġġja l-karatteristiċi li ġejjin:
- Modalità loopback tas-serje interna TX għal RX
- Ġeneratur tat-traffiku u kontrollur
- Kapaċitajiet bażiċi ta 'kontroll tal-pakkett
- Kapaċità li tuża System Console biex tmexxi d-disinn u tirrisettja d-disinn għal skopijiet ta 'ttestjar mill-ġdid
Korporazzjoni Intel. Id-drittijiet kollha riżervati. Intel, il-logo Intel, u marki oħra Intel huma trademarks ta' Intel Corporation jew is-sussidjarji tagħha. Intel tiggarantixxi l-prestazzjoni tal-prodotti FPGA u semikondutturi tagħha skont l-ispeċifikazzjonijiet attwali skont il-garanzija standard ta 'Intel, iżda tirriżerva d-dritt li tagħmel bidliet fi kwalunkwe prodott u servizz fi kwalunkwe ħin mingħajr avviż. Intel ma tassumi l-ebda responsabbiltà jew responsabbiltà li tirriżulta mill-applikazzjoni jew l-użu ta' kwalunkwe informazzjoni, prodott jew servizz deskritt hawnhekk ħlief kif miftiehem espressament bil-miktub minn Intel. Il-klijenti Intel huma avżati biex jiksbu l-aħħar verżjoni tal-ispeċifikazzjonijiet tal-apparat qabel ma jiddependu fuq kwalunkwe informazzjoni ppubblikata u qabel ma jagħmlu ordnijiet għal prodotti jew servizzi. *Ismijiet u marki oħra jistgħu jiġu mitluba bħala proprjetà ta’ ħaddieħor.
ISO 9001: 2015 Reġistrat
Figura 1. Passi ta' Żvilupp għad-Disinn Eżample
Informazzjoni Relatata
- eCPRI Intel FPGA IP User Guide
- eCPRI Intel FPGA IP Rilaxx Noti
1.1. Ħtiġijiet ta' ħardwer u softwer
Biex tittestja l-example disinn, uża l-ħardwer u s-softwer li ġejjin:
- Verżjoni tas-softwer Intel Quartus® Prime Pro Edition 23.1
- Console tas-Sistema
- Simulaturi appoġġjati:
— Siemens* EDA QuestaSim*
— Synopsys* VCS*
— Synopsys VCS MX
— Aldec* Riviera-PRO*
— Cadence* Xcelium* - Kit ta' Żvilupp:
— Intel Agilex 7 I-Series FPGA Development Kit
— Intel Agilex 7 I-Series Transceiver-SoC Development Kit
— Intel Agilex 7 F-Series Transceiver-SoC Development Kit
— Intel Stratix 10 GX Transceiver Kit ta' Żvilupp tal-Integrità tas-Sinjal għad-disinn tal-varjazzjoni tal-apparat H-tile example
— Żvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Stratix 10 TX għad-disinn tal-varjazzjoni tal-apparat E-tile example
— Intel Arria 10 GX Transceiver Kit ta' Żvilupp tal-Integrità tas-Sinjal
Informazzjoni Relatata
- Intel Agilex 7 I-Series FPGA Development Kit User Guide
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit Gwida għall-Utent
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Intel Agilex 7 F-Series Transceiver-SoC
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Stratix 10 GX
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Stratix 10 TX
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Arria 10 GX
1.2. Ġenerazzjoni tad-Disinn
Prerekwiżit: Ladarba tirċievi l-eCPRI web-core IP, ħlief il- web-installatur tal-qalba għaż-żona lokali. Mexxi l-installatur bil-Windows/Linux. Meta tintalab, installa l- webqalba fl-istess post bħal folder Intel Quartus Prime.
L-eCPRI Intel FPGA IP issa tidher fil-Katalgu IP.
Jekk ma jkollokx diġà proġett Intel Quartus Prime Pro Edition li fih tintegra l-qalba tal-IP eCPRI Intel FPGA tiegħek, trid toħloq wieħed.
- Fis-softwer Intel Quartus Prime Pro Edition, ikklikkja File ➤ Wizard tal-Proġett Ġdid biex toħloq proġett ġdid Intel Quartus Prime, jew ikklikkja File ➤ Proġett Miftuħ biex tiftaħ proġett Intel Quartus Prime eżistenti. Il-wizard iqanqlek biex tispeċifika apparat.
- Speċifika l-familja tal-apparat u apparat li jissodisfa r-rekwiżiti tal-grad tal-veloċità.
- Ikklikkja Finish.
- Fil-Katalgu IP, sib u kklikkja darbtejn eCPRI Intel FPGA IP. Tidher it-tieqa New IP Variant.
Segwi dawn il-passi biex tiġġenera d-disinn tal-ħardwer IP eCPRI example u testbench:
- Fil-Katalgu IP, sib u kklikkja darbtejn eCPRI Intel FPGA IP. Tidher it-tieqa New IP Variant.
- Ikklikkja OK. Jidher l-editur tal-parametri.
Figura 2. Example Design Tab fl-Editur tal-Parametri IP tal-eCPRI Intel FPGA - Speċifika isem tal-ogħla livell għall-varjazzjoni tal-IP tad-dwana tiegħek. L-editur tal-parametri jiffranka s-settings tal-varjazzjoni tal-IP f'a file jismu .ip.
- Ikklikkja OK. Jidher l-editur tal-parametri.
- Fit-tab Ġenerali, speċifika l-parametri għall-varjazzjoni tal-qalba tal-IP tiegħek.
Nota: • Trid tixgħel il-parametru Streaming fl-editur tal-parametru IP eCPRI meta tiġġenera d-disinn example b'parametru ta' Appoġġ tal-Funzjoni ta' Interworking (IWF) attivat,
• Trid issettja r-Rata tal-Bits tal-Linja CPRI (Gbit/s) għal Oħrajn meta tiġġenera d-disinn example b'Parametru ta' Appoġġ tal-Funzjoni ta' Interworking (IWF) attivat. - Fuq l-Eżample Tab tad-disinn, agħżel l-għażla ta 'simulazzjoni biex tiġġenera t-testbench, agħżel l-għażla ta' sinteżi biex tiġġenera l-ħardwer example disinn, u agħżel għażla ta 'sinteżi u simulazzjoni biex tiġġenera kemm it-testbench kif ukoll id-disinn tal-ħardwer example.
- Għal Lingwa għal simulazzjoni tal-ogħla livell file, agħżel Verilog jew VHDL.
Nota: Din l-għażla hija disponibbli biss meta tagħżel l-għażla Simulazzjoni għall-ex tiegħekampdisinn. - Għal Lingwa għal sinteżi tal-ogħla livell file, agħżel Verilog jew VHDL.
Nota: Din l-għażla hija disponibbli biss meta tagħżel l-għażla Sintesi għall-ex tiegħekampdisinn. - Għal Numru ta 'Kanali, tista' ddaħħal in-numru ta 'kanali (1 sa 4) maħsuba għad-disinn tiegħek. Il-valur default huwa 1.
- Ikklikkja Iġġenera Example Disinn. L-Agħżel Example Tieqa tad-Direttorju tad-Disinn tidher.
- Jekk trid timmodifika d-disinn exampmogħdija tad-direttorju tal-le jew isem mill-inadempjenzi murija (ecpri_0_testbench), ibbrawżja sal-mogħdija l-ġdida u ikteb id-disinn il-ġdid exampisem tad-direttorju le.
- Ikklikkja OK.
Informazzjoni Relatata
eCPRI Intel FPGA IP User Guide
1.3. Struttura tad-Direttorju
Id-disinn tal-qalba tal-eCPRI IP example file direttorji fihom dan li ġej iġġenerat files għad-disinn example.
Figura 3. Struttura tad-Direttorju tal-Ex Ġeneratample Disinn
Nota:
- Preżenti biss fid-disinn Intel Arria 10 IP example varjazzjoni .
- Preżenti biss fid-disinn IP Intel Stratix 10 (maduma H jew madum E) example varjazzjoni .
- Preżenti biss fid-disinn Intel Agilex E-tile IP example varjazzjoni .
Tabella 1. eCPRI Intel FPGA IP Core Testbench File Deskrizzjonijiet
File Ismijiet | Deskrizzjoni |
Testbench Ewlenin u Simulazzjoni Files | |
<design_example_dir>/simulation/testbench/ ecpri_tb.sv | Testbench tal-ogħla livell file. Il-bank tat-test jiġġenera t-tgeżwir DUT u jmexxi l-kompiti Verilog HDL biex jiġġenera u jaċċetta pakketti. |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | Wrapper DUT li tistanzia DUT u komponenti oħra tal-bank tat-test. |
<design_example_dir>/simulation/ed_fw/flow.c | Sors tal-kodiċi C file. |
Testbench Scripts | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | L-iskrittura Siemens EDA QuestaSim biex tmexxi t-testbench. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | L-iskrittura Synopsys VCS biex tmexxi t-testbench. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | L-iskrittura Synopsys VCS MX (magħquda Verilog HDL u SystemVerilog ma' VHDL) biex iħaddem il-bank tat-test. |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | L-iskrittura Aldec* Riviera-PRO biex tmexxi t-testbench. |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | L-iskript Cadence* Xcelium biex imexxi t-testbench. |
Tabella 2. eCPRI Intel FPGA IP Core Hardware Design Example File Deskrizzjonijiet
File Ismijiet | Deskrizzjonijiet |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Proġett Intel Quartus Prime file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Issettjar tal-proġett Intel Quartus Prime file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Synopsys Limitazzjonijiet tad-Disinn files. Tista' tikkopja u timmodifika dawn files għad-disinn Intel Stratix 10 tiegħek stess. |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | Disinn Verilog HDL tal-ogħla livell example file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | Wrapper DUT li tistanzia DUT u komponenti oħra tal-bank tat-test. |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | Prinċipali file għall-aċċess għall-Console tas-Sistema (Disponibbli fid-disinji Intel Stratix 10 H-tile u E-tile). |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | Prinċipali file għall-aċċess għal System Console (Disponibbli fid-disinji Intel Arria 10). |
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | Prinċipali file għall-aċċess għall-Console tas-Sistema (Disponibbli fid-disinji Intel Agilex 7). |
1.4. Simulazzjoni tad-Disinn Eżample Testbench
Figura 4. Proċedura
Segwi dawn il-passi biex tissimula l-bank tat-test:
- Fil-pront tal-kmand, ibdel fid-direttorju tas-simulazzjoni tat-testbenchample_dir>/simulation/setup_scripts.
- Għal varjazzjonijiet tal-apparat Intel Agilex F-tile, segwi dawn il-passi:
a. Innaviga lejn il-ample_dir>/simulation/quartus direttorju u mexxi dawn iż-żewġ kmandi hawn taħt: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
Alternattivament, tista' tiftaħ il-proġett ecpri_ed.qpf f'Intel Quartus Prime Pro Edition u twettaq il-kumpilazzjoni sakemm Support Logic Generation stage.
b. Innaviga lejn il-ample_dir>/simulation/setup_scripts direttorju.
c. Mexxi l-kmand li ġej: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - Mexxi l-iskrittura tas-simulazzjoni għas-simulatur appoġġjat tal-għażla tiegħek. L-iskrittura tiġbor u tmexxi t-testbench fis-simulatur. Irreferi għat-tabella Passi biex Tissimula t-Testbench.
Nota: L-appoġġ tal-lingwa VHDL għas-simulazzjoni huwa disponibbli biss mas-simulaturi QuestaSim u VCS MX. L-appoġġ tal-lingwa Verilog għas-simulazzjoni huwa disponibbli għas-simulaturi kollha elenkati fit-Tabella: Passi biex Jissimula t-Testbench. - Analizza r-riżultati. Il-bank tat-test b'suċċess jibgħat u jirċievi pakketti, u juri "PASSED".
Tabella 3. Passi biex Jissimula t-Testbench
Simulatur | Istruzzjonijiet |
QuestaSim | Fil-linja tal-kmand, ittajpja vsim -do run_vsim.do Jekk tippreferi tissimula mingħajr ma ġġib il-GUI QuestaSim, ittajpja vsim -c -do run_vsim.do |
VCS | • Fil-linja tal-kmand, ittajpja sh run_vcs.sh • Innaviga lejn il-ample_dir>/simulation/setup_scripts/ synopsys/vcs u mexxi l-kmand li ġej: sh run_vcs.sh |
VCS MX | Fil-linja tal-kmand, ittajpja sh run_vcsmx.sh |
Riviera-PRO | Fil-linja tal-kmand, ittajpja vsim -c -do run_rivierapro.tcl Nota: Appoġġjat biss fil-varjazzjonijiet tad-disinn tal-madum Intel Stratix 10 H. |
Xcelium(1) | Fil-linja tal-kmand, ittajpja sh run_xcelium.sh |
- Dan is-simulatur mhuwiex appoġġat għad-disinn eCPRI Intel FPGA IP example iġġenerat bil-karatteristika IWF attivata.
Sample Output: Is-segwenti sampl-output juri prova ta' simulazzjoni b'suċċess tad-disinn eCPRI IP example mingħajr karatteristika IWF attivata b'Numru ta' Kanali = 4:
# Stennija għall-allinjament RX
# RX deskew imsakkar
# L-allinjament tal-karreġġjata RX imsakkar
# Stennija għal ħsara fil-link ċara
# Ħtija tal-link ċara
# Indirizz tas-Sors MAC 0_0 Kanal 0: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 0: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 0: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 0: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 0: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 0: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 0: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 0: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 0: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 0: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 0: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 0: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 0: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 0: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 0: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 0: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 0: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 0: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 0: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 0: 00000241
# Verżjoni eCPRI Kanal 0: 2
# Indirizz tas-Sors MAC 0_0 Kanal 1: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 1: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 1: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 1: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 1: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 1: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 1: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 1: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 1: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 1: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 1: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 1: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 1: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 1: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 1: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 1: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 1: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 1: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 1: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 1: 00000241
# Verżjoni eCPRI Kanal 1: 2
# Indirizz tas-Sors MAC 0_0 Kanal 2: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 2: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 2: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 2: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 2: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 2: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 2: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 2: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 2: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 2: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 2: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 2: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 2: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 2: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 2: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 2: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 2: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 2: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 2: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 2: 00000241
# Verżjoni eCPRI Kanal 2: 2
# Indirizz tas-Sors MAC 0_0 Kanal 3: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 3: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 3: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 3: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 3: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 3: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 3: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 3: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 3: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 3: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 3: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 3: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 3: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 3: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 3: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 3: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 3: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 3: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 3: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 3: 00000241
# Verżjoni eCPRI Kanal 3: 2
# ________________________________________________________________
# INFORMAZZJONI: Barra mill-istatus ta 'reset
# ________________________________________________________________
#
#
# Channel 0 eCPRI TX SOPs għadd : 0
# Channel 0 eCPRI TX EOPs għadd : 0
# Channel 0 eCPRI RX SOPs għadd : 0
# Channel 0 eCPRI RX EOPs għadd : 0
# Channel 0 Esterni PTP TX SOPs għadd : 0
# Channel 0 Esterni PTP TX EOPs għadd : 0
# Channel 0 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 0 : 0
# Channel 0 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 0 : 0
# Channel 1 eCPRI TX SOPs għadd : 0
# Channel 1 eCPRI TX EOPs għadd : 0
# Channel 1 eCPRI RX SOPs għadd : 0
# Channel 1 eCPRI RX EOPs għadd : 0
# Channel 1 Esterni PTP TX SOPs għadd : 0
# Channel 1 Esterni PTP TX EOPs għadd : 0
# Channel 1 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 1 : 0
# Channel 1 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 1 : 0
# Channel 2 eCPRI TX SOPs għadd : 0
# Channel 2 eCPRI TX EOPs għadd : 0
# Channel 2 eCPRI RX SOPs għadd : 0
# Channel 2 eCPRI RX EOPs għadd : 0
# Channel 2 Esterni PTP TX SOPs għadd : 0
# Channel 2 Esterni PTP TX EOPs għadd : 0
# Channel 2 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 2 : 0
# Channel 2 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 2 : 0
# Channel 3 eCPRI TX SOPs għadd : 0
# Channel 3 eCPRI TX EOPs għadd : 0
# Channel 3 eCPRI RX SOPs għadd : 0
# Channel 3 eCPRI RX EOPs għadd : 0
# Channel 3 Esterni PTP TX SOPs għadd : 0
# Channel 3 Esterni PTP TX EOPs għadd : 0
# Channel 3 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 3 : 0
# Channel 3 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 3 : 0
# ________________________________________________________________
# INFORMAZZJONI: Ibda tittrasmetti pakketti
# ________________________________________________________________
#
#
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 0 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 0 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 0 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 0 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 0 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 0 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 1 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 1 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 1 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 1 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 1 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 1 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 2 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 2 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 2 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 2 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 2 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 2 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 3 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 3 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 3 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 3 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 3 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 3 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# ________________________________________________________________
# INFORMAZZJONI: Waqqaf it-trażmissjoni tal-pakketti
# ________________________________________________________________
#
#
# ________________________________________________________________
# INFORMAZZJONI: Iċċekkja l-istatistika tal-pakketti
# ________________________________________________________________
#
#
# Channel 0 eCPRI SOPs trażmessi: 300
# Channel 0 eCPRI EOPs trażmessi: 300
# Channel 0 eCPRI SOPs riċevuti: 300
# Channel 0 eCPRI EOPs riċevuti: 300
# Channel 0 eCPRI Żball irrapportat: 0
# Channel 0 Esterni PTP SOPs trażmessi: 4
# Kanal 0 EOPs PTP Esterni trażmessi: 4
# Channel 0 Esterni MISC SOPs trażmessi: 128
# Channel 0 EOPs MISC Esterni trażmessi: 128
# Channel 0 SOP Esterni riċevuti: 132
# Channel 0 EOPs Esterni riċevuti: 132
# Channel 0 Esterni PTP SOPs riċevuti: 4
# Kanal 0 EOPs PTP Esterni riċevuti: 4
# Channel 0 Esterni MISC SOPs riċevuti: 128
# Channel 0 EOPs MISC Esterni riċevuti: 128
# Channel 0 Żball Estern irrappurtat: 0
# Channel 0 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# Channel 1 eCPRI SOPs trażmessi: 300
# Channel 1 eCPRI EOPs trażmessi: 300
# Channel 1 eCPRI SOPs riċevuti: 300
# Channel 1 eCPRI EOPs riċevuti: 300
# Channel 1 eCPRI Żball irrapportat: 0
# Channel 1 Esterni PTP SOPs trażmessi: 4
# Kanal 1 EOPs PTP Esterni trażmessi: 4
# Channel 1 Esterni MISC SOPs trażmessi: 128
# Channel 1 EOPs MISC Esterni trażmessi: 128
# Channel 1 SOP Esterni riċevuti: 132
# Channel 1 EOPs Esterni riċevuti: 132
# Channel 1 Esterni PTP SOPs riċevuti: 4
# Kanal 1 EOPs PTP Esterni riċevuti: 4
# Channel 1 Esterni MISC SOPs riċevuti: 128
# Channel 1 EOPs MISC Esterni riċevuti: 128
# Channel 1 Żball Estern irrappurtat: 0
# Channel 1 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# Channel 2 eCPRI SOPs trażmessi: 300
# Channel 2 eCPRI EOPs trażmessi: 300
# Channel 2 eCPRI SOPs riċevuti: 300
# Channel 2 eCPRI EOPs riċevuti: 300
# Channel 2 eCPRI Żball irrapportat: 0
# Channel 2 Esterni PTP SOPs trażmessi: 4
# Kanal 2 EOPs PTP Esterni trażmessi: 4
# Channel 2 Esterni MISC SOPs trażmessi: 128
# Channel 2 EOPs MISC Esterni trażmessi: 128
# Channel 2 SOP Esterni riċevuti: 132
# Channel 2 EOPs Esterni riċevuti: 132
# Channel 2 Esterni PTP SOPs riċevuti: 4
# Kanal 2 EOPs PTP Esterni riċevuti: 4
# Channel 2 Esterni MISC SOPs riċevuti: 128
# Channel 2 EOPs MISC Esterni riċevuti: 128
# Channel 2 Żball Estern irrappurtat: 0
# Channel 2 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# Channel 3 eCPRI SOPs trażmessi: 300
# Channel 3 eCPRI EOPs trażmessi: 300
# Channel 3 eCPRI SOPs riċevuti: 300
# Channel 3 eCPRI EOPs riċevuti: 300
# Channel 3 eCPRI Żball irrapportat: 0
# Channel 3 Esterni PTP SOPs trażmessi: 4
# Kanal 3 EOPs PTP Esterni trażmessi: 4
# Channel 3 Esterni MISC SOPs trażmessi: 128
# Channel 3 EOPs MISC Esterni trażmessi: 128
# Channel 3 SOP Esterni riċevuti: 132
# Channel 3 EOPs Esterni riċevuti: 132
# Channel 3 Esterni PTP SOPs riċevuti: 4
# Kanal 3 EOPs PTP Esterni riċevuti: 4
# Channel 3 Esterni MISC SOPs riċevuti: 128
# Channel 3 EOPs MISC Esterni riċevuti: 128
# Channel 3 Żball Estern irrappurtat: 0
# Channel 3 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# ________________________________________________________________
# INFORMAZZJONI: Test MGĦADDA
#
# ________________________________________________________________
Sample Output: Is-segwenti sampl-output juri prova ta' simulazzjoni b'suċċess tad-disinn eCPRI IP example bil-karatteristika IWF attivata b'Numru ta' Kanali = 4:
# Ippermetti CPRI TX
# CPRI Channel 0 L1_CONFIG : 00000001
# CPRI Channel 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 1 L1_CONFIG : 00000001
# CPRI Channel 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 2 L1_CONFIG : 00000001
# CPRI Channel 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 3 L1_CONFIG : 00000001
# CPRI Channel 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Stennija għall-allinjament RX
# RX deskew imsakkar
# L-allinjament tal-karreġġjata RX imsakkar
# Stennija għal ħsara fil-link ċara
# Ħtija tal-link ċara
# Indirizz tas-Sors MAC 0_0 Kanal 0: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 0: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 0: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 0: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 0: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 0: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 0: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 0: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 0: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 0: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 0: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 0: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 0: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 0: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 0: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 0: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 0: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 0: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 0: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 0: 00000241
# Verżjoni eCPRI Kanal 0: 2
# Indirizz tas-Sors MAC 0_0 Kanal 1: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 1: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 1: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 1: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 1: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 1: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 1: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 1: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 1: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 1: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 1: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 1: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 1: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 1: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 1: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 1: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 1: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 1: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 1: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 1: 00000241
# Verżjoni eCPRI Kanal 1: 2
# Indirizz tas-Sors MAC 0_0 Kanal 2: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 2: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 2: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 2: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 2: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 2: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 2: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 2: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 2: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 2: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 2: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 2: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 2: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 2: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 2: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 2: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 2: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 2: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 2: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 2: 00000241
# Verżjoni eCPRI Kanal 2: 2
# Indirizz tas-Sors MAC 0_0 Kanal 3: 33445566
# Indirizz tas-Sors MAC 0_1 Kanal 3: 00007788
# Indirizz tad-Destinazzjoni MAC 0_0 Kanal 3: 33445566
# Indirizz tad-Destinazzjoni MAC 0_1 Kanal 3: 00007788
# Indirizz tad-Destinazzjoni MAC 1_0 Kanal 3: 11223344
# Indirizz tad-Destinazzjoni MAC 1_1 Kanal 3: 00005566
# Indirizz tad-Destinazzjoni MAC 2_0 Kanal 3: 22334455
# Indirizz tad-Destinazzjoni MAC 2_1 Kanal 3: 00006677
# Indirizz tad-Destinazzjoni MAC 3_0 Kanal 3: 44556677
# Indirizz tad-Destinazzjoni MAC 3_1 Kanal 3: 00008899
# Indirizz tad-Destinazzjoni MAC 4_0 Kanal 3: 66778899
# Indirizz tad-Destinazzjoni MAC 4_1 Kanal 3: 0000aabb
# Indirizz tad-Destinazzjoni MAC 5_0 Kanal 3: 778899aa
# Indirizz tad-Destinazzjoni MAC 5_1 Kanal 3: 0000bbcc
# Indirizz tad-Destinazzjoni MAC 6_0 Kanal 3: 8899aabb
# Indirizz tad-Destinazzjoni MAC 6_1 Kanal 3: 0000ccdd
# Indirizz tad-Destinazzjoni MAC 7_0 Kanal 3: 99aabbcc
# Indirizz tad-Destinazzjoni MAC 7_1 Kanal 3: 0000ddee
# Kanal ta' Kontroll Komuni eCPRI 3: 00000041
# Ippermetti l-interruzzjoni tal-Kanal ta' Kontroll Komuni eCPRI 3: 00000241
# Verżjoni eCPRI Kanal 3: 2
# Stennija għal CPRI tikseb l-istat tal-konnessjoni HSYNC
# CPRI Channel 0 Istat HSYNC miksub
# CPRI Channel 1 Istat HSYNC miksub
# CPRI Channel 2 Istat HSYNC miksub
# CPRI Channel 3 Istat HSYNC miksub
# 11100250000 Ikteb 1 għal nego_bitrate_complete
# 11100650000 Votazzjoni PROT_VER Kanal 0
# ________________________________________________________________
# 11100850000 Reġistru tal-votazzjoni: a0000010
# ________________________________________________________________
# 13105050000 Votazzjoni PROT_VER Kanal 1
# ________________________________________________________________
# 13105250000 Reġistru tal-votazzjoni: a0800010
# ________________________________________________________________
# 13105950000 Votazzjoni PROT_VER Kanal 2
# ________________________________________________________________
# 13106150000 Reġistru tal-votazzjoni: a1000010
# ________________________________________________________________
# 13106850000 Votazzjoni PROT_VER Kanal 3
# ________________________________________________________________
# 13107050000 Reġistru tal-votazzjoni: a1800010
# ________________________________________________________________
# 13107750000 Ikteb 1 għal nego_protol_complete
# 13108150000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# ________________________________________________________________
# 13108350000 Reġistru tal-votazzjoni: a0000020
# ________________________________________________________________
# 14272050000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# ________________________________________________________________
# 14272250000 Reġistru tal-votazzjoni: a0800020
# ________________________________________________________________
# 14272950000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# ________________________________________________________________
# 14273150000 Reġistru tal-votazzjoni: a1000020
# ________________________________________________________________
# 14273850000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# ________________________________________________________________
# 14274050000 Reġistru tal-votazzjoni: a1800020
# ________________________________________________________________
# 14274750000 Ikteb 1 għal nego_cm_complete
# 14275150000 Ikteb 1 għal nego_vss_complete
# Stennija għal CPRI Channel 0 tikseb HSYNC u sekwenza tal-istartjar FSM STATE_F
# CPRI Channel 0 HSYNC & sekwenza tal-istartjar FSM STATE_F miksuba
# Stennija għal CPRI Channel 1 tikseb HSYNC u sekwenza tal-istartjar FSM STATE_F
# CPRI Channel 1 HSYNC & sekwenza tal-istartjar FSM STATE_F miksuba
# Stennija għal CPRI Channel 2 tikseb HSYNC u sekwenza tal-istartjar FSM STATE_F
# CPRI Channel 2 HSYNC & sekwenza tal-istartjar FSM STATE_F miksuba
# Stennija għal CPRI Channel 3 tikseb HSYNC u sekwenza tal-istartjar FSM STATE_F
# CPRI Channel 3 HSYNC & sekwenza tal-istartjar FSM STATE_F miksuba
# ________________________________________________________________
# INFORMAZZJONI: Barra mill-istatus ta 'reset
# ________________________________________________________________
#
#
# Channel 0 eCPRI TX SOPs għadd : 0
# Channel 0 eCPRI TX EOPs għadd : 0
# Channel 0 eCPRI RX SOPs għadd : 0
# Channel 0 eCPRI RX EOPs għadd : 0
# Channel 0 Esterni PTP TX SOPs għadd : 0
# Channel 0 Esterni PTP TX EOPs għadd : 0
# Channel 0 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 0 : 0
# Channel 0 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 0 : 0
# Channel 1 eCPRI TX SOPs għadd : 0
# Channel 1 eCPRI TX EOPs għadd : 0
# Channel 1 eCPRI RX SOPs għadd : 0
# Channel 1 eCPRI RX EOPs għadd : 0
# Channel 1 Esterni PTP TX SOPs għadd : 0
# Channel 1 Esterni PTP TX EOPs għadd : 0
# Channel 1 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 1 : 0
# Channel 1 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 1 : 0
# Channel 2 eCPRI TX SOPs għadd : 0
# Channel 2 eCPRI TX EOPs għadd : 0
# Channel 2 eCPRI RX SOPs għadd : 0
# Channel 2 eCPRI RX EOPs għadd : 0
# Channel 2 Esterni PTP TX SOPs għadd : 0
# Channel 2 Esterni PTP TX EOPs għadd : 0
# Channel 2 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 2 : 0
# Channel 2 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 2 : 0
# Channel 3 eCPRI TX SOPs għadd : 0
# Channel 3 eCPRI TX EOPs għadd : 0
# Channel 3 eCPRI RX SOPs għadd : 0
# Channel 3 eCPRI RX EOPs għadd : 0
# Channel 3 Esterni PTP TX SOPs għadd : 0
# Channel 3 Esterni PTP TX EOPs għadd : 0
# Channel 3 Esterni MISC TX SOPs għadd : 0
# Għadd ta' EOPs MISC TX Esterni tal-Kanal 3 : 0
# Channel 3 Esterni RX SOPs għadd : 0
# Għadd ta' EOPs RX Esterni tal-Kanal 3 : 0
# ________________________________________________________________
# INFORMAZZJONI: Ibda tittrasmetti pakketti
# ________________________________________________________________
#
#
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 0 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 0 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 0 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 0 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 0 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 0 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 1 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 1 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 1 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 1 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 1 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 1 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 2 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 2 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 2 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 2 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 2 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 2 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku Channel 3 eCPRI TX biex jitlesta
# INFORMAZZJONI: It-trasferiment tat-traffiku tal-Kanal 3 eCPRI TX tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku tal-Channel 3 eCPRI Estern TX PTP lejn
kompluta
# INFORMAZZJONI: Channel 3 eCPRI External TX PTP trasferiment tat-traffiku tlesta
# INFORMAZZJONI: Stennija għat-trasferiment tat-traffiku ta' Channel 3 eCPRI External TX Misc lejn
kompluta
# INFORMAZZJONI: Channel 3 eCPRI External TX Misc trasferiment tat-traffiku tlesta
# ________________________________________________________________
# INFORMAZZJONI: Waqqaf it-trażmissjoni tal-pakketti
# ________________________________________________________________
#
#
# ________________________________________________________________
# INFORMAZZJONI: Iċċekkja l-istatistika tal-pakketti
# ________________________________________________________________
#
#
# Channel 0 eCPRI SOPs trażmessi: 50
# Channel 0 eCPRI EOPs trażmessi: 50
# Channel 0 eCPRI SOPs riċevuti: 50
# Channel 0 eCPRI EOPs riċevuti: 50
# Channel 0 eCPRI Żball irrapportat: 0
# Channel 0 Esterni PTP SOPs trażmessi: 4
# Kanal 0 EOPs PTP Esterni trażmessi: 4
# Channel 0 Esterni MISC SOPs trażmessi: 128
# Channel 0 EOPs MISC Esterni trażmessi: 128
# Channel 0 SOP Esterni riċevuti: 132
# Channel 0 EOPs Esterni riċevuti: 132
# Channel 0 Esterni PTP SOPs riċevuti: 4
# Kanal 0 EOPs PTP Esterni riċevuti: 4
# Channel 0 Esterni MISC SOPs riċevuti: 128
# Channel 0 EOPs MISC Esterni riċevuti: 128
# Channel 0 Żball Estern irrappurtat: 0
# Channel 0 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# Channel 1 eCPRI SOPs trażmessi: 50
# Channel 1 eCPRI EOPs trażmessi: 50
# Channel 1 eCPRI SOPs riċevuti: 50
# Channel 1 eCPRI EOPs riċevuti: 50
# Channel 1 eCPRI Żball irrapportat: 0
# Channel 1 Esterni PTP SOPs trażmessi: 4
# Kanal 1 EOPs PTP Esterni trażmessi: 4
# Channel 1 Esterni MISC SOPs trażmessi: 128
# Channel 1 EOPs MISC Esterni trażmessi: 128
# Channel 1 SOP Esterni riċevuti: 132
# Channel 1 EOPs Esterni riċevuti: 132
# Channel 1 Esterni PTP SOPs riċevuti: 4
# Kanal 1 EOPs PTP Esterni riċevuti: 4
# Channel 1 Esterni MISC SOPs riċevuti: 128
# Channel 1 EOPs MISC Esterni riċevuti: 128
# Channel 1 Żball Estern irrappurtat: 0
# Channel 1 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# Channel 2 eCPRI SOPs trażmessi: 50
# Channel 2 eCPRI EOPs trażmessi: 50
# Channel 2 eCPRI SOPs riċevuti: 50
# Channel 2 eCPRI EOPs riċevuti: 50
# Channel 2 eCPRI Żball irrapportat: 0
# Channel 2 Esterni PTP SOPs trażmessi: 4
# Kanal 2 EOPs PTP Esterni trażmessi: 4
# Channel 2 Esterni MISC SOPs trażmessi: 128
# Channel 2 EOPs MISC Esterni trażmessi: 128
# Channel 2 SOP Esterni riċevuti: 132
# Channel 2 EOPs Esterni riċevuti: 132
# Channel 2 Esterni PTP SOPs riċevuti: 4
# Kanal 2 EOPs PTP Esterni riċevuti: 4
# Channel 2 Esterni MISC SOPs riċevuti: 128
# Channel 2 EOPs MISC Esterni riċevuti: 128
# Channel 2 Żball Estern irrappurtat: 0
# Channel 2 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# Channel 3 eCPRI SOPs trażmessi: 50
# Channel 3 eCPRI EOPs trażmessi: 50
# Channel 3 eCPRI SOPs riċevuti: 50
# Channel 3 eCPRI EOPs riċevuti: 50
# Channel 3 eCPRI Żball irrapportat: 0
# Channel 3 Esterni PTP SOPs trażmessi: 4
# Kanal 3 EOPs PTP Esterni trażmessi: 4
# Channel 3 Esterni MISC SOPs trażmessi: 128
# Channel 3 EOPs MISC Esterni trażmessi: 128
# Channel 3 SOP Esterni riċevuti: 132
# Channel 3 EOPs Esterni riċevuti: 132
# Channel 3 Esterni PTP SOPs riċevuti: 4
# Kanal 3 EOPs PTP Esterni riċevuti: 4
# Channel 3 Esterni MISC SOPs riċevuti: 128
# Channel 3 EOPs MISC Esterni riċevuti: 128
# Channel 3 Żball Estern irrappurtat: 0
# Channel 3 Esterni Timestamp Żball fil-marki tas-swaba' rrappurtat: 0
# ________________________________________________________________
# INFORMAZZJONI: Test MGĦADDA
#
# ________________________________________________________________
1.4.1. Jippermettu Rikonfigurazzjoni Dinamika għall-IP Ethernet
B'mod awtomatiku, ir-rikonfigurazzjoni dinamika hija diżattivata fid-disinn eCPRI IP example u huwa applikabbli biss għal Intel Stratix 10 (maduma E u madum H) u Intel Agilex 7 (maduma E) disinn examples.
- Fittex il-linja li ġejja fit-test_wrapper.sv mill-ġeneratample_dir>/simulation/testbench direttorju: parametru ETHERNET_DR_EN = 0
- Ibdel il-valur minn 0 għal 1: parametru ETHERNET_DR_EN = 1
- Erġa' wettaq is-simulazzjoni billi tuża l-istess iġġenerat exampdirettorju tad-disinn le.
1.5. Il-kumpilazzjoni tal-Proġett ta' Kumpilazzjoni Biss
Biex tiġbor il-kumpilazzjoni biss exampil-proġett, segwi dawn il-passi:
- Tiżgura disinn tal-kumpilazzjoni exampil-ġenerazzjoni hija kompluta.
- Fis-softwer Intel Quartus Prime Pro Edition, iftaħ il-proġett Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- Fuq il-menu Ipproċessar, ikklikkja Ibda l-Kompilazzjoni.
- Wara kumpilazzjoni b'suċċess, rapporti għall-ħin u għall-użu tar-riżorsi huma disponibbli fis-sessjoni tiegħek Intel Quartus Prime Pro Edition. Mur Ipproċessar ➤ Rapport tal-Kompilazzjoni lil view ir-rapport dettaljat dwar il-kumpilazzjoni.
Informazzjoni Relatata
Flussi ta' Disinn Ibbażat fuq Blokk
1.6. Kumpilazzjoni u Konfigurazzjoni tad-Disinn Eżample fil-Ħardwer
Biex tiġbor id-disinn tal-ħardwer example u kkonfiguraha fuq it-tagħmir Intel tiegħek, segwi dawn il-passi:
- Tiżgura disinn tal-ħardwer exampil-ġenerazzjoni hija kompluta.
- Fis-softwer Intel Quartus Prime Pro Edition, iftaħ il-proġett Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
- Fuq il-menu Ipproċessar, ikklikkja Ibda l-Kompilazzjoni.
- Wara kumpilazzjoni b'suċċess, .sof file huwa disponibbli fiample_dir>/ synthesis/quartus/output_filedirettorju s. Segwi dawn il-passi biex tipprogramma d-disinn tal-ħardwer example fuq l-apparat Intel FPGA:
a. Qabbad Development Kit mal-kompjuter ospitanti.
b. Tniedi l-applikazzjoni tal-Kontroll tal-Arloġġ, li hija parti mill-kit tal-iżvilupp, u ssettja l-frekwenzi l-ġodda għad-disinn example. Hawn taħt hawn l-issettjar tal-frekwenza fl-applikazzjoni tal-Kontroll tal-Arloġġ:
• Jekk qed timmira d-disinn tiegħek fuq Intel Stratix 10 GX SI Development Kit:
— U5, OUT8- 100 MHz
— U6, OUT3- 322.265625 MHz
— U6, OUT4 u OUT5- 307.2 MHz
• Jekk qed timmira d-disinn tiegħek fuq Intel Stratix 10 TX SI Development Kit:
— U1, CLK4- 322.265625 MHz (Għal rata tad-dejta 25G)
— U6- 156.25 MHz (Għal rata tad-dejta 10G)
— U3, OUT3- 100 MHz
— U3, OUT8- 153.6 MHz
• Jekk qed timmira d-disinn tiegħek fuq Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
— U37, CLK1A- 100 MHz
— U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 MHz
• Jekk qed timmira d-disinn tiegħek fuq Intel Arria 10 GX SI Development Kit:
— U52, CLK0- 156.25 MHz
— U52, CLK1- 250 MHz
— U52, CLK3- 125 MHz
— Y5- 307.2 MHz
— Y6- 322.265625 MHz
c. Fuq il-menu Għodda, ikklikkja Programmatur.
d. Fil-Programmer, ikklikkja Hardware Setup.
e. Agħżel apparat ta 'programmar.
f. Agħżel u żid il-Kit ta' Żvilupp li miegħu tista' tikkonnettja s-sessjoni Intel Quartus Prime Pro Edition tiegħek.
g. Kun żgur li l-Modalità hija ssettjata għal JTAG.
h. Agħżel l-apparat u kklikkja Żid Apparat. Il-Programmatur juri dijagramma blokk tal-konnessjonijiet bejn l-apparati fuq il-bord tiegħek.
i. Tagħbija l-.sof file lill-apparat Intel FPGA rispettiv tiegħek.
j. Tagħbija l-format Esegwibbli u Linking (.elf) file lill-Intel Stratix 10 tiegħek jew
Apparat Intel Agilex 7 jekk qed tippjana li twettaq ir-rikonfigurazzjoni dinamika (DR) biex taqleb ir-rata tad-dejta bejn 25G u 10G. Segwi l-istruzzjonijiet mill-Ipprogrammar tal-Ġenerazzjoni u Tniżżil tal-Format Eżegwibbli u tal-Irbit (.elf). File f'paġna 38 biex tiġġenera l-.elf file.
k. Fir-ringiela bil-.sof tiegħek, iċċekkja l-kaxxa Program/Configure għall-.sof file.
l. Ikklikkja Ibda.
Informazzjoni Relatata
- Disinn Ibbażat fuq Blokk
- Gwida għall-Utent tal-Programmer Intel Quartus Prime
- Analiżi u Debugging Disinni b'Console tas-Sistema
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Intel Agilex 7 F-Series Transceiver-SoC
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Stratix 10 GX
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Stratix 10 TX
- Gwida għall-Utent tal-Kit għall-Iżvilupp tal-Integrità tas-Sinjal tat-Transceiver Intel Arria 10 GX
1.7. Ittestjar tal-eCPRI Intel FPGA IP Design Example
Wara li tikkompila l-eCPRI Intel FPGA IP core design example u kkonfiguraha fuq it-tagħmir Intel FPGA tiegħek, tista 'tuża s-System Console biex tipprogramma l-qalba tal-IP u r-reġistri tal-qalba tal-IP PHY Native inkorporati tagħha.
Biex tixgħel is-System Console u tittestja d-disinn tal-ħardwer example, segwi dawn il-passi:
- Wara d-disinn tal-ħardwer example huwa kkonfigurat fuq it-tagħmir Intel, fis-softwer Intel Quartus Prime Pro Edition, fuq il-menu Għodda, ikklikkja Għodda ta' Debugging tas-Sistema ➤ Console tas-Sistema.
- Fil-pannell tal-Console Tcl, ibdel id-direttorju għalample_dir>/ synthesis/quartus/hardware_test u ikteb il-kmand li ġej biex tiftaħ konnessjoni mal-JTAG kaptan u ibda t-test:
• sors ecpri_agilex.tcl għal disinji Intel Agilex 7
• sors ecpri_s10.tcl għal disinji Intel Stratix 10
• sors ecpri_a10.tcl għal disinji Intel Arria 10 - Għall-varjazzjonijiet tat-tagħmir tiegħek Intel Stratix 10 jew Intel Agilex 7 E-tile, trid twettaq kmand ta' loopback intern jew estern darba wara li tipprogramma l-.sof file:
a. Immodifika l-varjabbli TEST_MODE fil-fluss.c file biex tagħżel il-mod loopback:TEST_MODE Azzjoni 0 Serial loopback enable għal simulazzjoni biss 1 Serial loopback enable għall-ħardwer biss 2 Loopback serjali u kalibrazzjoni 3 Kalibrazzjoni biss Int trid tikkompila mill-ġdid u tirriġenera s-softwer NIOS II kull meta tibdel il-fluss.c file.
b. Riġenera l-.elf file u ipprogramma lill-bord għal darba oħra u ipprogramma mill-ġdid il-.sof file. - Ittestja l-operazzjoni tad-disinn permezz tal-kmandi appoġġjati fl-iskrittura tal-console tas-sistema. L-iskrittura tal-console tas-sistema tipprovdi kmandi utli għall-qari tal-istatistika u l-karatteristiċi li jippermettu fid-disinn.
Tabella 4. Kmandi tal-Iskript tal-Console tas-Sistema
Kmand | Deskrizzjoni |
loop_on | Jippermetti TX għal RX loopback serjali intern. Uża għal tagħmir Intel Stratix 10 H-tile u Intel Arria 10 biss. |
loop_off | Jiddiżattiva loopback serjali intern TX għal RX. Uża għal tagħmir Intel Stratix 10 H-tile u Intel Arria 10 biss. |
link _ init _ int _1pbk | Jippermetti TX għal RX loopback serjali intern fi ħdan it-transceiver u jwettaq il-fluss tal-kalibrazzjoni tat-transceiver. Applikabbli għad-disinji Intel Stratix 10 E-tile u Intel Agilex 7 E-tile biss. |
link _ init _ ext _1pbk | Jippermetti TX għal RX loopback estern u jwettaq il-fluss tal-kalibrazzjoni tat-transceiver. Applikabbli għad-disinji Intel Stratix 10 E-tile u Intel Agilex 7 E-tile biss. |
traffiku gen disable | Jiddiżattiva l-ġeneratur tat-traffiku u l-kontrollur. |
stats chkmac | Juri l-istatistika għall-Ethernet MAC. |
read_ test_ statistika | Uri l-istatistika tal-iżbalji għall-ġeneratur tat-traffiku u l-kontrolluri. |
ext _ kontinwu _ mod _en | Jirreset is-sistema tad-disinn kollha, u jippermetti lill-ġeneratur tat-traffiku jiġġenera pakketti kontinwi tat-traffiku. |
dr _ 25g _ sa _ lOg _etile | Taqleb ir-rata tad-dejta tal-Ethernet MAC minn 25G għal 10G. Uża għall-apparat Intel Stratix 10 E-tile u Intel Agilex 7 E-tile biss. |
dr_25g_to_10g_htile | Taqleb ir-rata tad-dejta tal-Ethernet MAC minn 25G għal 10G. Uża għal apparati tal-madum H biss |
dr_10g_to_25g_etile | Taqleb ir-rata tad-dejta tal-Ethernet MAC minn 10G għal 25G. Uża għall-apparat Intel Stratix 10 E-tile u Intel Agilex 7 E-tile biss. |
dr _ 25g _ sa _ lOg _htile | Taqleb ir-rata tad-dejta tal-Ethernet MAC minn 10G għal 25G. Uża għal apparati tal-madum H biss. |
Is-segwenti sampL-output tal-le juri ġirja tat-test b'suċċess:
Stampa tal-Konsola tas-Sistema (Numru ta' Kanali = 1)
Kanal 0 EXT PTP TX SOP Għadd: 256
Kanal 0 EXT PTP TX EOP Għadd: 256
Channel 0 EXT MISC TX SOP Għadd: 36328972
Channel 0 EXT MISC TX Għadd EOP: 36369511
Channel 0 EXT RX SOP Għadd: 36410364
Channel 0 EXT RX Għadd EOP: 36449971
Channel 0 EXT Checker Żbalji: 0
Channel 0 EXT Checker Żbalji Għadd: 0
Channel 0 EXT PTP Żbalji tal-Marki tas-Swaba': 0
Channel 0 EXT PTP Għadd ta' Żbalji tal-Marki tas-Swaba': 0
Channel 0 TX SOP Count: 1337760
Channel 0 TX EOP Count: 1339229
Channel 0 RX SOP Count: 1340728
Channel 0 RX EOP Count: 1342555
Żbalji tal-Kontroll tal-Kanal 0: 0
Għadd ta' Żbalji tal-Kontrollur tal-Kanal 0: 0
==================================================== ============================
==============
STATISTIKA ETHERNET MAC GĦAL Channel 0 (Rx)
==================================================== ============================
==============
Gwarniċi frammentati : 0
Gwarniċi Jabbered : 0
Daqs it-Tajjeb bl-FCS Err Frames : 0
Data multicast Err Frames : 0
Data tax-xandir Err Frames : 0
Data unicast Err Frames : 0
64 Byte Frames : 3641342
65 – 127 Byte Frames : 0
128 – 255 Byte Frames : 37404809
256 – 511 Byte Frames : 29128650
512 – 1023 Byte Frames : 0
1024 – 1518 Byte Frames : 0
1519 – MAX Byte Frames : 0
> Gwarniċi MAX Byte : 0
Data multicast OK Frame : 70174801
Dejta tax-xandir OK Frame : 0
Dejta unicast OK Frames : 0
Gwarniċi ta' Kontroll Multicast : 0
Gwarniċi tal-Kontroll tax-Xandir : 0
Gwarniċi ta' Kontroll Unicast : 0
Gwarniċi tal-Kontroll tal-Pawża : 0
Ottetti tat-tagħbija OK : 11505935812
Frame Octets OK : 12918701444
Rx Tul Massimu tal-Qafas : 1518
Kwalunkwe Daqs b'FCS Err Frame: 0
Kontroll multicast Err Frame : 0
Kontroll tax-xandir Err Frame : 0
Kontroll Unicast Err Frames : 0
Pawża kontroll Err Frames : 0
Tibda Qafas Rx : 70174801
Dan li ġej huwa l-sample output għall-ġirja tat-test 25G sa 10G DR:
Stampa tal-Konsola tas-Sistema (25G sa 10G DR E-tile)
Ibda Rikonfigurazzjoni Dinamika għal Ethernet 25G -> 10G
DR b'suċċess 25G -> 10G
Aċċess għar-Reġistru RX PHY: Iċċekkjar tal-Frekwenzi tal-Arloġġ (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
Votazzjoni dwar l-Istatus RX PHY
Stat tal-Lock tal-Frekwenza Rx 0x0000000f
Mac Arloġġ f'Kundizzjoni OK? 0x00000001
Żball tal-Frame Rx? 0x00000000
Rx PHY Allinjat bis-sħiħ? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 qed jaħdem!
Stampar tal-Konsola tas-Sistema (25G sa 10G DR H-tajl)
Ibda Rikonfigurazzjoni Dinamika għal Ethernet 25G -> 10G
DR b'suċċess 25G -> 10G
Aċċess għar-Reġistru RX PHY: Iċċekkjar tal-Frekwenzi tal-Arloġġ (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
Votazzjoni dwar l-Istatus RX PHY
Stat tal-Lock tal-Frekwenza Rx 0x00000001
Mac Arloġġ f'Kundizzjoni OK? 0x00000007
Żball tal-Frame Rx? 0x00000000
Rx PHY Allinjat bis-sħiħ? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 qed jaħdem!
Stampa tal-Konsola tas-Sistema (10G sa 25G DR E-tile)
Ibda Rikonfigurazzjoni Dinamika għal Ethernet 10G -> 25G
DR b'suċċess 10G -> 25G
Aċċess għar-Reġistru RX PHY: Iċċekkjar tal-Frekwenzi tal-Arloġġ (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
Votazzjoni dwar l-Istatus RX PHY
Stat tal-Lock tal-Frekwenza Rx 0x0000000f
Mac Arloġġ f'Kundizzjoni OK? 0x00000001
Żball tal-Frame Rx? 0x00000000
Rx PHY Allinjat bis-sħiħ? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 qed jaħdem!
Stampar tal-Konsola tas-Sistema (10G sa 25G DR H-tajl)
Ibda Rikonfigurazzjoni Dinamika għal Ethernet 10G -> 25G
DR b'suċċess 10G -> 25G
Aċċess għar-Reġistru RX PHY: Iċċekkjar tal-Frekwenzi tal-Arloġġ (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
Votazzjoni dwar l-Istatus RX PHY
Stat tal-Lock tal-Frekwenza Rx 0x00000001
Mac Arloġġ f'Kundizzjoni OK? 0x00000007
Żball tal-Frame Rx? 0x00000000
Rx PHY Allinjat bis-sħiħ? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 qed jaħdem!
Disinn Eżample Deskrizzjoni
Id-disinn example juri l-funzjonalità bażika tal-qalba tal-eCPRI IP. Tista 'tiġġenera d-disinn mill-Example Tab tad-disinn fl-editur tal-parametri IP eCPRI.
2.1. Karatteristiċi
- Modalità loopback tas-serje interna TX u RX
- Jiġġenera awtomatikament pakketti ta 'daqs fiss
- Kapaċitajiet bażiċi ta 'kontroll tal-pakkett
- Kapaċità li tuża System Console biex tittestja d-disinn u reset id-disinn għal skop ta 'ttestjar mill-ġdid
2.2. Disinn tal-Ħardwer Eżample
Figura 5. Dijagramma tal-Blokk għal Disinni Intel Agilex 7 F-tile
Korporazzjoni Intel. Id-drittijiet kollha riżervati. Intel, il-logo Intel, u marki oħra Intel huma trademarks ta' Intel Corporation jew is-sussidjarji tagħha. Intel tiggarantixxi l-prestazzjoni tal-prodotti FPGA u semikondutturi tagħha skont l-ispeċifikazzjonijiet attwali skont il-garanzija standard ta 'Intel, iżda tirriżerva d-dritt li tagħmel bidliet fi kwalunkwe prodott u servizz fi kwalunkwe ħin mingħajr avviż. Intel ma tassumi l-ebda responsabbiltà jew responsabbiltà li tirriżulta mill-applikazzjoni jew l-użu ta' kwalunkwe informazzjoni, prodott jew servizz deskritt hawnhekk ħlief kif miftiehem espressament bil-miktub minn Intel. Il-klijenti Intel huma avżati biex jiksbu l-aħħar verżjoni tal-ispeċifikazzjonijiet tal-apparat qabel ma jiddependu fuq kwalunkwe informazzjoni ppubblikata u qabel ma jagħmlu ordnijiet għal prodotti jew servizzi. *Ismijiet u marki oħra jistgħu jiġu mitluba bħala proprjetà ta’ ħaddieħor.
Figura 6. Dijagramma tal-Blokk għal Disinni Intel Agilex 7 E-tileFigura 7. Dijagramma tal-Blokk għal Disinni Intel Stratix 10
Figura 8. Dijagramma tal-Blokk għal Disinni Intel Arria 10Id-disinn tal-hardware tal-qalba tal-eCPRI Intel FPGA IP example tinkludi l-komponenti li ġejjin:
eCPRI Intel FPGA IP
Jaċċetta dejta mill-ġeneraturi tat-traffiku instanzjati fi ħdan it-tgeżwir tat-test u jipprijoritizza d-dejta għat-trażmissjoni lill-IP Ethernet.
Ethernet IP
- F-tile Ethernet Intel FPGA Hard IP (Disinji Intel Agilex 7 F-tile)
- E-tile Hard IP għal Ethernet (disinji Intel Stratix 10 jew Intel Agilex 7 E-tile)
- 25G Ethernet Intel Stratix 10 IP (disinji tal-madum Intel Stratix 10 H)
- Latency Low Ethernet 10G MAC IP u 1G/10GbE u 10GBASE-KR PHY IP (disinji Intel Arria 10)
Protokoll tal-Ħin ta' Preċiżjoni (PTP) IO PLL
Għal disinji tal-madum Intel Stratix 10 H—Instanzjat biex jiġġenera l-arloġġ ta’ referenza tal-input tal-kejl tal-latenza għall-IP Ethernet u sampling clock għas-subsistema tal-Ħin tal-Jum (TOD). Għal 25G Ethernet Intel Stratix 10 FPGA IP bil-karatteristika IEEE 1588v2, Intel tirrakkomanda li tissettja l-frekwenza ta 'dan l-arloġġ għal 156.25 MHz. Irreferi għall-Gwida għall-Utent Intel Stratix 25 FPGA IP 10G Ethernet u l-Gwida għall-Utent PHY tat-Transceiver Intel Stratix 10 H-tile għal aktar informazzjoni. Il-PTP IOPLL jiġġenera wkoll l-arloġġ ta' referenza għall-eCPRI IO PLL b'mod cascading.
Għal disinji Intel Arria 10—Instanzjati biex jiġġeneraw l-inputs tal-arloġġ ta '312.5 MHz u 156.25 MHz għall-Ethernet ta' Latenza Baxxa 10G MAC IP u 1G/10GbE, 10GBASE-KR PHY IP, u eCPRI IP .
eCPRI IO PLL
Jiġġenera output tal-arloġġ ewlieni ta '390.625 MHz għall-passaġġ TX u RX tal-eCPRI IP, u komponenti tat-traffiku.
Nota: Din il-blokka hija preżenti biss fid-disinn example ġġenerat għall-apparati Intel Stratix 10 u Intel Agilex 7.
Nota: Il-verżjoni attwali tal-eCPRI Intel FPGA IP tappoġġja biss it-tip IWF 0. Għal apparati Intel Agilex 7 F-tile, id-disinn example attivat bil-karatteristika IWF mhix appoġġjata.
Meta tiġġenera d-disinn example b'Parametru ta 'Appoġġ tal-Funzjoni ta' Interworking (IWF) mitfi, it-traffiku tal-pakketti jiċċirkola direttament mill-modulu tat-tgeżwir tat-test għall-interface tas-sors/sink Avalon-ST u l-interface tas-sors estern/sink tal-eCPRI IP.
Meta tiġġenera d-disinn example b'parametru ta 'Appoġġ tal-Funzjoni ta' Interworking (IWF) mixgħul, it-traffiku tal-pakketti jiċċirkola lejn l-interface tas-sink IWF Avalon-ST mill-modulu tat-tgeżwir tat-test l-ewwel, u joħroġ mill-interface tas-sors IWF Avalon-ST għas-sors/sink tal-eCPRI Avalon-ST interface.
CPRI MAC
Jipprovdi l-parti CPRI tal-protokolli tas-saff 1 u tas-saff sħiħ 2 għat-trasferiment tal-pjan tal-utent, C&M, u informazzjoni ta’ sinkronizzazzjoni bejn REC u RE kif ukoll bejn żewġ RE,
CPRI PHY
Jipprovdi l-parti li jifdal tal-protokoll tas-saff 1 CPRI għall-kodifikazzjoni tal-linja, korrezzjoni/sejbien tal-iżbalji tal-bits, eċċ.
Nota: Il-CPRI MAC u CPRI PHY IP instanzjati f'dan id-disinn example huma kkonfigurati biex jaħdmu b'rata ta' linja CPRI waħda ta' 9.8 Gbps biss. Id-disinn example ma jappoġġjax in-negozjar awtomatiku tar-rata tal-linja fir-rilaxx attwali.
Wrapper tat-test
Tikkonsisti f'ġeneraturi tat-traffiku u kontrolluri li jiġġeneraw sett differenti ta' pakketti ta' dejta għall-interfaces Avalon Streaming (Avalon-ST) tal-eCPRI IP kif hawn taħt:
- Pakketti eCPRI għall-interfaces tas-sors/sink Avalon-ST (karatteristika IWF diżattivata):
— Jappoġġja biss messaġġ tat-tip 2.
— Ġenerazzjoni tal-modalità back-to-back b'ġenerazzjoni inkrementali tal-mudell u daqs tat-tagħbija ta' 72 bytes għal kull pakkett.
— Konfigurabbli permezz ta' CSR biex taħdem f'modalità mhux kontinwa jew kontinwa.
— Stat tal-istatistika tal-pakkett TX/RX disponibbli għall-aċċess permezz tas-CSR. - Pakketti eCPRI għall-interfaces tas-sors/sink Avalon-ST (karatteristika IWF attivata):
— Jappoġġja biss it-tip ta' messaġġ 0 fir-rilaxx attwali.
— Ġenerazzjoni tal-modalità tal-mudell inkrementali b'ġenerazzjoni ta' distakk bejn il-pakketti u daqs tat-tagħbija ta' 240 bytes għal kull pakkett.
— Konfigurabbli permezz ta' CSR biex taħdem f'modalità mhux kontinwa jew kontinwa.
— Stat tal-istatistika tal-pakkett TX/RX disponibbli għall-aċċess permezz tas-CSR. - Pakketti tal-Protokoll tal-Ħin ta' Preċiżjoni (1588 PTP) u pakketti mixxellanji mhux PTP għall-interfaces tas-sors estern/sink:
— Ġenerazzjoni ta' header Ethernet statiku b'parametri predefiniti: Ethertype0x88F7, Tip ta' messaġġ - Opcode 0 (Sync), u PTP version-0.
— Ġenerazzjoni ta' mod ta' mudell definit minn qabel b'distakk bejn il-pakketti ta' 2 ċikli u daqs tat-tagħbija ta' 57 bytes għal kull pakkett.
— 128 pakkett huma ġġenerati fil-perjodu ta' kull sekonda waħda.
— Konfigurabbli permezz ta' CSR biex taħdem f'modalità mhux kontinwa jew kontinwa.
— Stat tal-istatistika tal-pakkett TX/RX disponibbli għall-aċċess permezz tas-CSR. - Pakketti mixxellanji esterni mhux PTP:
— Ġenerazzjoni ta' Header Ethernet statiku b'parametru definit minn qabel, Ethertype- 0x8100 (mhux PTP).
— Ġenerazzjoni tal-modalità tal-mudell PRBS b'distakk bejn il-pakketti ta' 2 ċikli u daqs tat-tagħbija ta' 128 bytes għal kull pakkett.
— Konfigurabbli permezz ta' CSR biex taħdem f'modalità mhux kontinwa jew kontinwa.
— Stat tal-istatistika tal-pakkett TX/RX disponibbli għall-aċċess permezz tas-CSR.
Subsistema tal-Ħin tal-Jum (TOD).
Fih żewġ moduli IEEE 1588 TOD kemm għal TX kif ukoll RX, u modulu wieħed IEEE 1588 TOD Synchronizer iġġenerat mis-softwer Intel Quartus Prime.
Subsistema Nios® II
Jikkonsisti f'pont Avalon-MM li jippermetti arbitraġġ tad-dejta Avalon-MM bejn il-proċessur Nios II, it-tgeżwir tat-test, u l-blokki tad-decoder tal-indirizzi Avalon® -MM.
Nios II huwa responsabbli biex iwettaq il-bdil tar-rata tad-dejta abbażi tal-output mill-valur tar-reġistru tar-rata_switch tat-tgeżwir tat-test. Din il-blokka tipprogramma r-reġistru meħtieġ ladarba tirċievi kmand mit-tgeżwir tat-test.
Nota: Din il-blokka mhix preżenti fid-disinn example iġġenerat għall-apparati Intel Arria 10 u Intel Agilex 7 F-tile.
Console tas-Sistema
Jipprovdi interface faċli għall-utent għalik biex tagħmel debugging tal-ewwel livell u tissorvelja l-istatus tal-IP, u l-ġeneraturi tat-traffiku u l-kontrolluri.
Kontroll Demo
Dan il-modulu jikkonsisti f'moduli tas-sinkronizzatur ta 'reset, u moduli ta' Sors u Sonda fis-sistema (ISSP) għad-debugging tas-sistema tad-disinn u l-proċess ta 'inizjalizzazzjoni.
Informazzjoni Relatata
- 25G Ethernet Intel Stratix 10 FPGA IP Gwida għall-Utent
- Gwida għall-Utent IP Hard E-tile
- eCPRI Intel FPGA IP User Guide
- 25G Ethernet Intel Stratix 10 FPGA IP Disinn Example Gwida għall-Utent
- E-tile Hard IP għal Intel Stratix 10 Design Examples Gwida għall-Utent
- Gwida għall-Utent PHY tat-Transceiver Intel Stratix 10 L- u H-Tile
- E-Tile Transceiver Gwida għall-Utent PHY
- Gwida għall-Utent Intel Stratix 10 10GBASE-KR PHY IP
- E-tile Hard IP Intel Agilex Design Example Gwida għall-Utent
2.3. Disinn ta' Simulazzjoni Eżample
Id-disinn eCPRI example jiġġenera testbench ta' simulazzjoni u simulazzjoni files li instantiates l-eCPRI Intel FPGA IP qalba meta tagħżel l-għażla Simulazzjoni jew Sintesi & Simulazzjoni.
Figura 9. Dijagramma tal-Blokk ta' Simulazzjoni tal-IP tal-eCPRI Intel FPGA
Nota: Il-blokka tas-Subsistema Nios II mhix preżenti fid-disinn example iġġenerat għall-apparati Intel Arria 10 u Intel Agilex 7 F-tile.
F'dan id-disinn example, il-testbench simulazzjoni jipprovdi funzjonalità bażika bħall-istartjar u stenna għal lock, jittrasmetti u jirċievu pakketti.
It-test b'suċċess juri output li jikkonferma l-imġieba li ġejja:
- Il-loġika tal-klijent tirrisettja l-qalba tal-IP.
- Il-loġika tal-klijent tistenna l-allinjament tal-passaġġ tad-data RX.
- Il-loġika tal-klijent tittrasmetti pakketti fuq l-interface Avalon-ST.
- Irċievi u tivverifika l-kontenut u l-korrettezza tal-pakketti.
- Uri messaġġ “TEST MGĦADDA”.
2.4. Sinjali tal-Interface
Tabella 5. Disinn Eżample Sinjali tal-Interface
Sinjal | Direzzjoni | Deskrizzjoni |
clk_ref | Input | Arloġġ ta' referenza għall-MAC Ethernet. • Għal Intel Stratix 10 E-tile, Intel Agilex 7 E-tile u disinji F-tajl, input ta 'arloġġ ta' 156.25 MHz għall-qalba E-tile Ethernet Hard IP jew F-tile Ethernet Hard IP qalba. Qabbad ma' i_clk_ref[0] fl-IP Hard Ethernet. • Għal disinji Intel Stratix 10 H-tile, input ta 'arloġġ ta' 322.2625 MHz għat-Transceiver ATX PLL u 25G Ethernet IP. Qabbad ma 'pll_refclk0[0] fit-Transceiver ATX PLL u clk_ref[0] f'25G Ethernet IP. • Għal disinji Intel Arria 10, input ta 'arloġġ ta' 322.265625 MHz għat-Transceiver ATX PLL u 1G/ 10GbE u 10GBase-KR PHY IP. Qabbad ma 'pll_refclk0[0] fit-Transceiver ATX PLL u rx_cdr_ref_clk_10g[0] fl-1G/ 10GbE u 10G BASE-KR PHY IP. |
tod_sync_sampling_clk | Input | Għal disinji Intel Arria 10, input ta' arloġġ ta' 250 MHz għas-subsistema TOD. |
clk100 | Input | Arloġġ ta 'ġestjoni. Dan l-arloġġ jintuża biex jiġġenera latency_clk għal PTP. Issuq f'100 MHz. |
mgmt_reset_n | Input | Irrisettja sinjal għas-sistema Nios II. |
tx_serial | Output | Data tas-serje TX. Jappoġġja sa 4 kanali. |
rx_serial | Input | Data tas-serje RX. Jappoġġja sa 4 kanali. |
iwf_cpri_ehip_ref_clk | Input | Input ta 'l-arloġġ ta' referenza E-tile CPRI PHY. Dan l-arloġġ huwa preżenti biss f'Intel Stratix 10 E-tile u Intel Agilex 7 Disinji E-tile. Issuq f'153.6 MHz għal rata tal-linja CPRI ta' 9.8 Gbps. |
iwf_cpri_pll_refclk0 | Output | Arloġġ ta' referenza CPRI TX PLL. • Għal disinji Intel Stratix 10 H-tile: Issuq f'307.2 MHz għal rata tad-dejta CPRI 9.8 Gbps. • Għal disinji Intel Stratix 10 E-tile u Intel Agilex 7 E-tile: Issuq f'156.25 MHz għal rata tad-dejta CPRI 9.8 Gbps. |
iwf_cpri_xcvr_cdr_refclk | Output | CPRI riċevitur CDR referenza arloġġ. Dan l-arloġġ huwa preżenti biss fid-disinji tal-madum Intel Stratix 10 H. Issuq f'307.2 MHz għal rata tal-linja CPRI ta' 9.8 Gbps. |
iwf_cpri_xcvr_txdataout | Output | CPRI jittrasmetti data serjali. Jappoġġja sa 4 kanali. |
iwf_cpri_xcvr_rxdatain | Output | Data tas-serje tar-riċevitur CPRI. Jappoġġja sa 4 kanali. |
cpri_gmii_clk | Input | CPRI GMII 125 MHz arloġġ tad-dħul. |
Informazzjoni Relatata
Sinjali tal-Interface PHY
Jelenka s-sinjali tal-interface PHY tal-25G Ethernet Intel FPGA IP.
2.5. Disinn Eżample Reġistru Mappa
Hawn taħt hemm l-immappjar tar-reġistru għad-disinn tal-qalba tal-eCPRI IP example:
Tabella 6. eCPRI Intel FPGA IP Design Example Mapping tar-Reġistru
Indirizz | Irreġistra |
0x20100000 – 0x201FFFFF(2) | Reġistru tal-Konfigurazzjoni mill-ġdid tal-IOPLL. |
0x20200000 – 0x203FFFFF | Ethernet MAC Avalon-MM Reġistru |
0x20400000 – 0x205FFFFF | Ethernet MAC Native PHY Reġistru Avalon-MM |
0x20600000 – 0x207FFFFF(2) | Reġistru Nattiv PHY RS-FEC Avalon-MM. |
0x40000000 – 0x5FFFFFFF | eCPRI IP Avalon-MM Reġistru |
0x80000000 – 0x9FFFFFFF | Ġeneratur tat-Test tad-Disinn tal-Ethernet/Verifikatur Reġistru Avalon-MM |
Tabella 7. Immappjar tar-Reġistru Nios II
Ir-reġistri fit-tabella hawn taħt huma disponibbli biss fid-disinn example iġġenerat għal apparati Intel Stratix 10 jew Intel Agilex 7 E-tile.
Indirizz | Irreġistra |
0x00100000 – 0x001FFFFF | Reġistru tal-Konfigurazzjoni mill-ġdid tal-IOPLL |
0x00200000 – 0x003FFFFF | Ethernet MAC Avalon-MM Reġistru |
0x00400000 – 0x005FFFFF | Ethernet MAC Native PHY Reġistru Avalon-MM |
0x00600000 – 0x007FFFFF | Reġistru Nattiv PHY RS-FEC Avalon-MM |
Nota: Tista' taċċessa r-reġistri Ethernet MAC u Ethernet MAC Native PHY AVMM billi tuża word offset minflok byte offset.
Għal informazzjoni dettaljata dwar il-mapep tar-reġistru tal-qalba tal-Ethernet MAC, Ethernet MAC Native PHY, u eCPRI IP, irreferi għall-gwidi tal-utent rispettivi.
(2)Disponibbli biss fid-disinn example iġġenerat għall-apparati Intel Stratix 10 u Intel Agilex 7 E-tile.
Tabella 8. eCPRI Intel FPGA IP Hardware Design Example Reġistru Mappa
Kelma Offset | Tip ta' Reġistru | Valur Default | Tip ta' Aċċess |
0x0 | Ibda Ibgħat Data: • Bit 1: PTP, tip mhux PTP • Bit 0: tip eCPRI |
0x0 | RW |
0x1 | Pakkett Kontinwu Jippermettiet | 0x0 | RW |
0x2 | Żball ċar | 0x0 | RW |
0x3 (3) | Swiċċ tar-Rata: • Bit [7]- Tindika madum: — 1'b0: Maduma H — 1'b1: E-tajl • Bit [6:4]- Jindika swiċċjar tar-rata tad-dejta Ethernet: — 3'b000: 25G sa 10G — 3'b001: 10G sa 25G • Bit [0]- Attiva r-rata tal-iswiċċ. Huwa meħtieġ li tissettja dan il-bit 0 u l-istħarriġ sakemm il-bit 0 ikun ċar għall-bidla tar-rata. Nota: Dan ir-reġistru mhuwiex disponibbli għad-disinji Intel Agilex 7 F-tile u Intel Arria 10. |
• E-tajl: 0x80 • H-tajl: 0x0 |
RW |
0x4 (3) | Swiċċ tar-Rata Magħmul: • Bit [1] jindika l-bidla tar-rata li saret. |
0x0 | RO |
0x5 (4) | Status tal-Konfigurazzjoni tas-Sistema: • Bit [31]: Sistema lesta • Bit [30]: IWF_EN • Bit [29]: STARTUP_SEQ_EN • Bit [28:4]: Riservat • Bit [3]: EXT_PACKET_EN • Bit [2:0]: Riservat |
0x0 | RO |
0x6 (4) | In-Negozjat tas-CPRI Tlesti: • Bit [3:0]: Bit rate kompluta • Bit [19:16]: Protokoll komplut |
0x0 | RW |
0x7 (4) | In-Negozjat tas-CPRI Tlesti: • Bit [3:0]: Fast C&M komplut • Bit [19:16]: VSS veloċi komplut |
0x0 | RW |
0x8 – 0x1F | Riżervat. | ||
0x20 | Interruzzjoni ta' Żball eCPRI: • Bit [0] jindika l-interruzzjoni. |
0x0 | RO |
0x21 | Żball tal-Pakketti Esterni | 0x0 | RO |
0x22 | Pakketti PTP Esterni TX Bidu ta' Għadd ta' Pakketti (SOP). | 0x0 | RO |
0x23 | Pakketti PTP Esterni TX Għadd tat-Tmiem tal-Pakkett (EOP). | 0x0 | RO |
0x24 | Pakketti Mixxellanji Esterni TX SOP Għadd | 0x0 | RO |
0x25 | Pakketti Mixxellanji Esterni TX EOP Għadd | 0x0 | RO |
0x26 | Pakketti RX Esterni SOP Għadd | 0x0 | RO |
0x27 | Pakketti RX Esterni Għadd EOP | 0x0 | RO |
0x28 | Għadd ta' Żbalji ta' Pakketti Esterni | 0x0 | RO |
0x29 – 0x2C | Riżervat. | ||
0x2D | PTP Estern Timestamp Għadd ta' Żbalji tal-Marki tas-Swaba' | 0x0 | RO |
0x2E | PTP Estern Timestamp Żball tal-marki tas-swaba' | 0x0 | RO |
0x2F | Status ta' Żball Estern Rx | 0x0 | RO |
0x30 – 0x47 | Riżervat. | ||
0x48 | Żball tal-Pakketti tal-eCPRI | RO | |
0x49 | eCPRI TX SOP Għadd | RO | |
0x4A | eCPRI TX EOP Għadd | RO | |
0x4B | eCPRI RX SOP Għadd | RO | |
0x4C | eCPRI RX EOP Għadd | RO | |
0x4D | Għadd ta' Żbalji tal-Pakketti tal-eCPRI | RO |
Informazzjoni Relatata
- Deskrizzjonijiet tar-Reġistru tal-Kontroll, l-Istatus u l-Istatistika
Irreġistra l-informazzjoni għall-25G Ethernet Stratix 10 FPGA IP - Rikonfigurazzjoni u Reġistru tal-Istatus
Deskrizzjonijiet Irreġistra informazzjoni għall-E-tile Hard IP għall-Ethernet - Reġistri
Irreġistra l-informazzjoni għall-eCPRI Intel FPGA IP
eCPRI Intel FPGA IP Design Example Arkivji tal-Gwida għall-Utent
Għall-aħħar verżjonijiet u dawk preċedenti ta' din il-gwida għall-utent, irreferi għall-eCPRI Intel FPGA IP Design Example Verżjoni HTML tal-Gwida għall-Utent. Agħżel il-verżjoni u kklikkja Niżżel. Jekk IP jew verżjoni tas-softwer ma tkunx elenkata, tapplika l-gwida tal-utent għall-IP jew verżjoni tas-softwer preċedenti.
Storja ta 'Reviżjoni tad-Dokument għal eCPRI Intel FPGA IP Design Example Gwida għall-Utent
Verżjoni tad-Dokument | Intel Quartus Verżjoni Prim |
Verżjoni IP | Bidliet |
2023.05.19 | 23.1 | 2.0.3 | • Aġġorna s-Simulazzjoni tad-Disinn Exampsezzjoni Testbench fil-kapitolu Quick Start Guide. • Aġġorna l-isem tal-familja tal-prodott għal “Intel Agilex 7”. |
2022.11.15 | 22.3 | 2.0.1 | Istruzzjonijiet aġġornati għas-simulatur VCS fit-taqsima: Simulazzjoni tad-Disinn Eżample Testbench. |
2022.07.01 | 22.1 | 1.4.1 | • Żid id-disinn tal-ħardwer example appoġġ għall-varjazzjonijiet tal-apparat Intel Agilex 7 F-tajl. • Żid appoġġ għall-kits ta 'żvilupp li ġejjin: — Intel Agilex 7 I-Series FPGA Development Kit — Intel Agilex 7 I-Series Transceiver-SoC Development Kit • Appoġġ miżjud għas-simulatur QuestaSim. • Tneħħa l-appoġġ għas-simulatur ModelSim* SE. |
2021.10.01 | 21.2 | 1.3.1 | • Appoġġ miżjud għall-apparati Intel Agilex 7 F-tile. • Appoġġ miżjud għal disinji b'ħafna kanali. • Tabella Aġġornata: eCPRI Intel FPGA IP Hardware Design Example Reġistru Mappa. • Imneħħi l-appoġġ għas-simulatur NCSim. |
2021.02.26 | 20.4 | 1.3.0 | • Appoġġ miżjud għall-apparat Intel Agilex 7 E-tile. |
2021.01.08 | 20.3 | 1.2.0 | • Bidla t-titlu tad-dokument minn eCPRI Intel Stratix 10 FPGA IP Design Example Gwida għall-Utent biex eCPRI Intel FPGA IP Design Example Gwida għall-Utent. • Appoġġ miżjud għad-disinji Intel Arria 10. • Id-disinn tal-eCPRI IP example issa hija disponibbli bl-appoġġ tal-karatteristika tal-funzjoni ta 'interworking (IWF). • Żid nota biex tiċċara li d-disinn tal-eCPRI example b'karatteristika IWF hija disponibbli biss għal 9.8 Gbps CPRI rata tal-bit tal-linja. • Kundizzjonijiet miżjuda fit-taqsima Ġenerazzjoni tad-Disinn meta jiġi ġġenerat id-disinn example bil Interworking Function (IWF) Parametru ta' appoġġ attivat. • Miżjud sampl-output tal-ġirja tat-test tas-simulazzjoni bil-karatteristika IWF attivata fit-taqsima Is-Simulazzjoni tad-Disinn Example Testbench. • Miżjud taqsima ġdida li Jippermettu Rikonfigurazzjoni Dinamika għall-IP Ethernet. • Test tal-ħardwer aġġornat sample output fit-taqsima Ittestjar tal-eCPRI Intel FPGA IP Design Example. |
2020.06.15 | 20.1 | 1.1.0 | • Miżjud appoġġ għar-rata tad-data 10G. • fluss.c file issa hija disponibbli bid-disinn example ġenerazzjoni biex tagħżel il-mod loopback. • Immodifika l-sample output għal simulazzjoni test run fit-taqsima Simulating the Design Example Testbench. • Miżjud valur tal-frekwenza għat-tħaddim tad-disinn tar-rata tad-dejta 10G fit-taqsima Il-Kompilazzjoni u l-Konfigurazzjoni tal- Disinn Eżample fil-Hardware. • Magħmula wara l-bidliet fit-taqsima Ittestjar tal-eCPRI Intel FPGA IP Design Example: — Kmandi miżjuda biex taqleb ir-rata tad-dejta bejn 10G u 25G — Miżjud sample output għall-bidla tar-rata tad-data — Miżjud informazzjoni varjabbli TEST_MODE biex tagħżel loopback fil-varjazzjonijiet tal-apparat E-tile. • Modifikat eCPRI Intel FPGA IP Hardware Design Examples Dijagramma ta' Blokk ta' Livell Għoli biex tinkludi ġodda blokki. • Tabella Aġġornata: Disinn Eżample Sinjali tal-Interface biex jinkludu sinjal ġdid. • Disinn Aġġornat Eżample Reġistru taqsima Mappa. • Miżjud taqsima ġdida tal-appendiċi: Ġenerazzjoni u Tniżżil tal-Programmazzjoni tal-Format Eżegwibbli u tal-Irbit (.elf) File . |
2020.04.13 | 19.4 | 1.1.0 | Rilaxx inizjali. |
A. Ġenerazzjoni u Tniżżil tal-Programmazzjoni tal-Format Eżegwibbli u tal-Irbit (.elf). File
Din it-taqsima tiddeskrivi kif tiġġenera u tniżżel il-.elf file lill-bord:
- Ibdel id-direttorju għalample_dir>/synthesis/quatus.
- Fis-softwer Intel Quartus Prime Pro Edition, ikklikkja Open Project u tiftaħample_dir>/synthesis/quartus/epri_ed.qpf. Issa agħżel Għodda ➤ Nios II Software Build Tools for Eclipse.
Figura 10. Nios II Software Build Tools for Eclipse - Jidher il-pront tat-tieqa tal-Launcher tal-Ispazju tax-Xogħol. Fl-Ispazju tax-Xogħol speċifika t-triq bħalaample_dir>/synthesis/quatus biex taħżen il-proġett Eclipse tiegħek. Tidher it-tieqa l-ġdida ta’ Nios II – Eclipse.
Figura 11. Tieqa tal-Launcher tal-Ispazju tax-Xogħol - Fit-tieqa Nios II – Eclipse, ikklikkja bil-lemin taħt it-tab Project Explorer, u agħżel New ➤ Nios II Board Support Package. Tidher it-tieqa l-ġdida.
Figura 12. Proġett Explorer Tab - Fit-tieqa tal-Pakkett ta' Appoġġ tal-Bord Nios II:
• Fil-parametru tal-isem tal-proġett, speċifika l-isem tal-proġett mixtieq tiegħek.
• Fl-Informazzjoni SOPC File parametru isem, jibbrawżjaw għall-post ta 'ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Ikklikkja Finish.
Figura 13. Tieqa tal-Pakkett ta' Appoġġ tal-Bord Nios II - Il-proġett maħluq ġdid jidher taħt it-tab tal-Proġett Explorer fit-tieqa Nios II Eclipse. Ikklikkja bil-lemin taħt it-tab Project Explorer, u agħżel Nios II ➤ Nios II Command Shell.
Figura 14. Proġett Explorer- Nios II Command Shell - Fil-Nios II Command Shell, ittajpja t-tliet kmandi li ġejjin: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- Il-.elf file hija ġġenerata fil-post li ġej:ample_dir>/ synthesis/ip_components/software/ /app.
- Ittajpja l-kmand li ġej fil-Nios II Command Shell biex tniżżel il-.elf fuq il-bord:
• Għal Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Għal Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf
Verżjoni Online
Ibgħat Feedback
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design Example Gwida għall-Utent
Dokumenti / Riżorsi
![]() |
Intel eCPRI Intel FPGA IP Disinn [pdfGwida għall-Utent eCPRI Disinn IP Intel FPGA, eCPRI, Disinn IP Intel FPGA, Disinn IP FPGA, Disinn IP, Disinn |