ינטעל לאָגאָeCPRI Intel® FPGA IP דיזיין
Exampדער באַניצער גייד
דערהייַנטיקט פֿאַר Intel®
Quartus®
פּריים פּלאַן סוויט: 23.1
IP ווערסיע: 2.0.3

שנעל אָנהייב גייד

די ענכאַנסט Common Public Radio Interface (eCPRI) Intel® FPGA IP האַרץ ימפּלאַמאַנץ די eCPRI באַשרייַבונג ווערסיע 2.0. די eCPRI Intel FPGA IP גיט אַ סימיאַליישאַן טעסטבענטש און אַ ייַזנוואַרג פּלאַן עקסample וואָס שטיצט זאַמלונג און ייַזנוואַרג טעסטינג. ווען איר דזשענערייט די פּלאַן עקסample, דער פּאַראַמעטער רעדאַקטאָר אויטאָמאַטיש קריייץ די fileעס איז נייטיק צו סימולירן, צונויפנעמען און פּרובירן דעם פּלאַן עקסampלאַ אין ייַזנוואַרג.
די צונויפגעשטעלט ייַזנוואַרג פּלאַן עקסampעס לויפט אויף:

  • Intel Agilex ™ 7 I-Series FPGA אנטוויקלונג קיט
  • Intel Agilex 7 I-Series Transceiver-SoC אנטוויקלונג קיט
  • Intel Agilex 7 F-Series Transceiver-SoC אנטוויקלונג קיט
  • Intel Stratix® 10 GX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט פֿאַר די ה-טייל פּלאַן עקסamples
  • Intel Stratix 10 TX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט פֿאַר די E- קאַכל פּלאַן עקסamples
  • Intel Arria® 10 GX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט

Intel גיט בלויז אַ זאַמלונגampדי פּרויעקט וואָס איר קענען נוצן צו געשווינד אָפּשאַצן IP האַרץ שטח און טיימינג.
די טעסטבענטש און פּלאַן עקסample שטיצט 25G און 10G דאַטן רייץ פֿאַר Intel Stratix 10 H-טייל אָדער E-טייל און Intel Agilex 7 E-טייל אָדער F-טייל מיטל ווערייישאַנז פון די eCPRI IP.

באַמערקונג: די eCPRI IP פּלאַן עקסample מיט ינטערווערקינג פֿונקציע (IWF) איז בלויז בנימצא פֿאַר 9.8 Gbps CPRI שורה ביסל קורס אין דעם קראַנט מעלדונג.
באַמערקונג: די eCPRI IP פּלאַן עקסample שטיצט נישט דינאַמיש ריקאַנפיגיעריישאַן פֿאַר 10G דאַטן קורס אין Intel Arria 10 דיזיינז.

די eCPRI Intel FPGA IP האַרץ פּלאַן עקסample שטיצט די פאלגענדע פֿעיִקייטן:

  • אינערלעכער טקס צו רקס סיריאַל לופּבאַקק מאָדע
  • פאַרקער גענעראַטאָר און טשעקער
  • יקערדיק פּאַקאַט קאָנטראָלירונג קייפּאַבילאַטיז
  • פיייקייט צו נוצן סיסטעם קאַנסאָול צו לויפן די פּלאַן און באַשטעטיק דעם פּלאַן פֿאַר שייַעך-טעסטינג ציל

Intel Corporation. אלע רעכטן רעזערווירט. ינטעל, די ינטעל לאָגאָ און אנדערע ינטעל מאַרקס זענען טריידמאַרקס פון ינטעל קאָרפּאָראַטיאָן אָדער זייַן סאַבסידיעריז. ינטעל וואָראַנטיז פאָרשטעלונג פון זייַן FPGA און סעמיקאַנדאַקטער פּראָדוקטן צו קראַנט ספּעסאַפאַקיישאַנז אין לויט מיט ינטעל ס נאָרמאַל וואָראַנטי, אָבער ריזערווז די רעכט צו מאַכן ענדערונגען צו קיין פּראָדוקטן און באַדינונגס אין קיין צייט אָן באַמערקן. ינטעל אַסומז קיין פֿאַראַנטוואָרטלעכקייט אָדער אַכרייַעס וואָס איז שטייענדיק פֿון די אַפּלאַקיישאַן אָדער נוצן פון קיין אינפֿאָרמאַציע, פּראָדוקט אָדער דינסט דיסקרייבד דאָ, אַחוץ ווי ינטעל איז עקספּרעסלי מסכים צו שרייבן. ינטעל קאַסטאַמערז זענען אַדווייזד צו קריגן די לעצטע ווערסיע פון ​​די מיטל ספּעסאַפאַקיישאַנז איידער זיי פאַרלאָזנ אויף קיין ארויס אינפֿאָרמאַציע און איידער פּלייסינג אָרדערס פֿאַר פּראָדוקטן אָדער באַדינונגס. * אנדערע נעמען און בראַנדז קען זיין קליימד ווי די פאַרמאָג פון אנדערע.

ISO 9001:2015 רעגיסטרירט

פיגורע 1. אַנטוויקלונג סטעפּס פֿאַר די פּלאַן עקסampleeCPRI Intel FPGA IP פּלאַן - פיגורע 1

פֿאַרבונדענע אינפֿאָרמאַציע

  • eCPRI Intel FPGA IP באַניצער גייד
  • eCPRI Intel FPGA IP מעלדונג נאָטעס

1.1. האַרדוואַרע און ווייכווארג רעקווירעמענץ
צו פּרובירן די עקסampאין די פּלאַן, נוצן די פאלגענדע ייַזנוואַרג און ווייכווארג:

  • Intel Quartus® Prime Pro Edition ווייכווארג ווערסיע 23.1
  • סיסטעם קאַנסאָול
  • שטיצט סימיאַלייטערז:
    — Siemens* EDA QuestaSim*
    — סינאָפּסיס* VCS*
    — Synopsys VCS MX
    — Aldec* Riviera-PRO*
    — קאַדענסע* קססעליום*
  • אַנטוויקלונג קיט:
    - Intel Agilex 7 I-Series FPGA אנטוויקלונג קיט
    - Intel Agilex 7 I-Series Transceiver-SoC אנטוויקלונג קיט
    - Intel Agilex 7 F-Series Transceiver-SoC אנטוויקלונג קיט
    - Intel Stratix 10 GX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט פֿאַר די ה-טייל מיטל ווערייישאַן פּלאַן עקסample
    - Intel Stratix 10 TX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג פֿאַר די E-טייל מיטל ווערייישאַן פּלאַן עקסample
    - Intel Arria 10 GX Transceiver Signal Integrity Development Kit

פֿאַרבונדענע אינפֿאָרמאַציע

  • Intel Agilex 7 I-Series FPGA אנטוויקלונג קיט באַניצער גייד
  • Intel Agilex 7 I-Series Transceiver-SoC אנטוויקלונג קיט באַניצער גייד
  • Intel Agilex 7 F-Series Transceiver-SoC אנטוויקלונג קיט באַניצער גייד
  • ינטעל סטראַטיקס 10 גקס טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט באַניצער גייד
  • ינטעל סטראַטיקס 10 טקס טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט באַניצער גייד
  • Intel Arria 10 GX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט באַניצער גייד

1.2. שאַפֿן די פּלאַן
פּרירעקוואַזאַט: אַמאָל איר באַקומען די eCPRI web-האַרץ IP, ראַטעווען די web-האַרץ ינסטאַללער צו די היגע געגנט. לויפן די ינסטאַללער מיט Windows / Linux. ווען פּראַמפּטיד, ינסטאַלירן די webהאַרץ צו דער זעלביקער אָרט ווי Intel Quartus Prime טעקע.
די eCPRI Intel FPGA IP איז איצט אין די IP קאַטאַלאָג.
אויב איר טאָן ניט האָבן אַן Intel Quartus Prime Pro אַדישאַן פּרויעקט אין וואָס צו ויסשטימען דיין eCPRI Intel FPGA IP האַרץ, איר מוזן שאַפֿן איין.

  1. אין די Intel Quartus Prime Pro Edition ווייכווארג, גיט File ➤ New Project Wizard צו שאַפֿן אַ נייַע Intel Quartus Prime פּרויעקט, אָדער גיט File ➤ עפֿן פּראָיעקט צו עפֿענען אַן יגזיסטינג Intel Quartus Prime פּרויעקט. דער מאַזעק פּראַמפּס איר צו ספּעציפיצירן אַ מיטל.
  2. ספּעציפיצירן די מיטל משפּחה און אַ מיטל וואָס טרעפן די גיכקייַט מיינונג רעקווירעמענץ.
  3. דריקט ענדיקן.
  4. אין די IP קאַטאַלאָג, געפֿינען און טאָפּל-גיט eCPRI Intel FPGA IP. די New IP Variant פֿענצטער איז ארויס.

גיי די סטעפּס צו דזשענערייט די eCPRI IP ייַזנוואַרג פּלאַן, למשלampלע און טעסטבענטש:

  1. אין די IP קאַטאַלאָג, געפֿינען און טאָפּל-גיט eCPRI Intel FPGA IP. די New IP Variant פֿענצטער איז ארויס.
  2. דריקט OK. דער פּאַראַמעטער רעדאַקטאָר איז ארויס.
    פיגורע 2. Exampדי פּלאַן טאַב אין די eCPRI Intel FPGA IP פּאַראַמעטער עדיטאָרeCPRI Intel FPGA IP פּלאַן - פיגורע 2
  3. ספּעציפיצירן אַ שפּיץ-מדרגה נאָמען פֿאַר דיין מנהג IP ווערייישאַן. דער פּאַראַמעטער רעדאַקטאָר סאַוועס די IP ווערייישאַן סעטטינגס אין אַ file געהייסן .יפּ.
  4. דריקט OK. דער פּאַראַמעטער רעדאַקטאָר איז ארויס.
  5. אויף די אַלגעמיינע קוויטל, ספּעציפיצירן די פּאַראַמעטערס פֿאַר דיין IP האַרץ ווערייישאַן.
    באַמערקונג: • איר מוזן קער אויף סטרימינג פּאַראַמעטער אין די eCPRI IP פּאַראַמעטער רעדאַקטאָר ווען איר דזשענערייט די פּלאַן עקסample מיט ינטערווערקינג פֿונקציע (IWF) שטיצן פּאַראַמעטער ענייבאַלד,
    • איר מוזן שטעלן די CPRI Line Bit Rate (Gbit/s) צו אנדערע ווען דזשענערייטינג די פּלאַן עקסample מיט ינטערווערקינג פֿונקציע (IWF) שטיצן פּאַראַמעטער ענייבאַלד.
  6. אויף די עקסampדי פּלאַן קוויטל, אויסקלייַבן די סימיאַליישאַן אָפּציע צו דזשענערייט די טעסטבענטש, סעלעקטירן דעם סינטעז אָפּציע צו דזשענערייט די ייַזנוואַרג עקסampדי פּלאַן, און סעלעקטירן סינטעז און סימיאַליישאַן אָפּציע צו דזשענערייט ביידע די טעסטבענטש און די ייַזנוואַרג פּלאַן עקסample.
  7. פֿאַר שפּראַך פֿאַר שפּיץ מדרגה סימיאַליישאַן file, סעלעקטירן Verilog אָדער VHDL.
    באַמערקונג: דער אָפּציע איז בארעכטיגט בלויז ווען איר סעלעקטירן סימיאַליישאַן אָפּציע פֿאַר דיין עקסample design.
  8. פֿאַר שפּראַך פֿאַר שפּיץ מדרגה סינטעז file, סעלעקטירן Verilog אָדער VHDL.
    באַמערקונג: דער אָפּציע איז בארעכטיגט בלויז ווען איר סעלעקטירן סינטעז אָפּציע פֿאַר דיין עקסample design.
  9. פֿאַר נומער פון טשאַנאַלז, איר קענען אַרייַן די נומער פון טשאַנאַלז (1 צו 4) בדעה פֿאַר דיין פּלאַן. פעליקייַט ווערט איז 1.
  10. דריקט Generate Exampלאַ פּלאַן. די אויסקלייַבן עקסampדער פּלאַן Directory פֿענצטער איז ארויס.
  11. אויב איר ווילן צו מאָדיפיצירן די פּלאַן, למשלampדער וועגווייַזער דרך אָדער נאָמען פֿון די דיפאָלץ געוויזן (ecpri_0_testbench), בלעטער צו די נייַע דרך און טיפּ די נייַע פּלאַן עקס.ampדער וועגווייַזער נאָמען.
  12. דריקט OK.

פֿאַרבונדענע אינפֿאָרמאַציע
eCPRI Intel FPGA IP באַניצער גייד
1.3. Directory סטרוקטור
די eCPRI IP האַרץ פּלאַן עקסample file דירעקטעריז אַנטהאַלטן די פאלגענדע דזשענערייטאַד fileס פֿאַר די פּלאַן עקסample.

פיגורע 3. Directory סטרוקטור פון די דזשענערייטאַד עקסampלאַ פּלאַןeCPRI Intel FPGA IP פּלאַן - פיגורע 3

באַמערקונג:

  1. בלויז פאָרשטעלן אין Intel Arria 10 IP פּלאַן עקסample variation .
  2. בלויז פאָרשטעלן אין Intel Stratix 10 (H-טייל אָדער E-טייל) IP פּלאַן, למשלample variation .
  3. בלויז פאָרשטעלן אין Intel Agilex E-טייל IP פּלאַן עקסample variation .

טיש 1. eCPRI Intel FPGA IP Core Testbench File דיסקריפּשאַנז

File נעמען  באַשרייַבונג
שליסל טעסטבענטש און סימיאַליישאַן Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv שפּיץ-מדרגה טעסטבענטש file. די טעסטבענטש ינסטאַנטייץ די DUT ראַפּער און לויפט Verilog HDL טאַסקס צו דזשענערייט און אָננעמען פּאַקיץ.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT ראַפּער וואָס ינסטאַנטיייץ DUT און אנדערע טעסטבענטש קאַמפּאָונאַנץ.
<design_example_dir>/simulation/ed_fw/flow.c C-קאָד מקור file.
טעסטבענטש סקריפּס
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do די Siemens EDA QuestaSim שריפט צו לויפן די טעסטבענטש.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh די Synopsys VCS שריפט צו לויפן די טעסטבענטש.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh די Synopsys VCS MX שריפט (קאַמביינד Verilog HDL און
SystemVerilog מיט VHDL) צו לויפן די טעסטבענטש.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl די Aldec * Riviera-PRO שריפט צו לויפן די טעסטבענטש.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh די Cadence * Xcelium שריפט צו לויפן די טעסטבענטש.

טיש 2. eCPRI Intel FPGA IP Core Hardware Design Example File דיסקריפּשאַנז

File נעמען דיסקריפּשאַנז
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Intel Quartus Prime פּרויעקט file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf ינטעל קוואַרטוס פּריים פּרויעקט באַשטעטיקן file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc סינאָפּסיס פּלאַן קאַנסטריינץ fileס. איר קענען נאָכמאַכן און מאָדיפיצירן די fileס פֿאַר דיין אייגענע Intel Stratix 10 פּלאַן.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Top-מדרגה Verilog HDL פּלאַן עקסample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT ראַפּער וואָס ינסטאַנטיייץ DUT און אנדערע טעסטבענטש קאַמפּאָונאַנץ.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl הויפּט file פֿאַר אַקסעסינג סיסטעם קאַנסאָול (בנימצא אין Intel Stratix 10 H-טייל און E-טייל דיזיינז).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl הויפּט file פֿאַר אַקסעס די סיסטעם קאַנסאָול (בנימצא אין Intel Arria 10 דיזיינז).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl הויפּט file פֿאַר אַקסעסינג סיסטעם קאַנסאָול (בנימצא אין Intel Agilex 7 דיזיינז).

1.4. סימולאַטינג די פּלאַן עקסample Testbench
פיגורע 4. פּראָצעדורeCPRI Intel FPGA IP פּלאַן - פיגורע 4

גיי די סטעפּס צו סימולירן די טעסטבענטש:

  1. אין די באַפֿעל פּינטלעך, טוישן צו די טעסטבענטש סימיאַליישאַן וועגווייַזערample_dir>/simulation/setup_scripts.
  2. פֿאַר ווערייישאַנז פון Intel Agilex F-tile מיטל, נאָכגיין די סטעפּס:
    א. נאַוויגירן צו דיample_dir>/simulation/quartus וועגווייַזער און לויפן די צוויי קאַמאַנדז אונטן: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    אַלטערנאַטיוועלי, איר קענט עפֿענען די ecpri_ed.qpf פּרויעקט אין Intel Quartus Prime Pro אַדישאַן און דורכפירן די זאַמלונג ביז Support Logic Generation stage.
    ב. נאַוויגירן צו דיample_dir>/simulation/setup_scripts Directory.
    ג. לויפן די פאלגענדע באַפֿעל: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. לויפן די סימיאַליישאַן שריפט פֿאַר די געשטיצט סימיאַלייטער פון דיין ברירה. דער שריפט קאַמפּיילז און לויפט די טעסטבענטש אין די סימיאַלייטער. אָפּשיקן צו די טיש סטעפּס צו סימולירן די טעסטבענטש.
    באַמערקונג: די VHDL שפּראַך שטיצן פֿאַר סימיאַליישאַן איז בלויז בנימצא מיט QuestaSim און VCS MX סימיאַלייטערז. די Verilog שפּראַך שטיצן פֿאַר סימיאַליישאַן איז בנימצא פֿאַר אַלע סימיאַלייטערז ליסטעד אין טאַבלע: סטעפּס צו סימולירן די טעסטבענטש.
  4. פונאַנדערקלייַבן די רעזולטאַטן. דער געראָטן טעסטבענטש סענדז און נעמט פּאַקיץ, און דיספּלייז "פארביי".

טיש 3. סטעפּס צו סימולירן די טעסטבענטש

סימיאַלייטער אינסטרוקציעס
QuestaSim אין די באַפֿעלן שורה, טיפּ vsim -do run_vsim.do אויב איר בעסער וועלן צו סימולירן אָן ברענגען אַרויף די QuestaSim GUI, טיפּ vsim -c -do run_vsim.do
VCS • אין די באַפֿעלן שורה, טיפּ sh run_vcs.sh
• נאַוויגירן צו דיample_dir>/simulation/setup_scripts/synopsys/vcs און לויפן די פאלגענדע באַפֿעל: sh run_vcs.sh
VCS MX אין די באַפֿעלן שורה, טיפּ sh run_vcsmx.sh
ריוויעראַ-פּראָ אין די באַפֿעלן שורה, טיפּ vsim -c -do run_rivierapro.tcl
באַמערקונג: בלויז געשטיצט אין Intel Stratix 10 ה-טייל פּלאַן ווערייישאַנז.
Xcelium(1) אין די באַפֿעלן שורה, טיפּ sh run_xcelium.sh
  1. דער סימיאַלייטער איז נישט געשטיצט פֿאַר eCPRI Intel FPGA IP פּלאַן עקסampדי דזשענערייטאַד מיט IWF שטריך ענייבאַלד.

Sampדי רעזולטאַט: די פאלגענדע זampדער רעזולטאַט ילאַסטרייץ אַ געראָטן סימיאַליישאַן פּרובירן לויפן פון די eCPRI IP פּלאַן עקסample אָן IWF שטריך ענייבאַלד מיט נומער פון טשאַנאַלז = 4:

# ווארטן פֿאַר RX אַליינמאַנט
# RX דעסקיו פארשפארט
# RX ליין אַליינמאַנט פארשפארט
# ווארטן פֿאַר די לינק שולד קלאָר
# לינק שולד קלאָר
# MAC מקור אַדרעס 0_0 קאַנאַל 0: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 0: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 0: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 0: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 0: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 0: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 0: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 0: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 0: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 0: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 0: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 0: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 0: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 0: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 0: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 0: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 0: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 0: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 0: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 0: 00000241
# eCPRI ווערסיע טשאַננעל 0: 2
# MAC מקור אַדרעס 0_0 קאַנאַל 1: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 1: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 1: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 1: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 1: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 1: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 1: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 1: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 1: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 1: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 1: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 1: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 1: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 1: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 1: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 1: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 1: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 1: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 1: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 1: 00000241
# eCPRI ווערסיע טשאַננעל 1: 2
# MAC מקור אַדרעס 0_0 קאַנאַל 2: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 2: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 2: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 2: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 2: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 2: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 2: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 2: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 2: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 2: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 2: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 2: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 2: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 2: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 2: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 2: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 2: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 2: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 2: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 2: 00000241
# eCPRI ווערסיע טשאַננעל 2: 2
# MAC מקור אַדרעס 0_0 קאַנאַל 3: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 3: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 3: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 3: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 3: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 3: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 3: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 3: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 3: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 3: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 3: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 3: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 3: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 3: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 3: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 3: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 3: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 3: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 3: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 3: 00000241
# eCPRI ווערסיע טשאַננעל 3: 2
# ______________________________________________________
# INFO: די באַשטעטיק סטאַטוס איז נישט
# ______________________________________________________
#
#
# קאַנאַל 0 eCPRI TX SOPs ציילן: 0
# טשאַננעל 0 eCPRI TX EOPs ציילן: 0
# קאַנאַל 0 eCPRI RX SOPs ציילן: 0
# קאַנאַל 0 eCPRI RX EOPs ציילן: 0
# קאַנאַל 0 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 0 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 0 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 0 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 0 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 0 פונדרויסנדיק RX EOPs ציילן: 0
# קאַנאַל 1 eCPRI TX SOPs ציילן: 0
# טשאַננעל 1 eCPRI TX EOPs ציילן: 0
# קאַנאַל 1 eCPRI RX SOPs ציילן: 0
# קאַנאַל 1 eCPRI RX EOPs ציילן: 0
# קאַנאַל 1 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 1 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 1 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 1 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 1 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 1 פונדרויסנדיק RX EOPs ציילן: 0
# קאַנאַל 2 eCPRI TX SOPs ציילן: 0
# טשאַננעל 2 eCPRI TX EOPs ציילן: 0
# קאַנאַל 2 eCPRI RX SOPs ציילן: 0
# קאַנאַל 2 eCPRI RX EOPs ציילן: 0
# קאַנאַל 2 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 2 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 2 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 2 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 2 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 2 פונדרויסנדיק RX EOPs ציילן: 0
# קאַנאַל 3 eCPRI TX SOPs ציילן: 0
# טשאַננעל 3 eCPRI TX EOPs ציילן: 0
# קאַנאַל 3 eCPRI RX SOPs ציילן: 0
# קאַנאַל 3 eCPRI RX EOPs ציילן: 0
# קאַנאַל 3 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 3 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 3 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 3 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 3 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 3 פונדרויסנדיק RX EOPs ציילן: 0
# ______________________________________________________
# INFO: אָנהייב טראַנסמיטינג פּאַקיץ
# ______________________________________________________
#
#
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 0 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 0 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 0 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 0 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 0 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 0 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 1 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 1 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 1 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 1 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 1 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 1 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 2 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 2 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 2 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 2 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 2 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 2 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 3 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 3 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 3 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 3 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 3 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 3 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# ______________________________________________________
# אינפֿאָרמאַציע: האַלטן טראַנסמיטינג פּאַקיץ
# ______________________________________________________
#
#
# ______________________________________________________
# INFO: קאָנטראָלירונג פּאַקיץ סטאַטיסטיק
# ______________________________________________________
#
#
# קאַנאַל 0 eCPRI SOPs טראַנסמיטטעד: 300
# טראַנסמיטטעד טשאַננעל 0 eCPRI EOPs: 300
# טשאַננעל 0 eCPRI SOPs באקומען: 300
# טשאַננעל 0 eCPRI EOPs באקומען: 300
# טשאַננעל 0 eCPRI טעות געמאלדן: 0
# טשאַננעל 0 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 0 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 0 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 0 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 0 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 0 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 0 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 0 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 0 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 0 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 0 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 0 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# קאַנאַל 1 eCPRI SOPs טראַנסמיטטעד: 300
# טראַנסמיטטעד טשאַננעל 1 eCPRI EOPs: 300
# טשאַננעל 1 eCPRI SOPs באקומען: 300
# טשאַננעל 1 eCPRI EOPs באקומען: 300
# טשאַננעל 1 eCPRI טעות געמאלדן: 0
# טשאַננעל 1 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 1 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 1 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 1 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 1 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 1 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 1 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 1 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 1 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 1 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 1 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 1 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# קאַנאַל 2 eCPRI SOPs טראַנסמיטטעד: 300
# טראַנסמיטטעד טשאַננעל 2 eCPRI EOPs: 300
# טשאַננעל 2 eCPRI SOPs באקומען: 300
# טשאַננעל 2 eCPRI EOPs באקומען: 300
# טשאַננעל 2 eCPRI טעות געמאלדן: 0
# טשאַננעל 2 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 2 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 2 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 2 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 2 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 2 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 2 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 2 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 2 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 2 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 2 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 2 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# קאַנאַל 3 eCPRI SOPs טראַנסמיטטעד: 300
# טראַנסמיטטעד טשאַננעל 3 eCPRI EOPs: 300
# טשאַננעל 3 eCPRI SOPs באקומען: 300
# טשאַננעל 3 eCPRI EOPs באקומען: 300
# טשאַננעל 3 eCPRI טעות געמאלדן: 0
# טשאַננעל 3 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 3 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 3 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 3 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 3 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 3 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 3 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 3 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 3 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 3 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 3 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 3 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# ______________________________________________________
# אינפֿאָרמאַציע: פּראָבע דורכגעגאנגען
#
# ______________________________________________________

Sampדי רעזולטאַט: די פאלגענדע זampדער רעזולטאַט ילאַסטרייץ אַ געראָטן סימיאַליישאַן פּרובירן לויפן פון די eCPRI IP פּלאַן עקסample מיט IWF שטריך ענייבאַלד מיט נומער פון טשאַנאַלז = 4:

# געבן CPRI TX
# CPRI טשאַננעל 0 L1_CONFIG: 00000001
# CPRI טשאַננעל 0 CPRI_CORE_CM_CONFIG: 00001ed4
# CPRI טשאַננעל 1 L1_CONFIG: 00000001
# CPRI טשאַננעל 1 CPRI_CORE_CM_CONFIG: 00001ed4
# CPRI טשאַננעל 2 L1_CONFIG: 00000001
# CPRI טשאַננעל 2 CPRI_CORE_CM_CONFIG: 00001ed4
# CPRI טשאַננעל 3 L1_CONFIG: 00000001
# CPRI טשאַננעל 3 CPRI_CORE_CM_CONFIG: 00001ed4
# ווארטן פֿאַר RX אַליינמאַנט
# RX דעסקיו פארשפארט
# RX ליין אַליינמאַנט פארשפארט
# ווארטן פֿאַר די לינק שולד קלאָר
# לינק שולד קלאָר
# MAC מקור אַדרעס 0_0 קאַנאַל 0: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 0: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 0: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 0: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 0: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 0: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 0: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 0: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 0: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 0: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 0: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 0: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 0: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 0: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 0: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 0: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 0: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 0: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 0: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 0: 00000241
# eCPRI ווערסיע טשאַננעל 0: 2
# MAC מקור אַדרעס 0_0 קאַנאַל 1: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 1: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 1: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 1: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 1: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 1: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 1: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 1: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 1: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 1: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 1: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 1: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 1: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 1: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 1: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 1: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 1: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 1: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 1: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 1: 00000241
# eCPRI ווערסיע טשאַננעל 1: 2
# MAC מקור אַדרעס 0_0 קאַנאַל 2: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 2: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 2: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 2: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 2: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 2: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 2: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 2: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 2: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 2: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 2: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 2: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 2: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 2: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 2: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 2: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 2: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 2: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 2: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 2: 00000241
# eCPRI ווערסיע טשאַננעל 2: 2
# MAC מקור אַדרעס 0_0 קאַנאַל 3: 33445566
# MAC מקור אַדרעס 0_1 קאַנאַל 3: 00007788
# MAC דעסטיניישאַן אַדרעס 0_0 קאַנאַל 3: 33445566
# MAC דעסטיניישאַן אַדרעס 0_1 קאַנאַל 3: 00007788
# MAC דעסטיניישאַן אַדרעס 1_0 קאַנאַל 3: 11223344
# MAC דעסטיניישאַן אַדרעס 1_1 קאַנאַל 3: 00005566
# MAC דעסטיניישאַן אַדרעס 2_0 קאַנאַל 3: 22334455
# MAC דעסטיניישאַן אַדרעס 2_1 קאַנאַל 3: 00006677
# MAC דעסטיניישאַן אַדרעס 3_0 קאַנאַל 3: 44556677
# MAC דעסטיניישאַן אַדרעס 3_1 קאַנאַל 3: 00008899
# MAC דעסטיניישאַן אַדרעס 4_0 קאַנאַל 3: 66778899
# MAC דעסטיניישאַן אַדרעס 4_1 קאַנאַל 3: 0000aabb
# MAC דעסטיניישאַן אַדרעס 5_0 קאַנאַל 3: 778899aa
# MAC דעסטיניישאַן אַדרעס 5_1 קאַנאַל 3: 0000bbcc
# MAC דעסטיניישאַן אַדרעס 6_0 קאַנאַל 3: 8899aabb
# MAC דעסטיניישאַן אַדרעס 6_1 קאַנאַל 3: 0000ccdd
# MAC דעסטיניישאַן אַדרעס 7_0 קאַנאַל 3: 99aabbcc
# MAC דעסטיניישאַן אַדרעס 7_1 קאַנאַל 3: 0000דע
# eCPRI פּראָסט קאָנטראָל קאַנאַל 3: 00000041
# געבן יבעררייַסן eCPRI פּראָסט קאָנטראָל קאַנאַל 3: 00000241
# eCPRI ווערסיע טשאַננעל 3: 2
# ווארטן פֿאַר CPRI דערגרייכן HSYNC פֿאַרבינדונג שטאַט
# CPRI Channel 0 HSYNC שטאַט אַטשיווד
# CPRI Channel 1 HSYNC שטאַט אַטשיווד
# CPRI Channel 2 HSYNC שטאַט אַטשיווד
# CPRI Channel 3 HSYNC שטאַט אַטשיווד
# 11100250000 שרייב 1 צו nego_bitrate_complete
# 11100650000 פּאָללינג PROT_VER קאַנאַל 0
# ______________________________________________________
# 11100850000 פּאָללינג רעגיסטרירן: אַ0000010
# ______________________________________________________
# 13105050000 פּאָללינג PROT_VER קאַנאַל 1
# ______________________________________________________
# 13105250000 פּאָללינג רעגיסטרירן: אַ0800010
# ______________________________________________________
# 13105950000 פּאָללינג PROT_VER קאַנאַל 2
# ______________________________________________________
# 13106150000 פּאָללינג רעגיסטרירן: אַ1000010
# ______________________________________________________
# 13106850000 פּאָללינג PROT_VER קאַנאַל 3
# ______________________________________________________
# 13107050000 פּאָללינג רעגיסטרירן: אַ1800010
# ______________________________________________________
# 13107750000 שרייב 1 צו nego_protol_complete
# 13108150000 פּאָללינג CM_STATUS.rx_fast_cm_ptr_valid קאַנאַל 0
# ______________________________________________________
# 13108350000 פּאָללינג רעגיסטרירן: אַ0000020
# ______________________________________________________
# 14272050000 פּאָללינג CM_STATUS.rx_fast_cm_ptr_valid קאַנאַל 1
# ______________________________________________________
# 14272250000 פּאָללינג רעגיסטרירן: אַ0800020
# ______________________________________________________
# 14272950000 פּאָללינג CM_STATUS.rx_fast_cm_ptr_valid קאַנאַל 2
# ______________________________________________________
# 14273150000 פּאָללינג רעגיסטרירן: אַ1000020
# ______________________________________________________
# 14273850000 פּאָללינג CM_STATUS.rx_fast_cm_ptr_valid קאַנאַל 3
# ______________________________________________________
# 14274050000 פּאָללינג רעגיסטרירן: אַ1800020
# ______________________________________________________
# 14274750000 שרייב 1 צו nego_cm_complete
# 14275150000 שרייב 1 צו nego_vss_complete
# ווארטן פֿאַר CPRI טשאַננעל 0 דערגרייכן HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F
# CPRI Channel 0 HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F אַטשיווד
# ווארטן פֿאַר CPRI טשאַננעל 1 דערגרייכן HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F
# CPRI Channel 1 HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F אַטשיווד
# ווארטן פֿאַר CPRI טשאַננעל 2 דערגרייכן HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F
# CPRI Channel 2 HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F אַטשיווד
# ווארטן פֿאַר CPRI טשאַננעל 3 דערגרייכן HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F
# CPRI Channel 3 HSYNC & סטאַרטאַפּ סיקוואַנס FSM STATE_F אַטשיווד
# ______________________________________________________
# INFO: די באַשטעטיק סטאַטוס איז נישט
# ______________________________________________________
#
#
# קאַנאַל 0 eCPRI TX SOPs ציילן: 0
# טשאַננעל 0 eCPRI TX EOPs ציילן: 0
# קאַנאַל 0 eCPRI RX SOPs ציילן: 0
# קאַנאַל 0 eCPRI RX EOPs ציילן: 0
# קאַנאַל 0 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 0 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 0 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 0 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 0 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 0 פונדרויסנדיק RX EOPs ציילן: 0
# קאַנאַל 1 eCPRI TX SOPs ציילן: 0
# טשאַננעל 1 eCPRI TX EOPs ציילן: 0
# קאַנאַל 1 eCPRI RX SOPs ציילן: 0
# קאַנאַל 1 eCPRI RX EOPs ציילן: 0
# קאַנאַל 1 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 1 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 1 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 1 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 1 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 1 פונדרויסנדיק RX EOPs ציילן: 0
# קאַנאַל 2 eCPRI TX SOPs ציילן: 0
# טשאַננעל 2 eCPRI TX EOPs ציילן: 0
# קאַנאַל 2 eCPRI RX SOPs ציילן: 0
# קאַנאַל 2 eCPRI RX EOPs ציילן: 0
# קאַנאַל 2 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 2 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 2 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 2 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 2 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 2 פונדרויסנדיק RX EOPs ציילן: 0
# קאַנאַל 3 eCPRI TX SOPs ציילן: 0
# טשאַננעל 3 eCPRI TX EOPs ציילן: 0
# קאַנאַל 3 eCPRI RX SOPs ציילן: 0
# קאַנאַל 3 eCPRI RX EOPs ציילן: 0
# קאַנאַל 3 פונדרויסנדיק PTP TX SOPs ציילן: 0
# טשאַננעל 3 פונדרויסנדיק PTP TX EOPs ציילן: 0
# קאַנאַל 3 פונדרויסנדיק מיסק טקס סאָפּס ציילן: 0
# קאַנאַל 3 פונדרויסנדיק MISC TX EOPs ציילן: 0
# קאַנאַל 3 פונדרויסנדיק RX SOPs ציילן: 0
# טשאַננעל 3 פונדרויסנדיק RX EOPs ציילן: 0
# ______________________________________________________
# INFO: אָנהייב טראַנסמיטינג פּאַקיץ
# ______________________________________________________
#
#
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 0 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 0 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 0 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 0 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 0 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 0 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 1 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 1 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 1 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 1 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 1 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 1 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 2 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 2 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 2 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 2 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 2 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 2 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די קאַנאַל 3 eCPRI TX פאַרקער אַריבערפירן צו פאַרענדיקן
# INFO: קאַנאַל 3 eCPRI TX פאַרקער אַריבערפירן געענדיקט
# INFO: ווארטן פֿאַר די טשאַננעל 3 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 3 eCPRI פונדרויסנדיק TX PTP פאַרקער אַריבערפירן איז געענדיקט
# אינפֿאָרמאַציע: ווארטן פֿאַר די טשאַננעל 3 eCPRI פונדרויסנדיק טקס מיסק פאַרקער אַריבערפירן צו
גאַנץ
# INFO: טשאַננעל 3 eCPRI פונדרויסנדיק טקס מיסקער אַריבערפירן איז געענדיקט
# ______________________________________________________
# אינפֿאָרמאַציע: האַלטן טראַנסמיטינג פּאַקיץ
# ______________________________________________________
#
#
# ______________________________________________________
# INFO: קאָנטראָלירונג פּאַקיץ סטאַטיסטיק
# ______________________________________________________
#
#
# קאַנאַל 0 eCPRI SOPs טראַנסמיטטעד: 50
# טראַנסמיטטעד טשאַננעל 0 eCPRI EOPs: 50
# טשאַננעל 0 eCPRI SOPs באקומען: 50
# טשאַננעל 0 eCPRI EOPs באקומען: 50
# טשאַננעל 0 eCPRI טעות געמאלדן: 0
# טשאַננעל 0 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 0 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 0 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 0 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 0 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 0 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 0 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 0 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 0 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 0 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 0 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 0 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# קאַנאַל 1 eCPRI SOPs טראַנסמיטטעד: 50
# טראַנסמיטטעד טשאַננעל 1 eCPRI EOPs: 50
# טשאַננעל 1 eCPRI SOPs באקומען: 50
# טשאַננעל 1 eCPRI EOPs באקומען: 50
# טשאַננעל 1 eCPRI טעות געמאלדן: 0
# טשאַננעל 1 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 1 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 1 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 1 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 1 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 1 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 1 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 1 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 1 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 1 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 1 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 1 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# קאַנאַל 2 eCPRI SOPs טראַנסמיטטעד: 50
# טראַנסמיטטעד טשאַננעל 2 eCPRI EOPs: 50
# טשאַננעל 2 eCPRI SOPs באקומען: 50
# טשאַננעל 2 eCPRI EOPs באקומען: 50
# טשאַננעל 2 eCPRI טעות געמאלדן: 0
# טשאַננעל 2 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 2 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 2 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 2 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 2 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 2 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 2 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 2 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 2 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 2 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 2 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 2 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# קאַנאַל 3 eCPRI SOPs טראַנסמיטטעד: 50
# טראַנסמיטטעד טשאַננעל 3 eCPRI EOPs: 50
# טשאַננעל 3 eCPRI SOPs באקומען: 50
# טשאַננעל 3 eCPRI EOPs באקומען: 50
# טשאַננעל 3 eCPRI טעות געמאלדן: 0
# טשאַננעל 3 פונדרויסנדיק PTP SOPs טראַנסמיטטעד: 4
# טשאַננעל 3 פונדרויסנדיק PTP EOPs טראַנסמיטטעד: 4
# טשאַננעל 3 פונדרויסנדיק מיסק סאָפּס טראַנסמיטטעד: 128
# טשאַננעל 3 פונדרויסנדיק MISC EOPs טראַנסמיטטעד: 128
# טשאַננעל 3 פונדרויסנדיק סאָפּס באקומען: 132
# טשאַננעל 3 פונדרויסנדיק עאָפּס באקומען: 132
# טשאַננעל 3 פונדרויסנדיק PTP SOPs באקומען: 4
# טשאַננעל 3 פונדרויסנדיק PTP EOPs באקומען: 4
# טשאַננעל 3 פונדרויסנדיק מיסק סאָפּס באקומען: 128
# טשאַננעל 3 פונדרויסנדיק MISC EOPs באקומען: 128
# טשאַננעל 3 פונדרויסנדיק טעות געמאלדן: 0
# טשאַננעל 3 פונדרויסנדיק צייטamp פינגערפּרינט טעות געמאלדן: 0
# ______________________________________________________
# אינפֿאָרמאַציע: פּראָבע דורכגעגאנגען
#
# ______________________________________________________

1.4.1. ענייבאַלינג דינאַמיש רעקאָנפיגוראַטיאָן צו די עטהערנעט IP
דורך פעליקייַט, די דינאַמיש ריקאַנפיגיעריישאַן איז פאַרקריפּלט אין די eCPRI IP פּלאַן עקסampאון עס איז בלויז אָנווענדלעך צו Intel Stratix 10 (E-tile און H-tile) און Intel Agilex 7 (E-tile) design examples.

  1. קוק פֿאַר די פאלגענדע שורה אין די test_wrapper.sv פֿון די דזשענערייטאַדample_dir>/simulation/testbench Directory: פּאַראַמעטער ETHERNET_DR_EN = 0
  2. טוישן די ווערט פון 0 צו 1: פּאַראַמעטער ETHERNET_DR_EN = 1
  3. רירון די סימיאַליישאַן ניצן די זעלבע דזשענערייטאַד עקסampדי פּלאַן וועגווייַזער.

1.5. קאַמפּיילינג די קאָמפּילאַטיאָן-בלויז פּראָיעקט
צו זאַמלען די זאַמלונג-בלויז עקסampאין די פּרויעקט, נאָכגיין די סטעפּס:

  1. פאַרזיכערן זאַמלונג פּלאַן עקסampדער דור איז גאַנץ.
  2. אין די Intel Quartus Prime Pro Edition ווייכווארג, עפֿענען די Intel Quartus Prime Pro Edition פּרויעקטample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. אין די פּראַסעסינג מעניו, גיט אָנהייב זאַמלונג.
  4. נאָך געראָטן זאַמלונג, ריפּאָרץ פֿאַר טיימינג און ריסאָרס יוטאַלאַזיישאַן זענען בארעכטיגט אין דיין Intel Quartus Prime Pro Edition סעסיע. גיין צו פּראַסעסינג ➤ זאַמלונג באריכט צו view די דיטיילד באַריכט אויף זאַמלונג.
    פֿאַרבונדענע אינפֿאָרמאַציע
    בלאָק-באזירט פּלאַן פלאָוז

1.6. קאַמפּיילינג און קאַנפיגיער די פּלאַן עקסampאין האַרדוואַרע
צו זאַמלען די ייַזנוואַרג פּלאַן עקסampאון קאַנפיגיער עס אויף דיין Intel מיטל, נאָכגיין די סטעפּס:

  1. פאַרזיכערן ייַזנוואַרג פּלאַן עקסampדער דור איז גאַנץ.
  2. אין די Intel Quartus Prime Pro Edition ווייכווארג, עפֿענען די Intel Quartus Prime פּרויעקטample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. אין די פּראַסעסינג מעניו, גיט אָנהייב זאַמלונג.
  4. נאָך אַ מצליח זאַמלונג, אַ .סאָף file איז בנימצא איןample_dir>/ synthesis/quartus/output_files וועגווייַזער. גיי די סטעפּס צו פּראָגראַם די ייַזנוואַרג פּלאַן, למשלampאויף די Intel FPGA מיטל:
    א. קאָננעקט די אַנטוויקלונג קיט צו דער באַלעבאָס קאָמפּיוטער.
    ב. קאַטער די זייגער קאָנטראָל אַפּלאַקיישאַן, וואָס איז אַ טייל פון די אַנטוויקלונג ינווענטאַר, און שטעלן די נייַע פריקוואַנסיז פֿאַר די פּלאַן עקס.ample. ונטער איז די אָפטקייַט באַשטעטיקן אין די זייגער קאָנטראָל אַפּלאַקיישאַן:
    • אויב איר ציל דיין פּלאַן אויף Intel Stratix 10 GX SI Development Kit:
    — U5, OUT8- 100 מהז
    — U6, OUT3- 322.265625 מהז
    — U6, OUT4 און OUT5- 307.2 MHz
    • אויב איר ציל דיין פּלאַן אויף Intel Stratix 10 TX SI Development Kit:
    - U1, CLK4- 322.265625 MHz (פֿאַר 25G דאַטן קורס)
    - U6- 156.25 MHz (פֿאַר 10G דאַטן קורס)
    — U3, OUT3- 100 מהז
    — U3, OUT8- 153.6 מהז
    • אויב איר ציל דיין פּלאַן אויף Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    - ו37, קלק1אַ- 100 מהז
    - ו34, קלק0פּ- 156.25 מהז
    — U38, OUT2_P- 153.6 מהז
    • אויב איר ציל דיין פּלאַן אויף Intel Arria 10 GX SI Development Kit:
    — ו52, קלק0- 156.25 מהז
    — ו52, קלק1- 250 מהז
    — ו52, קלק3- 125 מהז
    — י5- 307.2 מהז
    — י6- 322.265625 מהז
    ג. אין די מכשירים מעניו, גיט פּראָגראַמיסט.
    ד. אין די פּראָגראַמיסט, גיט Hardware Setup.
    E. אויסקלייַבן אַ פּראָגראַממינג מיטל.
    f. סעלעקטירן און לייג די אנטוויקלונג קיט צו וואָס דיין Intel Quartus Prime Pro Edition סעסיע קענען פאַרבינדן.
    ג. פאַרזיכערן אַז מאָדע איז באַשטימט צו JTAG.
    ה. אויסקלייַבן די מיטל און גיט לייג דיווייס. דער פּראָגראַמיסט דיספּלייז אַ בלאָק דיאַגראַמע פון ​​די קאַנעקשאַנז צווישן די דעוויסעס אויף דיין ברעט.
    איך. לאָדן די .סאָף file צו דיין ריספּעקטיוו Intel FPGA מיטל.
    דזש. לאָדן די עקסעקוטאַבלע און פֿאַרבינדונג פֿאָרמאַט (.עלף) file צו דיין Intel Stratix 10 אָדער
    Intel Agilex 7 מיטל אויב איר פּלאַן צו דורכפירן דינאַמיש ריקאַנפיגיעריישאַן (DR) צו באַשטימען די דאַטן קורס צווישן 25G און 10G. גיי די אינסטרוקציעס פון די דזשענערייטינג און דאַונלאָודינג די עקסעקוטאַבלע און פֿאַרבינדונג פֿאָרמאַט (.עלף) פּראָגראַממינג File אויף בלאַט 38 צו דזשענערייט די .עלף file.
    ק. אין די רודערן מיט דיין .סאָף, טשעק די פּראָגראַם / קאָנפיגורע קעסטל פֿאַר די .סאָף file.
    ל. דריקט אָנהייב.

פֿאַרבונדענע אינפֿאָרמאַציע

  • בלאָק-באזירט פּלאַן
  • Intel Quartus Prime פּראָגראַמיסט באַניצער גייד
  • אַנאַלייזינג און דיבאַגינג דיזיינז מיט סיסטעם קאַנסאָול
  • Intel Agilex 7 F-Series Transceiver-SoC אנטוויקלונג קיט באַניצער גייד
  • ינטעל סטראַטיקס 10 גקס טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט באַניצער גייד
  • ינטעל סטראַטיקס 10 טקס טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט באַניצער גייד
  • Intel Arria 10 GX טראַנססעיווער סיגנאַל אָרנטלעכקייַט אַנטוויקלונג קיט באַניצער גייד

1.7. טעסטינג די eCPRI Intel FPGA IP Design Example
נאָך קאַמפּיילינג די eCPRI Intel FPGA IP האַרץ פּלאַן, למשלampאון קאַנפיגיער עס אויף דיין Intel FPGA מיטל, איר קענען נוצן די סיסטעם קאַנסאָול צו פּראָגראַם די IP האַרץ און זייַן עמבעדיד געבוירן PHY IP האַרץ רעדזשיסטערז.
צו קער אויף די סיסטעם קאַנסאָול און פּרובירן די ייַזנוואַרג פּלאַן, למשלampאין, נאָכגיין די סטעפּס:

  1. נאָך די ייַזנוואַרג פּלאַן עקסampדי איז קאַנפיגיערד אויף די Intel מיטל, אין די Intel Quartus Prime Pro Edition ווייכווארג, אויף די מכשירים מעניו, גיט סיסטעם דיבאַגינג מכשירים ➤ סיסטעם קאַנסאָול.
  2. אין די Tcl קאַנסאָול שויב, טוישן די וועגווייַזער צוample_dir>/ synthesis/quartus/hardware_test און טיפּ די פאלגענדע באַפֿעל צו עפֿענען אַ קשר צו די JTAG בעל און אָנהייב די פּראָבע:
    • מקור ecpri_agilex.tcl פֿאַר Intel Agilex 7 דיזיינז
    • מקור ecpri_s10.tcl פֿאַר Intel Stratix 10 דיזיינז
    • מקור ecpri_a10.tcl פֿאַר Intel Arria 10 דיזיינז
  3. פֿאַר דיין ינטעל סטראַטיקס 10 אָדער ינטעל אַגילעקס 7 E- טייל מיטל ווערייישאַנז, איר מוזן דורכפירן אָדער אַן ינערלעך אָדער פונדרויסנדיק לופּבאַקק באַפֿעל אַמאָל נאָך איר פּראָגראַם די .סאָף file:
    א. מאָדיפיצירן די TEST_MODE בייַטעוודיק אין די flow.c file צו אויסקלייַבן די לופּבאַקק מאָדע:
    TEST_MODE קאַמף
    0 סיריאַל לופּבאַקק געבן בלויז פֿאַר סימיאַליישאַן
    1 סיריאַל לופּבאַקק געבן בלויז פֿאַר ייַזנוואַרג
    2 סיריאַל לופּבאַקק און קאַלאַבריישאַן
    3 בלויז קאַלאַבריישאַן

    איר מוזן ריקאָמפּילע און רידזשענערייט די NIOS II ווייכווארג ווען איר טוישן די flow.c file.
    ב. רעגענערירן די .עלף file און פּראָגראַם צו די ברעט נאָך איין מאָל און רעפּראָגראַם די .סאָף file.

  4. פּרובירן די פּלאַן אָפּעראַציע דורך די קאַמאַנדז געשטיצט אין די סיסטעם קאַנסאָול שריפט. די סיסטעם קאַנסאָול שריפט גיט נוציק קאַמאַנדז פֿאַר לייענען סטאַטיסטיק און פֿעיִקייטן וואָס געבן דעם פּלאַן.

טיש 4. סיסטעם קאַנסאָול סקריפּט קאַמאַנדז

באַפֿעל באַשרייַבונג
loop_on ינייבאַלז טקס צו רקס ינערלעך סיריאַל לופּבאַקק. ניצן בלויז פֿאַר Intel Stratix 10 H-tile און Intel Arria 10 דעוויסעס.
loop_off דיסייבאַלז TX צו רקס ינערלעך סיריאַל לופּבאַקק. נוצן בלויז פֿאַר Intel Stratix 10 H-tile און Intel Arria 10 דעוויסעס.
לינק _ init _ int _1pbk ינייבאַלז TX צו רקס ינערלעך סיריאַל לופּבאַקק אין די טראַנססעיווער און פּערפאָרמז די טראַנססעיווער קאַלאַבריישאַן לויפן. בלויז אָנווענדלעך פֿאַר די Intel Stratix 10 E-טייל און Intel Agilex 7 E-טייל דיזיינז.
לינק _ יניט _ עקסט _1 פּבק ינייבאַלז TX צו רקס פונדרויסנדיק לופּבאַקק און פּערפאָרמז די טראַנססעיווער קאַלאַבריישאַן לויפן. בלויז אָנווענדלעך פֿאַר די Intel Stratix 10 E-טייל און Intel Agilex 7 E-טייל דיזיינז.
פאַרקער גענ דיסייבאַל דיסייבאַלז די פאַרקער גענעראַטאָר און טשעקער.
chkmac סטאַטיסטיק דיספּלייז די סטאַטיסטיק פֿאַר די עטהערנעט מעק.
לייענען_ טעסט_ סטאַטיסטיק ווייַז די טעות סטאַטיסטיק פֿאַר פאַרקער גענעראַטאָר און טשעקקערס.
עקסט _ קעסיידערדיק _ מאָדע _en רעסעץ די גאנצע פּלאַן סיסטעם, און ינייבאַלז די פאַרקער גענעראַטאָר צו דזשענערייט קעסיידערדיק פאַרקער פּאַקיץ.
דר _ 25 ג _ צו _ לאָג _עטילע סוויטשיז די דאַטן קורס פון די עטהערנעט מעק פון 25G צו 10G. ניצן בלויז די Intel Stratix 10 E-טייל און Intel Agilex 7 E-טייל דעוויסעס.
dr_25g_to_10g_htile סוויטשיז די דאַטן קורס פון די עטהערנעט מעק פון 25G צו 10G. נוצן בלויז פֿאַר H-טייל דעוויסעס
dr_10g_to_25g_etile סוויטשיז די דאַטן קורס פון די עטהערנעט מעק פון 10G צו 25G. ניצן בלויז די Intel Stratix 10 E-טייל און Intel Agilex 7 E-טייל דעוויסעס.
דר _ 25 ג _ צו _ לאָג _הטילע סוויטשיז די דאַטן קורס פון די עטהערנעט מעק פון 10G צו 25G. נוצן בלויז פֿאַר H-טייל דעוויסעס.

די פאלגענדע זampדער רעזולטאַט ילאַסטרייץ אַ געראָטן פּראָבע לויפן:
סיסטעם קאַנסאָול פּרינטאַוט (נומער פון טשאַנאַלז = 1)
קאַנאַל 0 EXT PTP TX SOP גראף: 256
קאַנאַל 0 EXT PTP TX EOP גראף: 256
קאַנאַל 0 EXT MISC TX SOP גראף: 36328972
קאַנאַל 0 EXT MISC TX EOP גראף: 36369511
קאַנאַל 0 עקסט רקס סאָפּ נומער: 36410364
קאַנאַל 0 EXT RX EOP גראף: 36449971
קאַנאַל 0 עקסט טשעקער ערראָרס: 0
קאַנאַל 0 EXT טשעקער טעות קאַונץ: 0
קאַנאַל 0 EXT PTP פינגערפּרינט ערראָרס: 0
קאַנאַל 0 EXT PTP פינגערפּרינט טעות קאַונץ: 0
קאַנאַל 0 TX SOP גראף: 1337760
קאַנאַל 0 TX EOP גראף: 1339229
קאַנאַל 0 RX SOP גראף: 1340728
קאַנאַל 0 RX EOP גראף: 1342555
קאַנאַל 0 טשעקער ערראָרס: 0
קאַנאַל 0 טשעקער טעות קאַונץ: 0

=========================================================================
=============
ETHERNET MAC סטאַטיסטיק פֿאַר קאַנאַל 0 (רקס)

=========================================================================
=============
פראַגמענטעד ראָמען: 0
דזשאַבערד ראָמען: 0
רעכט גרייס מיט FCS Err ראָמען: 0
מולטיקאַסט דאַטן טעות ראָמען: 0
בראָדקאַסט דאַטן טעות ראָמען: 0
וניקאַסט דאַטן טעות ראָמען: 0
64 בייט ראָמען: 3641342
65 - 127 בייט ראָמען: 0
128 - 255 בייט ראָמען: 37404809
256 - 511 בייט ראָמען: 29128650
512 - 1023 בייט ראָמען: 0
1024 - 1518 בייט ראָמען: 0
1519 - מאַקס בייט ראָמען: 0
> מאַקס בייט ראָמען: 0
מולטיקאַסט דאַטן גוט ראַם: 70174801
בראָדקאַסט דאַטן גוט ראַם: 0
וניקאַסט דאַטן גוט ראָמען: 0
מולטיקאַסט קאָנטראָל ראָמען: 0
בראָדקאַסט קאָנטראָל ראָמען: 0
וניקאַסט קאָנטראָל ראָמען: 0
פּויזע קאָנטראָל ראָמען: 0
פּייַלאָאַד אָקטעץ גוט: 11505935812
ראַם אָקטעץ גוט: 12918701444
Rx מאַקסימום ראַם לענג: 1518
קיין גרייס מיט FCS Err Frame: 0
מולטיקאַסט קאָנטראָל טעות ראַם: 0
בראָדקאַסט קאָנטראָל טעות ראַם: 0
וניקאַסט קאָנטראָל טעות ראָמען: 0
פּויזע קאָנטראָל טעות ראָמען: 0
Rx ראַם סטאַרץ: 70174801

די פאלגענדע איז די סampדער רעזולטאַט פֿאַר די 25G צו 10G DR פּרובירן לויפן:
סיסטעם קאַנסאָול פּרינטאַוט (25G צו 10G DR E-טייל)

אָנהייבן דינאַמיש רעקאָנפיגוראַטיאָן פֿאַר עטהערנעט 25G -> 10G
DR מצליח 25G -> 10G
RX PHY רעגיסטרירן אַקסעס: קאָנטראָלירונג זייגער פרעקווענסיעס (KHz)
TXCLK: 16114 (KHZ)
RXCLK: 16113 (KHZ)
RX PHY סטאַטוס פּאָללינג
Rx פרעקווענסי לאַק סטאַטוס 0x0000000f
מעק זייגער אין גוט צושטאַנד? 0x00000001
Rx Frame טעות? 0x00000000
Rx PHY גאָר אַליינד? 0x00000001
פּאָללינג RX PHY קאַנאַל 0
RX PHY טשאַננעל 0 איז אַרויף און פליסנדיק!

סיסטעם קאַנסאָול פּרינטאַוט (25G צו 10G DR H-טייל)
אָנהייבן דינאַמיש רעקאָנפיגוראַטיאָן פֿאַר עטהערנעט 25G -> 10G
DR מצליח 25G -> 10G
RX PHY רעגיסטרירן אַקסעס: קאָנטראָלירונג זייגער פרעקווענסיעס (KHz)
TXCLK: 15625 (KHZ)
RXCLK: 15625 (KHZ)
RX PHY סטאַטוס פּאָללינג
Rx אָפטקייַט לאַק סטאַטוס 0x00000001
מעק זייגער אין גוט צושטאַנד? 0x00000007
Rx Frame טעות? 0x00000000
Rx PHY גאָר אַליינד? 0x00000001
פּאָללינג RX PHY קאַנאַל 0
RX PHY טשאַננעל 0 איז אַרויף און פליסנדיק!

סיסטעם קאַנסאָול פּרינטאַוט (10G צו 25G DR E-טייל)
אָנהייבן דינאַמיש רעקאָנפיגוראַטיאָן פֿאַר עטהערנעט 10G -> 25G
DR מצליח 10G -> 25G
RX PHY רעגיסטרירן אַקסעס: קאָנטראָלירונג זייגער פרעקווענסיעס (KHz)
TXCLK: 40283 (KHZ)
RXCLK: 40283 (KHZ)
RX PHY סטאַטוס פּאָללינג
Rx פרעקווענסי לאַק סטאַטוס 0x0000000f
מעק זייגער אין גוט צושטאַנד? 0x00000001
Rx Frame טעות? 0x00000000
Rx PHY גאָר אַליינד? 0x00000001
פּאָללינג RX PHY קאַנאַל 0
RX PHY טשאַננעל 0 איז אַרויף און פליסנדיק!

סיסטעם קאַנסאָול פּרינטאַוט (10G צו 25G DR H-טייל)
אָנהייבן דינאַמיש רעקאָנפיגוראַטיאָן פֿאַר עטהערנעט 10G -> 25G
DR מצליח 10G -> 25G
RX PHY רעגיסטרירן אַקסעס: קאָנטראָלירונג זייגער פרעקווענסיעס (KHz)
TXCLK: 39061 (KHZ)
RXCLK: 39063 (KHZ)
RX PHY סטאַטוס פּאָללינג
Rx אָפטקייַט לאַק סטאַטוס 0x00000001
מעק זייגער אין גוט צושטאַנד? 0x00000007
Rx Frame טעות? 0x00000000
Rx PHY גאָר אַליינד? 0x00000001
פּאָללינג RX PHY קאַנאַל 0
RX PHY טשאַננעל 0 איז אַרויף און פליסנדיק!

פּלאַן עקסampדי באַשרייַבונג

דער פּלאַן עקסample דעמאַנסטרייץ די יקערדיק פאַנגקשאַנאַליטי פון די eCPRI IP האַרץ. איר קענען דזשענערייט די פּלאַן פון די עקסampדי פּלאַן קוויטל אין די eCPRI IP פּאַראַמעטער רעדאַקטאָר.

2.1. פֿעיִקייטן

  • אינערלעכער טקס און רקס סיריאַל לופּבאַקק מאָדע
  • אויטאָמאַטיש דזשענערייץ פאַרפעסטיקט גרייס פּאַקיץ
  • יקערדיק פּאַקאַט קאָנטראָלירונג קייפּאַבילאַטיז
  • פיייקייט צו נוצן סיסטעם קאַנסאָול צו פּרובירן די פּלאַן און באַשטעטיק דעם פּלאַן פֿאַר שייַעך-טעסטינג ציל

2.2. ייַזנוואַרג פּלאַן עקסample
פיגורע 5. פאַרשפּאַרן דיאַגראַמע פֿאַר ינטעל אַגילעקס 7 F-טייל דיזיינזeCPRI Intel FPGA IP פּלאַן - פיגורע 5

Intel Corporation. אלע רעכטן רעזערווירט. ינטעל, די ינטעל לאָגאָ און אנדערע ינטעל מאַרקס זענען טריידמאַרקס פון ינטעל קאָרפּאָראַטיאָן אָדער זייַן סאַבסידיעריז. ינטעל וואָראַנטיז פאָרשטעלונג פון זייַן FPGA און סעמיקאַנדאַקטער פּראָדוקטן צו קראַנט ספּעסאַפאַקיישאַנז אין לויט מיט ינטעל ס נאָרמאַל וואָראַנטי, אָבער ריזערווז די רעכט צו מאַכן ענדערונגען צו קיין פּראָדוקטן און באַדינונגס אין קיין צייט אָן באַמערקן. ינטעל אַסומז קיין פֿאַראַנטוואָרטלעכקייט אָדער אַכרייַעס וואָס איז שטייענדיק פֿון די אַפּלאַקיישאַן אָדער נוצן פון קיין אינפֿאָרמאַציע, פּראָדוקט אָדער דינסט דיסקרייבד דאָ, אַחוץ ווי ינטעל איז עקספּרעסלי מסכים צו שרייבן. ינטעל קאַסטאַמערז זענען אַדווייזד צו קריגן די לעצטע ווערסיע פון ​​די מיטל ספּעסאַפאַקיישאַנז איידער זיי פאַרלאָזנ אויף קיין ארויס אינפֿאָרמאַציע און איידער פּלייסינג אָרדערס פֿאַר פּראָדוקטן אָדער באַדינונגס. * אנדערע נעמען און בראַנדז קען זיין קליימד ווי די פאַרמאָג פון אנדערע.

פיגורע 6. פאַרשפּאַרן דיאַגראַמע פֿאַר ינטעל אַגילעקס 7 E- טייל דיזיינזeCPRI Intel FPGA IP פּלאַן - פיגורע 6פיגורע 7. פאַרשפּאַרן דיאַגראַמע פֿאַר ינטעל סטראַטיקס 10 דיזיינזeCPRI Intel FPGA IP פּלאַן - פיגורע 7

פיגורע 8. פאַרשפּאַרן דיאַגראַמע פֿאַר Intel Arria 10 דיזיינזeCPRI Intel FPGA IP פּלאַן - פיגורע 8די eCPRI Intel FPGA IP האַרץ ייַזנוואַרג פּלאַן עקסampעס כולל די פאלגענדע קאַמפּאָונאַנץ:
eCPRI Intel FPGA IP
אַקסעפּץ דאַטן פון די פאַרקער גענעראַטאָרס ינסטאַנטיישאַנז אין די פּראָבע ראַפּער און פּרייאָראַטייז די דאַטן פֿאַר טראַנסמיסיע צו די עטהערנעט IP.

עטהערנעט IP

  • F-טייל עטהערנעט Intel FPGA Hard IP (Intel Agilex 7 F-טייל דיזיינז)
  • E-טייל שווער IP פֿאַר עטהערנעט (ינטעל סטראַטיקס 10 אָדער Intel Agilex 7 E- טייל דיזיינז)
  • 25G עטהערנעט Intel Stratix 10 IP (ינטעל סטראַטיקס 10 ה-טייל דיזיינז)
  • נידעריק לאַטענסי עטהערנעט 10G MAC IP און 1G/10GbE און 10GBASE-KR PHY IP (Intel Arria 10 דיזיינז)

פּרעסיסיאָן צייט פּראָטאָקאָל (PTP) IO PLL
פֿאַר Intel Stratix 10 H-טייל דיזיינז - ינסטאַנטיאַטעד צו דזשענערייט די לייטאַנס מעזשערמאַנט אַרייַנשרייַב רעפֿערענץ זייגער פֿאַר די עטהערנעט IP און sampלינג זייגער פֿאַר צייט פון טאָג (TOD) סאַבסיסטאַם. פֿאַר 25G עטהערנעט Intel Stratix 10 FPGA IP מיט די IEEE 1588v2 שטריך, ינטעל רעקאַמענדז איר צו שטעלן די אָפטקייַט פון דעם זייגער צו 156.25 מהז. אָפּשיקן צו די 25G Ethernet Intel Stratix 10 FPGA IP User Guide און Intel Stratix 10 H-tile Transceiver PHY User Guide פֿאַר מער אינפֿאָרמאַציע. די PTP IOPLL אויך דזשענערייץ די רעפֿערענץ זייגער פֿאַר די eCPRI IO PLL אין די קאַסקיידינג שטייגער.
פֿאַר Intel Arria 10 דיזיינז - ינסטאַנטיאַטעד צו דזשענערייט די 312.5 מהז און 156.25 מהז זייגער ינפּוץ פֿאַר די נידעריק לייטאַנס עטהערנעט 10G MAC IP און 1G/10GbE, 10GBASE-KR PHY IP און eCPRI IP.

eCPRI IO PLL
דזשענערייץ אַ האַרץ זייגער רעזולטאַט פון 390.625 מהז פֿאַר די טקס און רקס וועג פון די eCPRI IP און פאַרקער קאַמפּאָונאַנץ.
באַמערקונג: דעם בלאָק איז בלויז פאָרשטעלן אין די פּלאַן עקסampדי דזשענערייטאַד פֿאַר Intel Stratix 10 און Intel Agilex 7 דעוויסעס.

באַמערקונג: די קראַנט ווערסיע פון ​​די eCPRI Intel FPGA IP שטיצט בלויז IWF טיפּ 0. פֿאַר Intel Agilex 7 F-טייל דעוויסעס, די פּלאַן עקס.ample ענייבאַלד מיט IWF שטריך איז נישט געשטיצט.
ווען איר דזשענערייט די פּלאַן עקסampמיט ינטערוואָרקינג פֿונקציע (IWF) סופּפּאָרט פּאַראַמעטער אויסגעדרייט אַוועק, די פּאַקאַט פאַרקער פלאָוז גלייַך פון די פּראָבע ראַפּער מאָדולע צו די Avalon-ST מקור / זינקען צובינד און פונדרויסנדיק מקור / זינקען צובינד פון די eCPRI IP.
ווען איר דזשענערייט די פּלאַן עקסampמיט ינטערווערקינג פֿונקציע (IWF) סופּפּאָרט פּאַראַמעטער אויסגעדרייט אויף, די פּאַקאַט פאַרקער פלאָוז צו די IWF Avalon-ST זינקען צובינד פֿון די פּראָבע ראַפּער מאָדולע ערשטער, און קומט פֿון IWF Avalon-ST מקור צובינד צו די eCPRI Avalon-ST מקור / זינקען צובינד.
CPRI MAC
פּראָווידעס די CPRI טייל פון די שיכטע 1 און פול שיכטע 2 פּראָטאָקאָלס פֿאַר די אַריבערפירן פון באַניצער פלאַך, C&M, און סינגקראַנאַזיישאַן אינפֿאָרמאַציע צווישן REC און RE און צווישן צוויי RE,
CPRI PHY
פּראָווידעס די רוען טייל פון CPRI שיכטע 1 פּראָטאָקאָל פֿאַר שורה קאָודינג, ביסל טעות קערעקשאַן / דיטעקשאַן, און עטק.

באַמערקונג: די CPRI MAC און CPRI PHY IP ינסטאַנטייטיד אין דעם פּלאַן עקסampזיי זענען קאַנפיגיערד צו לויפן בלויז מיט איין CPRI שורה קורס פון 9.8 גבפּס. דער פּלאַן עקסample טוט נישט שטיצן שורה קורס אַוטאָ-פאַרהאַנדלונג אין דעם קראַנט מעלדונג.

פּרובירן ראַפּער
באשטייט פון פאַרקער גענעראַטאָרס און טשעקקערס וואָס דזשענערייץ פאַרשידענע שטעלן פון דאַטן פּאַקיץ צו די Avalon Streaming (Avalon-ST) ינטערפייסיז פון די eCPRI IP ווי אונטן:

  • eCPRI פּאַקיץ צו די Avalon-ST מקור / זינקען ינטערפייסיז (IWF שטריך פאַרקריפּלט):
    - שטיצט בלויז אָנזאָג טיפּ 2.
    - צוריק-צו-צוריק מאָדע דור מיט ינקראַמענטאַל מוסטער מאָדע דור און פּיילאָוד גרייס פון 72 ביטעס פֿאַר יעדער פּאַקאַט.
    - קאָנפיגוראַבלע דורך CSR צו לויפן אין ניט-קאַנטאַנטיוויאַס אָדער קעסיידערדיק מאָדע.
    - TX / RX פּאַקאַט סטאַטיסטיק סטאַטוס בנימצא צו אַקסעס דורך CSR.
  • eCPRI פּאַקיץ צו די Avalon-ST מקור / זינקען ינטערפייסיז (IWF שטריך ענייבאַלד):
    - שטיצט בלויז אָנזאָג טיפּ 0 אין די קראַנט מעלדונג.
    - ינקרעמענטאַל מוסטער מאָדע דור מיט ינטערפּאַקקעט ריס דור און פּיילאָוד גרייס פון 240 ביטעס פֿאַר יעדער פּאַקאַט.
    - קאָנפיגוראַבלע דורך CSR צו לויפן אין ניט-קאַנטאַנטיוויאַס אָדער קעסיידערדיק מאָדע.
    - TX / RX פּאַקאַט סטאַטיסטיק סטאַטוס בנימצא צו אַקסעס דורך CSR.
  • פּרעסיסיאָן צייט פּראָטאָקאָל (1588 PTP) פּאַקאַט און ניט-פּטפּ פאַרשידן פּאַקיץ צו די פונדרויסנדיק מקור / זינקען ינטערפייסיז:
    - סטאַטיק עטהערנעט כעדער דור מיט פאַר-דיפיינד פּאַראַמעטערס: Ethertype0x88F7, אָנזאָג טיפּ-אָפּקאָדע 0 (סינק) און PTP ווערסיע-0.
    - פאַר-דיפיינד מוסטער מאָדע דור מיט ינטערפּאַקקעט ריס פון 2 סייקאַלז און פּיילאָוד גרייס פון 57 ביטעס פֿאַר יעדער פּאַקאַט.
    - 128 פּאַקיץ זענען דזשענערייטאַד אין דער צייט פון יעדער רגע.
    - קאָנפיגוראַבלע דורך CSR צו לויפן אין ניט-קאַנטאַנטיוויאַס אָדער קעסיידערדיק מאָדע.
    - TX / RX פּאַקאַט סטאַטיסטיק סטאַטוס בנימצא צו אַקסעס דורך CSR.
  • פונדרויסנדיק ניט-PTP פאַרשידן פּאַקיץ:
    - סטאַטיק עטהערנעט כעדער דור מיט פאַר-דיפיינד פּאַראַמעטער, Ethertype-0x8100 (ניט-פּטפּ).
    - פּראַבס מוסטער מאָדע דור מיט ינטערפּאַקקעט ריס פון 2 סייקאַלז און פּיילאָוד גרייס פון 128 ביטעס פֿאַר יעדער פּאַקאַט.
    - קאָנפיגוראַבלע דורך CSR צו לויפן אין ניט-קאַנטאַנטיוויאַס אָדער קעסיידערדיק מאָדע.
    - TX / RX פּאַקאַט סטאַטיסטיק סטאַטוס בנימצא צו אַקסעס דורך CSR.

צייט פון טאָג (TOD) סאַבסיסטאַם
כּולל צוויי IEEE 1588 TOD מאַדזשולז פֿאַר ביידע טקס און רקס, און איין IEEE 1588 TOD סינטשראָניזער מאָדולע דזשענערייטאַד דורך Intel Quartus Prime ווייכווארג.
Nios® II סובסיסטעם
באשטייט פון Avalon-MM בריק וואָס אַלאַוז אַוואַלאָן-מם דאַטן אַרביטריישאַן צווישן Nios II פּראַסעסער, פּרובירן ראַפּער און Avalon® -MM אַדרעס דיקאָודער בלאַקס.
Nios II איז פאַראַנטוואָרטלעך צו דורכפירן דאַטן קורס סוויטשינג באזירט אויף די רעזולטאַט פון די טעסט ראַפּער ס rate_switch רעגיסטרירן ווערט. דער בלאָק פּראָגראַמען די נייטיק רעגיסטרירן אַמאָל עס נעמט באַפֿעל פון די פּרובירן ראַפּער.

באַמערקונג: דעם בלאָק איז נישט פאָרשטעלן אין די פּלאַן עקסampדי דזשענערייטאַד פֿאַר Intel Arria 10 און Intel Agilex 7 F-טייל דעוויסעס.
סיסטעם קאַנסאָול
פּראָווידעס אַ באַניצער-פרייַנדלעך צובינד פֿאַר איר צו טאָן ערשטער-מדרגה דיבאַגינג און מאָניטאָר די סטאַטוס פון די IP, און פאַרקער גענעראַטאָרס און טשעקקערס.
דעמאָ קאָנטראָל
דער מאָדולע באשטייט פון באַשטעטיק סינטשראָניזער מאַדזשולז, און אין-סיסטעם מקור און זאָנד (ISSP) מאַדזשולז פֿאַר דיזיינינג סיסטעם דיבאַגינג און יניטיאַליזיישאַן פּראָצעס.

פֿאַרבונדענע אינפֿאָרמאַציע

  • 25G Ethernet Intel Stratix 10 FPGA IP באַניצער גייד
  • E-טייל שווער IP באַניצער גייד
  • eCPRI Intel FPGA IP באַניצער גייד
  • 25G Ethernet Intel Stratix 10 FPGA IP Design Exampדער באַניצער גייד
  • E-טייל שווער IP פֿאַר Intel Stratix 10 Design Exampליי באַניצער גייד
  • Intel Stratix 10 L- און H-Tile טראַנססעיווער PHY באַניצער גייד
  • E-Tile טראַנססעיווער PHY באַניצער גייד
  • Intel Stratix 10 10GBASE-KR PHY IP באַניצער גייד
  • E-טייל שווער IP Intel Agilex Design Exampדער באַניצער גייד

2.3. סימיאַליישאַן פּלאַן עקסample
די eCPRI פּלאַן עקסample דזשענערייץ אַ סימיאַליישאַן טעסטבענטש און סימיאַליישאַן files וואָס ינסטאַנטיייץ די eCPRI Intel FPGA IP האַרץ ווען איר אויסקלייַבן די סימיאַליישאַן אָדער סינטעז & סימיאַליישאַן אָפּציע.

פיגורע 9. eCPRI Intel FPGA IP Simulation Block DiagrameCPRI Intel FPGA IP פּלאַן - פיגורע 9

באַמערקונג: די Nios II סובסיסטעם בלאָק איז נישט פאָרשטעלן אין די פּלאַן עקסampדי דזשענערייטאַד פֿאַר Intel Arria 10 און Intel Agilex 7 F-טייל דעוויסעס.
אין דעם פּלאַן עקסampליי, די סימיאַליישאַן טעסטבענטש גיט יקערדיק פאַנגקשאַנאַליטי אַזאַ ווי סטאַרטאַפּ און וואַרטן פֿאַר שלאָס, טראַנסמיסיע און באַקומען פּאַקיץ.

די געראָטן פּרובירן לויפן דיספּלייז רעזולטאַט וואָס באַשטעטיקן די פאלגענדע נאַטור:

  1. דער קליענט לאָגיק ריסעץ די IP האַרץ.
  2. דער קליענט לאָגיק ווייץ פֿאַר די RX דאַטאַפּאַט אַליינמאַנט.
  3. דער קליענט לאָגיק טראַנסמיטט פּאַקיץ אויף די Avalon-ST צובינד.
  4. באַקומען און טשעקס פֿאַר די אינהאַלט און קערעקטנאַס פון די פּאַקיץ.
  5. ווייַז "טעסט דורכגעגאנגען" אָנזאָג.

2.4. צובינד סיגנאַלז
טיש 5. פּלאַן עקסampדי צובינד סיגנאַלז

סיגנאַל ריכטונג באַשרייַבונג
clk_ref אַרייַנשרייַב רעפערענץ זייגער פֿאַר די עטהערנעט מעק.
• פֿאַר Intel Stratix 10 E-טייל, Intel Agilex 7 E-טייל און F-טייל דיזיינז, 156.25 מהז זייגער אַרייַנשרייַב פֿאַר די E-טייל עטהערנעט האַרד יפּ האַרץ אָדער F-טייל עטהערנעט האַרד יפּ האַרץ. פאַרבינדן צו i_clk_ref[0] אין די עטהערנעט שווער IP.
• פֿאַר Intel Stratix 10 ה-טייל דיזיינז, אַ 322.2625 מהז זייגער אַרייַנשרייַב פֿאַר די טראַנססעיווער אַטקס פּלל און 25G עטהערנעט IP. פאַרבינדן צו pll_refclk0 [0] אין די טראַנססעיווער אַטקס פּלל און clk_ref [0] אין 25G עטהערנעט IP.
• פֿאַר Intel Arria 10 דיזיינז, אַ 322.265625 מהז זייגער אַרייַנשרייַב פֿאַר די טראַנססעיווער אַטקס פּלל און 1G/10GbE און 10GBase-KR PHY IP. פאַרבינדן צו pll_refclk0[0] אין די טראַנססעיווער אַטקס פּלל און rx_cdr_ref_clk_10g[0] אין די 1G/10GbE און 10G BASE-KR PHY IP.
tod_sync_sampling_clk אַרייַנשרייַב פֿאַר Intel Arria 10 דיזיינז, אַ 250 מהז זייגער אַרייַנשרייַב פֿאַר TOD סאַבסיסטאַם.
clk100 אַרייַנשרייַב פאַרוואַלטונג זייגער. דער זייגער איז געניצט צו דזשענערייט לייטאַנסי_קלק פֿאַר פּטפּ. פאָר אין 100 מהז.
mgmt_reset_n אַרייַנשרייַב באַשטעטיק סיגנאַל פֿאַר Nios II סיסטעם.
tx_serial רעזולטאַט טקס סיריאַל דאַטן. שטיצט אַרויף צו 4 טשאַנאַלז.
rx_serial אַרייַנשרייַב RX סיריאַל דאַטן. שטיצט אַרויף צו 4 טשאַנאַלז.
iwf_cpri_ehip_ref_clk אַרייַנשרייַב E-טייל CPRI PHY רעפֿערענץ זייגער אַרייַנשרייַב. דער זייגער איז בלויז פאָרשטעלן אין Intel Stratix 10 E-tile און Intel
Agilex 7 E- טייל דיזיינז. פאָר ביי 153.6 מהז פֿאַר 9.8 גבפּס CPRI שורה קורס.
iwf_cpri_pll_refclk0 רעזולטאַט CPRI TX PLL רעפֿערענץ זייגער.
• פֿאַר ינטעל סטראַטיקס 10 ה-טייל דיזיינז: פאָר ביי 307.2 מהז פֿאַר CPRI דאַטן קורס 9.8 גבפּס.
• פֿאַר Intel Stratix 10 E-טייל און Intel Agilex 7 E- טייל דיזיינז: פאָר ביי 156.25 מהז פֿאַר CPRI דאַטן קורס 9.8 גבפּס.
iwf_cpri_xcvr_cdr_refclk רעזולטאַט CPRI ופנעמער CDR דערמאָנען זייגער. דער זייגער איז בלויז פאָרשטעלן אין Intel Stratix 10 H-טייל דיזיינז.
פאָר ביי 307.2 מהז פֿאַר 9.8 גבפּס CPRI שורה קורס.
iwf_cpri_xcvr_txdataout רעזולטאַט CPRI יבערשיקן סיריאַל דאַטן. שטיצט אַרויף צו 4 טשאַנאַלז.
iwf_cpri_xcvr_rxdatain רעזולטאַט CPRI ופנעמער סיריאַל דאַטן. שטיצט אַרויף צו 4 טשאַנאַלז.
cpri_gmii_clk אַרייַנשרייַב CPRI GMII 125 מהז אַרייַנשרייַב זייגער.

פֿאַרבונדענע אינפֿאָרמאַציע
PHY צובינד סיגנאַלז
רשימות די PHY צובינד סיגנאַלז פון די 25G Ethernet Intel FPGA IP.

2.5. פּלאַן עקסampדי רעגיסטרירן מאַפּע
ונטער איז די רעגיסטרירן מאַפּינג פֿאַר די eCPRI IP האַרץ פּלאַן עקסampלאַ:
טיש 6. eCPRI Intel FPGA IP Design Exampדי רעגיסטרירן מאַפּינג

אַדרעס  רעגיסטרירן
0x20100000 – 0x201FFFFF(2) IOPLL שייַעך-קאַנפיגיעריישאַן רעגיסטרירן.
0x20200000 - 0x203FFFFFF עטהערנעט מעק אַוואַלאָן-מם רעגיסטרירן
0x20400000 - 0x205FFFFFF עטהערנעט מעק געבוירן PHY Avalon-MM רעגיסטרירן
0x20600000 – 0x207FFFFF(2) געבוירן PHY RS-FEC Avalon-MM רעגיסטרירן.
0x40000000 - 0x5FFFFFFFF eCPRI IP Avalon-MM רעגיסטרירן
0x80000000 - 0x9FFFFFFFF עטהערנעט פּלאַן טעסט גענעראַטאָר / וועראַפייער אַוואַלאָן-מם רעגיסטרירן

טיש 7. ניאָס וו רעגיסטרירן מאַפּינג
די רעדזשיסטערס אין אונטן טיש זענען בלויז בנימצא אין די פּלאַן עקסampדי דזשענערייטאַד פֿאַר Intel Stratix 10 אָדער Intel Agilex 7 E-טייל דעוויסעס.

אַדרעס  רעגיסטרירן
0x00100000 - 0x001FFFFFF IOPLL שייַעך-קאַנפיגיעריישאַן רעגיסטרירן
0x00200000 - 0x003FFFFFF עטהערנעט מעק אַוואַלאָן-מם רעגיסטרירן
0x00400000 - 0x005FFFFFF עטהערנעט מעק געבוירן PHY Avalon-MM רעגיסטרירן
0x00600000 - 0x007FFFFFF געבוירן PHY RS-FEC Avalon-MM רעגיסטרירן

באַמערקונג: איר קענט אַקסעס די עטהערנעט מעק און עטהערנעט מעק געבוירן PHY AVMM רעדזשיסטערז ניצן וואָרט פאָטאָ אַנשטאָט פון בייט פאָטאָ.
פֿאַר דיטיילד אינפֿאָרמאַציע אויף Ethernet MAC, Ethernet MAC Native PHY און eCPRI IP האַרץ רעגיסטרירן מאַפּס, אָפּשיקן צו די ריספּעקטיוו באַניצער גוידעס.

(2) בלויז בנימצא אין פּלאַן עקסampדי דזשענערייטאַד פֿאַר Intel Stratix 10 און Intel Agilex 7 E-טייל דעוויסעס.

טיש 8. eCPRI Intel FPGA IP Hardware Design Exampדי רעגיסטרירן מאַפּע

וואָרט אָפסעט  רעגיסטרירן טיפּ  פעליקייַט ווערט  אַקסעס טיפּ
0x0 אָנהייב שיקן דאַטן:
• ביסל 1: פּטפּ, ניט-פּטפּ טיפּ
• ביסל 0: eCPRI טיפּ
0x0 RW
0x1 קעסיידערדיק פּאַקאַט געבן 0x0 RW
0x2 קלאָר טעות 0x0 RW
0x3 (3) קורס באַשטימען:
• ביסל [7] - ינדיקייץ קאַכל:
— 1 ׳ ב 0 : ה־קאכל
— 1׳ ב 1 : ע־טײל
• ביסל [6:4] - ינדיקייץ עטהערנעט דאַטן קורס סוויטשינג:
- 3'b000: 25G צו 10G
- 3'b001: 10G צו 25G
• ביסל [0] - באַשטימען קורס געבן. עס איז פארלאנגט צו שטעלן דעם ביסל 0 און אַנקעטע ביז ביסל 0 איז קלאָר פֿאַר די קורס סוויטשינג.
באַמערקונג: דער רעגיסטרירן איז ניט בנימצא פֿאַר Intel Agilex 7 F-tile און Intel Arria 10 דיזיינז.
• E- קאַכל: 0x80
• ה-קאַכל: 0קס0
RW
0x4 (3) קורס באַשטימען געטאן:
• ביסל [1] ינדיקייץ קורס סוויטשינג געטאן.
0x0 RO
0x5 (4) סיסטעם קאַנפיגיעריישאַן סטאַטוס:
• ביסל [31]: סיסטעם גרייט
• ביסל [30]: IWF_EN
• ביסל [29]: STARTUP_SEQ_EN
• ביסל [28:4]: רעזערווירט
• ביסל [3]: EXT_PACKET_EN
• ביסל [2:0]: רעזערווירט
0x0 RO
0x6 (4) CPRI פאַרהאַנדלונג גאַנץ:
• ביט [3:0]: ביט קורס גאַנץ
• ביט [19:16]: פּראָטאָקאָל גאַנץ
0x0 RW
0x7 (4) CPRI פאַרהאַנדלונג גאַנץ:
• ביסל [3:0]: שנעל C&M גאַנץ
• ביט [19:16]: שנעל VSS גאַנץ
0x0 RW
0x8 - 0x1F רעזערווירט.
0x20 eCPRI טעות ינטעראַפּט:
• ביסל [0] ינדיקייץ די יבעררייַס.
0x0 RO
0x21 פונדרויסנדיק פּאַקיץ טעות 0x0 RO
0x22 פונדרויסנדיק פּטפּ פּאַקיץ טקס אָנהייב פון פּאַקאַט (SOP) גראף 0x0 RO
0x23 פונדרויסנדיק פּטפּ פּאַקיץ TX סוף פון פּאַקאַט (EOP) גראף 0x0 RO
0x24 פונדרויסנדיק פאַרשיידן פּאַקיץ TX SOP גראף 0x0 RO
0x25 פונדרויסנדיק פאַרשיידן פּאַקיץ TX EOP גראף 0x0 RO
0x26 פונדרויסנדיק RX פּאַקיץ SOP גראף 0x0 RO
0x27 פונדרויסנדיק RX פּאַקיץ EOP גראף 0x0 RO
0x28 פונדרויסנדיק פּאַקיץ טעות גראף 0x0 RO
0x29 - 0x2C רעזערווירט.
0x2D פונדרויסנדיק PTP Timestamp ציילן פון פינגערפּרינט טעות 0x0 RO
0x2E פונדרויסנדיק PTP Timestamp פינגערפּרינט טעות 0x0 RO
0x2F פונדרויסנדיק Rx טעות סטאַטוס 0x0 RO
0x30 - 0x47 רעזערווירט.
0x48 eCPRI פּאַקיץ טעות RO
0x49 eCPRI TX SOP גראף RO
0x4A eCPRI TX EOP גראף RO
0 קס 4 ב eCPRI RX SOP גראף RO
0 קס 4 ק eCPRI RX EOP גראף RO
0x4D eCPRI פּאַקיץ טעות גראף RO

פֿאַרבונדענע אינפֿאָרמאַציע

  • קאָנטראָל, סטאַטוס, און סטאַטיסטיק רעגיסטרירן דיסקריפּשאַנז
    פאַרשרייַבן אינפֿאָרמאַציע פֿאַר די 25G Ethernet Stratix 10 FPGA IP
  • רעקאָנפיגוראַטיאָן און סטאַטוס רעגיסטרירן
    דיסקריפּשאַנז רעגיסטרירן אינפֿאָרמאַציע פֿאַר די E-טייל האַרד IP פֿאַר עטהערנעט
  • רעדזשיסטערס
    פאַרשרייַבן אינפֿאָרמאַציע פֿאַר די eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Design Example User Guide Archives

פֿאַר די לעצטע און פריערדיקע ווערסיעס פון דעם באַניצער גייד, אָפּשיקן צו די eCPRI Intel FPGA IP Design Exampדער באַניצער גייד HTML ווערסיע. אויסקלייַבן די ווערסיע און גיט אראפקאפיע. אויב אַן IP אָדער ווייכווארג ווערסיע איז נישט ליסטעד, דער באַניצער פירער פֿאַר די פריערדיקע IP אָדער ווייכווארג ווערסיע אַפּלייז.

דאָקומענט רעוויזיע געשיכטע פֿאַר eCPRI Intel FPGA IP Design Exampדער באַניצער גייד

דאָקומענט ווערסיע Intel Quartus
הויפּט ווערסיע
IP ווערסיע ענדערונגען
2023.05.19 23.1 2.0.3 • דערהייַנטיקט די סימולאַטינג די פּלאַן עקסampדי טעסטבענטש אָפּטיילונג אין די קוויק אָנהייב גייד קאַפּיטל.
• דערהייַנטיקט די פּראָדוקט משפּחה נאָמען צו "ינטעל אַגילעקס 7".
2022.11.15 22.3 2.0.1 דערהייַנטיקט ינסטראַקשאַנז פֿאַר VCS סימיאַלייטער אין אָפּטיילונג: סימולאַטינג די פּלאַן עקסample Testbench.
2022.07.01 22.1 1.4.1 • צוגעגעבן די ייַזנוואַרג פּלאַן עקסampדי שטיצן פֿאַר Intel Agilex 7 F-טייל מיטל ווערייישאַנז.
• צוגעלייגט שטיצן פֿאַר די פאלגענדע אַנטוויקלונג קיץ:
- Intel Agilex 7 I-Series FPGA אנטוויקלונג קיט
- Intel Agilex 7 I-Series Transceiver-SoC אנטוויקלונג קיט
• אַדדעד שטיצן פֿאַר QuestaSim סימיאַלייטער.
• אַוועקגענומען שטיצן פֿאַר ModelSim* SE סימיאַלייטער.
2021.10.01 21.2 1.3.1 • צוגעלייגט שטיצן פֿאַר די Intel Agilex 7 F-טייל דעוויסעס.
• אַדדעד שטיצן פֿאַר מאַלטי-קאַנאַל דיזיינז.
• דערהייַנטיקט טיש: eCPRI Intel FPGA IP Hardware Design Exampדי רעגיסטרירן מאַפּע.
• אַוועקגענומען שטיצן פֿאַר NCSim סימיאַלייטער.
2021.02.26 20.4 1.3.0 • צוגעלייגט שטיצן פֿאַר די Intel Agilex 7 E-טייל דעוויסעס.
2021.01.08 20.3 1.2.0 • טשיינדזשד די דאָקומענט טיטל פֿון eCPRI Intel Stratix 10 FPGA IP Design Exampדי באַניצער גייד צו
eCPRI Intel FPGA IP Design Exampדער באַניצער גייד.
• צוגעגעבן שטיצן פֿאַר Intel Arria 10 דיזיינז.
• די eCPRI IP פּלאַן עקסample איז איצט בנימצא מיט ינטערווערקינג פֿונקציע (IWF) שטריך שטיצן.
• צוגעגעבן אַ טאָן צו דערקלערן אַז eCPRI פּלאַן עקסample מיט IWF שטריך איז בלויז בנימצא פֿאַר 9.8 Gbps CPRI
שורה ביסל קורס.
• צוגעלייגט טנאָים אין אָפּטיילונג דזשענערייטינג די פּלאַן ווען דזשענערייטינג די פּלאַן עקסampלא מיט
ינטערוואָרקינג פֿונקציע (IWF) סופּפּאָרט פּאַראַמעטער ענייבאַלד.
• צוגעלייגט זampדער רעזולטאַט פון די סימיאַליישאַן פּרובירן לויפן מיט IWF שטריך ענייבאַלד אין אָפּטיילונג סימולאַטינג די פּלאַן
Example Testbench.
• אַדדעד נייַ אָפּטיילונג ענייבאַלינג דינאַמיש רעקאָנפיגוראַטיאָן צו די עטהערנעט יפּ.
• דערהייַנטיקט ייַזנוואַרג פּרובירן סampדי רעזולטאַט אין אָפּטיילונג
טעסטינג די eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • צוגעגעבן שטיצן פֿאַר 10G דאַטן קורס.
• לויפן.ק file איז איצט בנימצא מיט פּלאַן עקסampדי דור צו אויסקלייַבן לופּבאַקק מאָדע.
• מאָדיפיצירט די סampדער רעזולטאַט פֿאַר סימיאַליישאַן פּרובירן לויפן אין אָפּטיילונג סימולאַטינג די פּלאַן עקסample Testbench.
• אַדדעד אָפטקייַט ווערט פֿאַר פליסנדיק 10G דאַטן קורס פּלאַן אין אָפּטיילונג קאַמפּיילינג און קאַנפיגיערינג די
פּלאַן עקסampאין האַרדוואַרע.
• געמאכט ווייַטערדיק ענדערונגען אין אָפּטיילונג טעסטינג די eCPRI Intel FPGA IP Design Exampלאַ:
- אַדדעד קאַמאַנדז צו באַשטימען דאַטן קורס צווישן 10G און 25G
— צוגעגעבן זampדי רעזולטאַט פֿאַר די באַשטימען פון דאַטן קורס
- צוגעגעבן TEST_MODE וועריאַבאַל אינפֿאָרמאַציע צו סעלעקטירן לופּבאַקק אין ווערייישאַנז פון E-טייל מיטל.
• מאַדאַפייד עקפּרי ינטעל פפּגאַ יפּ ייַזנוואַרג פּלאַן עקסampליי הויך לעוועל בלאַק דיאַגראַמע צו אַרייַננעמען נייַ
בלאַקס.
• דערהייַנטיקט טיש: פּלאַן עקסampדי צובינד סיגנאַלז צו אַרייַננעמען נייַ סיגנאַל.
• דערהייַנטיקט פּלאַן עקסampדי רעגיסטרירן מאַפּע אָפּטיילונג.
• צוגעלייגט נייַ אַפּפּענדיקס אָפּטיילונג: דזשענערייטינג און דאַונלאָודינג די עקסעקוטאַבלע און פֿאַרבינדונג פֿאָרמאַט (.עלף) פּראָגראַממינג File .
2020.04.13 19.4 1.1.0 ערשט מעלדונג.

יי דזשענערייטינג און דאַונלאָודינג די עקסעקוטאַבלע און פֿאַרבינדונג פֿאָרמאַט (.עלף) פּראָגראַממינג File

דער אָפּטיילונג באשרייבט ווי צו דזשענערייט און אראפקאפיע די .עלף file צו די ברעט:

  1. טוישן די וועגווייַזער צוample_dir>/synthesis/quatus.
  2. אין די Intel Quartus Prime Pro Edition ווייכווארג, גיט עפֿן פּראָיעקט און עפענעןample_dir>/synthesis/quartus/epri_ed.qpf. איצט סעלעקטירן מכשירים ➤ Nios II ווייכווארג בויען מכשירים פֿאַר עקליפּסע.
    פיגורע 10. Nios II ווייכווארג בויען מכשירים פֿאַר עקליפּסעeCPRI Intel FPGA IP פּלאַן - פיגורע 10
  3. די וואָרקספּאַסע לאַונטשער פֿענצטער פּינטלעך אויס. אין די וואָרקספּאַסע ספּעציפיצירן די דרך וויample_dir>/synthesis/quatus צו קראָם דיין עקליפּסע פּרויעקט. די נייַע Nios II - Eclipse פֿענצטער איז ארויס.
    פיגורע 11. וואָרקספּאַסע לאַונטשער פֿענצטערeCPRI Intel FPGA IP פּלאַן - פיגורע 11
  4. אין די Nios II - Eclipse פֿענצטער, רעכט גיט אונטער Project Explorer קוויטל, און סעלעקטירן New ➤ Nios II Board Support Package. די נייַ פֿענצטער אויס.
    פיגורע 12. Project Explorer טאַבeCPRI Intel FPGA IP פּלאַן - פיגורע 12
  5. אין די Nios II באָרד שטיצן פּעקל פֿענצטער:
    • אין די פּראָיעקט נאָמען פּאַראַמעטער, ספּעציפיצירן דיין געוואלט פּרויעקט נאָמען.
    • אין די SOPC אינפֿאָרמאַציע File נאָמען פּאַראַמעטער, בלעטער צו די אָרט פוןample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. דריקט ענדיקן.
    פיגורע 13. ניאָס וו באָרד שטיצן פּעקל פֿענצטערeCPRI Intel FPGA IP פּלאַן - פיגורע 13
  6. די ניי באשאפן פּרויעקט איז ארויס אונטער Project Explorer קוויטל אין Nios II Eclipse פֿענצטער. רעכט גיט אונטער Project Explorer קוויטל, און סעלעקטירן Nios II ➤ Nios II Command Shell.
    פיגורע 14. Project Explorer- Nios II Command ShelleCPRI Intel FPGA IP פּלאַן - פיגורע 14
  7. אין די Nios II Command Shell, טיפּ די דריי פאלגענדע קאַמאַנדז: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –אַפּ-דיר אַפּ –bsp-dir bsp –עלף-נאָמען\ nios_system.elf –src-dir ../../../ed_fw מאַכן –directory=app
  8. דער .עלף file איז דזשענערייטאַד אין די פאלגענדע אָרט:ample_dir>/ synthesis/ip_components/software/ /אַפּ.
  9. טיפּ די פאלגענדע באַפֿעל אין די Nios II Command Shell צו אָפּלאָדירן די .עלף צו די ברעט:
    • פֿאַר Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • פֿאַר Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

ינטעל לאָגאָeCPRI Intel FPGA IP Design - ייקאַן אָנליין ווערסיע
eCPRI Intel FPGA IP Design - בילדל 1 שיקן באַמערקונגען
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP דיזיין עקסampדער באַניצער גייד

דאָקומענטן / רעסאָורסעס

Intel eCPRI Intel FPGA IP Design [pdfבאַניצער גייד
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

רעפערענצן

לאָזן אַ באַמערקונג

דיין בליצפּאָסט אַדרעס וועט נישט זיין ארויס. פארלאנגט פעלדער זענען אנגעצייכנט *