An t-suaicheantas airson InteleCPRI Intel® FPGA IP Dealbhadh
Example Stiùireadh Cleachdaiche
Air ùrachadh airson Intel®
Quartus®
Prìomh Design Suite: 23.1
Tionndadh IP: 2.0.3

Stiùireadh tòiseachaidh luath

Tha an Eadar-aghaidh Rèidio Poblach Coitcheann leasaichte (eCPRI) Intel® FPGA IP cridhe a’ buileachadh an dreach sònrachadh eCPRI dreach 2.0. Tha an eCPRI Intel FPGA IP a’ toirt seachad being deuchainn atharrais agus dealbhadh bathar-cruaidh example a bheir taic do cho-chruinneachadh agus deuchainn bathar-cruaidh. Nuair a ghineas tu an dealbhadh example, bidh an deasaiche paramadair gu fèin-obrachail a’ cruthachadh an files riatanach airson atharrais, cur ri chèile, agus deuchainn air an dealbhadh example ann am bathar-cruaidh.
Tha an dealbhadh bathar-cruaidh cruinnichte examptha e a' ruith air:

  • Kit Leasachaidh FPGA Intel Agilex ™ 7 I-Series
  • Kit Leasachaidh Intel Agilex 7 I-Series Transceiver-SoC
  • Kit Leasachaidh Intel Agilex 7 F-Series Transceiver-SoC
  • Kit Leasachaidh Ionracas Comharran Transceiver Intel Stratix® 10 GX airson an dealbhadh H-tile examples
  • Kit Leasachaidh Ionracas Comharran Transceiver Intel Stratix 10 TX airson dealbhadh E-tile examples
  • Kit Leasachaidh Ionracas Comharran Transceiver Intel Arria® 10 GX

Tha Intel a’ toirt seachad dreach cruinneachaidh a-mhàinample pròiseact as urrainn dhut a chleachdadh gus tuairmse a dhèanamh gu sgiobalta air prìomh raon IP agus àm.
Tha am being deuchainn agus dealbhadh example a’ toirt taic do ìrean dàta 25G agus 10G airson atharrachaidhean inneal Intel Stratix 10 H-tile no E-tile agus Intel Agilex 7 E-tile no leac-F den eCPRI IP.

Thoir an aire: Tha an dealbhadh eCPRI IP example le gnìomh eadar-obrachaidh (IWF) ri fhaighinn a-mhàin airson ìre bit loidhne 9.8 Gbps CPRI anns an fhoillseachadh gnàthach.
Thoir an aire: Tha an dealbhadh eCPRI IP example chan eil e a’ toirt taic do ath-dhealbhadh fiùghantach airson ìre dàta 10G ann an dealbhadh Intel Arria 10.

Dealbhadh bunaiteach eCPRI Intel FPGA IP example a’ toirt taic do na feartan a leanas:

  • Modh lùb sreathach TX gu RX a-staigh
  • Gineadair trafaic agus inneal sgrùdaidh
  • Comasan sgrùdaidh pacaid bunaiteach
  • Comas Console System a chleachdadh gus an dealbhadh a ruith agus an dealbhadh ath-shuidheachadh airson adhbhar ath-dheuchainn

Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh ​​​​na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.

ISO 9001: 2015 clàraichte

Figear 1. Ceumannan Leasachaidh airson an Dealbhadh ExampleDealbhadh IP eCPRI Intel FPGA - Figear 1

Fiosrachadh Co-cheangailte

  • eCPRI Intel FPGA Stiùireadh Cleachdaiche IP
  • eCPRI Intel FPGA Notaichean fuasglaidh IP

1.1. Bathar-cruaidh is bathar-bog riatanasan
Gus deuchainn a dhèanamh air an exampLe dealbhadh, cleachd am bathar-cruaidh is bathar-bog a leanas:

  • Tionndadh bathar-bog Intel Quartus® Prime Pro Edition 23.1
  • Console siostam
  • Simulators le taic:
    — Siemens* EDA QuestaSim*
    — Synopsys* VCS*
    — Synopsys VCS MX
    — Aldec * Riviera-PRO*
    — Cadence* Xcelium*
  • Kit Leasachaidh:
    - Kit Leasachaidh FPGA Intel Agilex 7 I-Series
    - Kit Leasachaidh Intel Agilex 7 I-Series Transceiver-SoC
    - Intel Agilex 7 F-Series Transceiver-SoC Leasachaidh Kit
    - Kit Leasachaidh Ionracas Comharran Transceiver Intel Stratix 10 GX airson dealbhadh atharrachadh inneal H-tile example
    - Leasachadh Ionracas Comharran Transceiver Intel Stratix 10 TX airson dealbhadh atharrachadh inneal E-tile example
    - Kit Leasachaidh Ionracas Comharran Transceiver Intel Arria 10 GX

Fiosrachadh Co-cheangailte

  • Intel Agilex 7 I-Sreath FPGA Kit Leasachaidh Stiùireadh Cleachdaiche
  • Intel Agilex 7 I-Series Transceiver-SoC Kit Leasachaidh Stiùireadh Cleachdaiche
  • Leabhar-iùil cleachdaiche Kit Leasachaidh Intel Agilex 7 F-Series Transceiver-SoC
  • Leabhar-iùil cleachdaiche Kit Leasachaidh Ionracas Comharran Intel Stratix 10 GX Transceiver
  • Leabhar-iùil luchd-cleachdaidh Kit Leasachaidh Ionracas Comharran Intel Stratix 10 TX Transceiver
  • Intel Arria 10 GX Transceiver Kit Leasachaidh Ionracas Comharran Stiùireadh Cleachdaiche

1.2. A 'cruthachadh dealbhadh
Ro-ghoireasan riatanach: Cho luath ‘s a gheibh thu an eCPRI web-core IP, sàbhail am faidhle web- prìomh stàlaichear don sgìre ionadail. Ruith an stàlaichear le Windows / Linux. Nuair a thèid a bhrosnachadh, stàlaich am faidhle webaig cridhe an aon àite ri pasgan Intel Quartus Prime.
Tha an eCPRI Intel FPGA IP a-nis a’ nochdadh anns a ’chatalog IP.
Mura h-eil pròiseact Intel Quartus Prime Pro Edition agad mu thràth airson do chridhe eCPRI Intel FPGA IP fhilleadh a-steach, feumaidh tu fear a chruthachadh.

  1. Ann am bathar-bog Intel Quartus Prime Pro Edition, cliog File ➤ Draoidh Pròiseact Ùr gus pròiseact ùr Intel Quartus Prime a chruthachadh, no cliog File ➤ Pròiseact Fosgailte gus pròiseact Intel Quartus Prime a th’ ann mar-thà fhosgladh. Bidh an draoidh gad bhrosnachadh gus inneal a shònrachadh.
  2. Sònraich an teaghlach inneal agus inneal a choinnicheas ri riatanasan ìre astar.
  3. Cliog Crìochnaich.
  4. Anns a’ Chatalog IP, lorg agus cliog dùbailte eCPRI Intel FPGA IP. Nochdaidh an uinneag New IP Variant.

Lean na ceumannan seo gus dealbhadh bathar-cruaidh eCPRI IP a ghineadh example agus testbench:

  1. Anns a’ Chatalog IP, lorg agus cliog dùbailte eCPRI Intel FPGA IP. Nochdaidh an uinneag New IP Variant.
  2. Cliog air OK. Nochdaidh deasaiche paramadair.
    Figear 2. Example Design Tab ann an Deasaiche Parameter IP eCPRI Intel FPGADealbhadh IP eCPRI Intel FPGA - Figear 2
  3. Sònraich ainm àrd-ìre airson an atharrachadh IP àbhaisteach agad. Bidh an deasaiche paramadair a’ sàbhaladh na roghainnean atharrachaidh IP ann an a file ainmeachadh .ip.
  4. Cliog air OK. Nochdaidh deasaiche paramadair.
  5. Air an taba Coitcheann, sònraich na crìochan airson an eadar-dhealachadh bunaiteach IP agad.
    Thoir an aire: • Feumaidh tu paramadair Streaming a thionndadh air ann an deasaiche paramadair eCPRI IP nuair a ghineas tu an dealbhadh example le paramadair taic Gnìomh Eadar-obrachaidh (IWF) air a chomasachadh,
    • Feumaidh tu an ìre CPRI Line Bit Rate (Gbit/s) a shuidheachadh do chàch nuair a bhios tu a’ cruthachadh an dealbhadh example paramadair taic Gnìomh Eadar-obrachaidh (IWF) air a chomasachadh.
  6. Air an Example Design tab, tagh an roghainn atharrais gus am being deuchainn a ghineadh, tagh an roghainn synthesis gus am bathar-cruaidh a ghineadh example dealbhadh, agus tagh roghainn synthesis agus atharrais gus an dà chuid an testbench agus an dealbhadh bathar-cruaidh example.
  7. Airson cànan airson atharrais àrd-ìre file, tagh Verilog no VHDL.
    Thoir an aire: Chan eil an roghainn seo ri fhaighinn ach nuair a thaghas tu roghainn Simulation airson an t-seann neach agadampdealbhadh.
  8. Airson cànan airson àrd-ìre synthesis file, tagh Verilog no VHDL.
    Thoir an aire: Chan eil an roghainn seo ri fhaighinn ach nuair a thaghas tu roghainn Synthesis airson an t-seann neach agadampdealbhadh.
  9. Airson Àireamh nan Sianalan, faodaidh tu an àireamh de shianalan (1 gu 4) a tha san amharc airson do dhealbhadh a chuir a-steach. Is e an luach bunaiteach 1.
  10. Cliog air Generate Example Design. Tha an Tagh Example Design Directory nochdaidh uinneag.
  11. Ma tha thu airson an dealbhadh atharrachadh example slighe eòlaire no ainm bho na roghainnean bunaiteach a tha air an taisbeanadh (ecpri_0_testbench), thoir sùil air an t-slighe ùr agus taip an dealbhadh ùr example ainm an eòlaire.
  12. Cliog air OK.

Fiosrachadh Co-cheangailte
eCPRI Intel FPGA Stiùireadh Cleachdaiche IP
1.3. Structar eòlaire
Tha dealbhadh bunaiteach eCPRI IP example file tha na leanas air an cruthachadh ann an clàran files airson an dealbhadh example.

Figear 3. Structar eòlaire an Generated Example DealbhadhDealbhadh IP eCPRI Intel FPGA - Figear 3

Thoir an aire:

  1. An làthair a-mhàin ann an dealbhadh Intel Arria 10 IP example caochladh.
  2. An làthair a-mhàin ann an dealbhadh IP Intel Stratix 10 (H-tile no E-tile) example caochladh.
  3. An làthair a-mhàin ann an dealbhadh Intel Agilex E-tile IP example caochladh.

Clàr 1. eCPRI Intel FPGA IP Core Testbench File Tuairisgeulan

File Ainmean  Tuairisgeul
Prìomh Testbench agus Simulation Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv Balla deuchainn àrd-ìre file. Bidh an testbench a’ toirt a’ phasgan DUT sa bhad agus a’ ruith gnìomhan Verilog HDL gus pacaidean a ghineadh agus gabhail riutha.
<design_example_dir>/simulation/testbench/ecpri_ed.sv Còmhdach DUT a chuireas DUT agus co-phàirtean testbench eile sa bhad.
<design_example_dir>/simulation/ed_fw/flow.c Stòr còd C file.
Sgriobtaichean testbench
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do An sgriobt Siemens EDA QuestaSim gus am being deuchainn a ruith.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh An sgriobt Synopsys VCS gus am being deuchainn a ruith.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Tha an sgriobt Synopsys VCS MX (co-cheangailte Verilog HDL agus
SystemVerilog le VHDL) gus am being deuchainn a ruith.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl An sgriobt Aldec * Riviera-PRO gus am being deuchainn a ruith.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh An sgriobt Cadence * Xcelium gus am being deuchainn a ruith.

Clàr 2. eCPRI Intel FPGA IP Core Hardware Design Example File Tuairisgeulan

File Ainmean Tuairisgeulan
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Pròiseact Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Suidheachadh pròiseact Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys cuingeachaidhean dealbhaidh files. Faodaidh tu iad sin a chopaigeadh agus atharrachadh files airson an dealbhadh Intel Stratix 10 agad fhèin.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Dealbhadh àrd-ìre Verilog HDL example file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv Còmhdach DUT a chuireas DUT agus co-phàirtean testbench eile sa bhad.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Prìomh file airson faighinn gu System Console (Ri fhaighinn ann an dealbhadh Intel Stratix 10 H-tile agus E-tile).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Prìomh file airson faighinn gu System Console (Ri fhaighinn ann an dealbhadh Intel Arria 10).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Prìomh file airson faighinn gu System Console (Ri fhaighinn ann an dealbhadh Intel Agilex 7).

1.4. A’ dèanamh atharrais air Design Example Testbench
Figear 4. Modh-obrachDealbhadh IP eCPRI Intel FPGA - Figear 4

Lean na ceumannan seo gus atharrais air a’ bheing deuchainn:

  1. Aig an àithne gu sgiobalta, atharraich gu eòlaire samhlachaidh testbenchample_dir>/samhlachadh/setup_scripts.
  2. Airson atharrachaidhean inneal Intel Agilex F-tile, lean na ceumannan seo:
    a. Seòl gu naample_dir> / simulation / quartus eòlaire agus ruith an dà òrdugh seo gu h-ìosal: quartus_ipgenerate -run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Air an làimh eile, faodaidh tu am pròiseact ecpri_ed.qpf fhosgladh ann an Intel Quartus Prime Pro Edition agus an cruinneachadh a dhèanamh gus an tèid Support Logic Generation s.tage.
    b. Seòl gu naample_dir>/samhlachadh/setup_scripts eòlaire.
    c. Ruith an àithne a leanas: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. Ruith an sgriobt atharrais airson an simuladair le taic de do roghainn. Bidh an sgriobt a’ cur ri chèile agus a’ ruith a’ bheing deuchainn san t-simuladair. Thoir sùil air a’ chlàr Steps to Simulate the Testbench.
    Thoir an aire: Chan eil an taic cànain VHDL airson atharrais ri fhaighinn ach le simuladairean QuestaSim agus VCS MX. Tha taic cànain Verilog airson atharrais ri fhaighinn airson a h-uile simuladair a tha air an liostadh ann an Clàr: Ceumannan gus an Testbench a shamhlachadh.
  4. Dèan mion-sgrùdadh air na toraidhean. Bidh am being deuchainn soirbheachail a’ cur agus a’ faighinn phasganan, agus a’ taisbeanadh “PASSED”.

Clàr 3. Ceumannan gus Simulate an Testbench

Simulator Stiùiridhean
QuestaSim Anns an loidhne-àithne, dèan seòrsa vsim -do run_vsim.do Mas fheàrr leat atharrais gun a bhith a’ toirt suas an QuestaSim GUI, dèan seòrsa vsim -c -do run_vsim.do
VCS • Anns an loidhne-àithne, seòrsa sh run_vcs.sh
• Seòl gu naample_dir>/simulation/setup_scripts/ synopsys/vcs agus ruith an àithne a leanas: sh run_vcs.sh
VCS MX Anns an loidhne-àithne, dèan seòrsa sh run_vcsmx.sh
Riviera-PRO Anns an loidhne-àithne, dèan seòrsa vsim -c -do run_rivierapro.tcl
Thoir an aire: Taic a-mhàin ann an atharrachaidhean dealbhaidh Intel Stratix 10 H-leac.
Xcelium(1) Anns an loidhne-àithne, dèan seòrsa sh run_xcelium.sh
  1. Chan eil an simuladair seo a’ faighinn taic airson dealbhadh eCPRI Intel FPGA IP example gineadh le feart IWF air a chomasachadh.

Sample Toradh: Tha na leanas sample toradh a’ nochdadh ruith deuchainn atharrais soirbheachail de dhealbhadh eCPRI IP example às aonais feart IWF air a chomasachadh le Àireamh nan Seanalan = 4:

# A’ feitheamh ri co-thaobhadh RX
# RX deasc glaiste
Co-thaobhadh sreath # RX glaiste
# A’ feitheamh ri locht ceangail soilleir
# Sgàineadh ceangail soilleir
# MAC Source Seòladh 0_0 Seanal 0: 33445566
# MAC Source Seòladh 0_1 Seanal 0: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 0: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 0: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 0: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 0: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 0: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 0: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 0: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 0: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 0: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 0: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 0: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 0: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 0: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 0: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 0: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 0: 0000ddee
# Sianal Smachd Coitcheann eCPRI 0: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 0: 00000241
# dreach eCPRI Sianal 0: 2
# MAC Source Seòladh 0_0 Seanal 1: 33445566
# MAC Source Seòladh 0_1 Seanal 1: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 1: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 1: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 1: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 1: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 1: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 1: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 1: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 1: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 1: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 1: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 1: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 1: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 1: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 1: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 1: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 1: 0000ddee
# Sianal Smachd Coitcheann eCPRI 1: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 1: 00000241
# dreach eCPRI Sianal 1: 2
# MAC Source Seòladh 0_0 Seanal 2: 33445566
# MAC Source Seòladh 0_1 Seanal 2: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 2: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 2: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 2: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 2: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 2: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 2: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 2: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 2: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 2: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 2: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 2: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 2: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 2: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 2: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 2: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 2: 0000ddee
# Sianal Smachd Coitcheann eCPRI 2: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 2: 00000241
# dreach eCPRI Sianal 2: 2
# MAC Source Seòladh 0_0 Seanal 3: 33445566
# MAC Source Seòladh 0_1 Seanal 3: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 3: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 3: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 3: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 3: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 3: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 3: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 3: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 3: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 3: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 3: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 3: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 3: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 3: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 3: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 3: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 3: 0000ddee
# Sianal Smachd Coitcheann eCPRI 3: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 3: 00000241
# dreach eCPRI Sianal 3: 2
#__________________________________________________________
# INFO: A-mach à inbhe ath-shuidheachadh
#__________________________________________________________
#
#
# Sianal 0 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 0 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 0 eCPRI RX SOPn a’ cunntadh: 0
# Channel 0 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 0 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 0 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 0 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 0 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 0 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 0 Taobh a-muigh RX EOPn cunntas: 0
# Sianal 1 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 1 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 1 eCPRI RX SOPn a’ cunntadh: 0
# Channel 1 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 1 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 1 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 1 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 1 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 1 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 1 Taobh a-muigh RX EOPn cunntas: 0
# Sianal 2 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 2 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 2 eCPRI RX SOPn a’ cunntadh: 0
# Channel 2 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 2 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 2 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 2 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 2 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 2 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 2 Taobh a-muigh RX EOPn cunntas: 0
# Sianal 3 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 3 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 3 eCPRI RX SOPn a’ cunntadh: 0
# Channel 3 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 3 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 3 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 3 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 3 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 3 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 3 Taobh a-muigh RX EOPn cunntas: 0
#__________________________________________________________
# INFO: Tòisich a ’sgaoileadh phasganan
#__________________________________________________________
#
#
# INFO: A’ feitheamh ri gluasad trafaic Channel 0 eCPRI TX ri chrìochnachadh
# INFO: Channel 0 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 0 eCPRI External TX PTP gu
coileanta
# INFO: Channel 0 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 0 eCPRI External TX Misc gu
coileanta
# INFO: Channel 0 eCPRI External TX Misc gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 1 eCPRI TX ri chrìochnachadh
# INFO: Channel 1 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 1 eCPRI External TX PTP gu
coileanta
# INFO: Channel 1 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 1 eCPRI External TX Misc gu
coileanta
# INFO: Channel 1 eCPRI External TX Misc gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 2 eCPRI TX ri chrìochnachadh
# INFO: Channel 2 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 2 eCPRI External TX PTP gu
coileanta
# INFO: Channel 2 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 2 eCPRI External TX Misc gu
coileanta
# INFO: Channel 2 eCPRI External TX Misc gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 3 eCPRI TX ri chrìochnachadh
# INFO: Channel 3 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 3 eCPRI External TX PTP gu
coileanta
# INFO: Channel 3 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 3 eCPRI External TX Misc gu
coileanta
# INFO: Channel 3 eCPRI External TX Misc gluasad trafaic crìochnaichte
#__________________________________________________________
# INFO: Stad le bhith a’ sgaoileadh phasganan
#__________________________________________________________
#
#
#__________________________________________________________
# INFO: A’ sgrùdadh staitistig pacaidean
#__________________________________________________________
#
#
# Sianal 0 eCPRI SOPn air an tar-chuir: 300
# Sianal 0 eCPRI EOPs air an tar-chuir: 300
Fhuaireadh # Channel 0 eCPRI SOPn: 300
Fhuaireadh # Channel 0 eCPRI EOPs: 300
# Channel 0 eCPRI Mearachd air aithris: 0
# Sianal 0 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 0 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 0 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 0 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 0 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 0 EOPn taobh a-muigh air fhaighinn: 132
# Channel 0 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 0 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 0 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 0 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 0 Mearachd taobh a-muigh air aithris: 0
# Sianal 0 External Timestamp Mearachd lorgan-meòir air aithris: 0
# Sianal 1 eCPRI SOPn air an tar-chuir: 300
# Sianal 1 eCPRI EOPs air an tar-chuir: 300
Fhuaireadh # Channel 1 eCPRI SOPn: 300
Fhuaireadh # Channel 1 eCPRI EOPs: 300
# Channel 1 eCPRI Mearachd air aithris: 0
# Sianal 1 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 1 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 1 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 1 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 1 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 1 EOPn taobh a-muigh air fhaighinn: 132
# Channel 1 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 1 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 1 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 1 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 1 Mearachd taobh a-muigh air aithris: 0
# Sianal 1 External Timestamp Mearachd lorgan-meòir air aithris: 0
# Sianal 2 eCPRI SOPn air an tar-chuir: 300
# Sianal 2 eCPRI EOPs air an tar-chuir: 300
Fhuaireadh # Channel 2 eCPRI SOPn: 300
Fhuaireadh # Channel 2 eCPRI EOPs: 300
# Channel 2 eCPRI Mearachd air aithris: 0
# Sianal 2 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 2 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 2 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 2 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 2 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 2 EOPn taobh a-muigh air fhaighinn: 132
# Channel 2 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 2 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 2 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 2 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 2 Mearachd taobh a-muigh air aithris: 0
# Sianal 2 External Timestamp Mearachd lorgan-meòir air aithris: 0
# Sianal 3 eCPRI SOPn air an tar-chuir: 300
# Sianal 3 eCPRI EOPs air an tar-chuir: 300
Fhuaireadh # Channel 3 eCPRI SOPn: 300
Fhuaireadh # Channel 3 eCPRI EOPs: 300
# Channel 3 eCPRI Mearachd air aithris: 0
# Sianal 3 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 3 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 3 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 3 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 3 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 3 EOPn taobh a-muigh air fhaighinn: 132
# Channel 3 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 3 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 3 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 3 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 3 Mearachd taobh a-muigh air aithris: 0
# Sianal 3 External Timestamp Mearachd lorgan-meòir air aithris: 0
#__________________________________________________________
# FIOSRACHADH: Deuchainn PASSED
#
#__________________________________________________________

Sample Toradh: Tha na leanas sample toradh a’ nochdadh ruith deuchainn atharrais soirbheachail de dhealbhadh eCPRI IP example feart IWF air a chomasachadh le Àireamh nan Seanalan = 4:

# Dèan comas air CPRI TX
# Sianal CPRI 0 L1_CONFIG : 00000001
# Sianal CPRI 0 CPRI_CORE_CM_CONFIG : 00001ed4
# Sianal CPRI 1 L1_CONFIG : 00000001
# Sianal CPRI 1 CPRI_CORE_CM_CONFIG : 00001ed4
# Sianal CPRI 2 L1_CONFIG : 00000001
# Sianal CPRI 2 CPRI_CORE_CM_CONFIG : 00001ed4
# Sianal CPRI 3 L1_CONFIG : 00000001
# Sianal CPRI 3 CPRI_CORE_CM_CONFIG : 00001ed4
# A’ feitheamh ri co-thaobhadh RX
# RX deasc glaiste
Co-thaobhadh sreath # RX glaiste
# A’ feitheamh ri locht ceangail soilleir
# Sgàineadh ceangail soilleir
# MAC Source Seòladh 0_0 Seanal 0: 33445566
# MAC Source Seòladh 0_1 Seanal 0: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 0: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 0: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 0: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 0: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 0: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 0: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 0: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 0: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 0: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 0: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 0: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 0: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 0: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 0: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 0: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 0: 0000ddee
# Sianal Smachd Coitcheann eCPRI 0: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 0: 00000241
# dreach eCPRI Sianal 0: 2
# MAC Source Seòladh 0_0 Seanal 1: 33445566
# MAC Source Seòladh 0_1 Seanal 1: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 1: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 1: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 1: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 1: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 1: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 1: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 1: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 1: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 1: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 1: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 1: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 1: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 1: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 1: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 1: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 1: 0000ddee
# Sianal Smachd Coitcheann eCPRI 1: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 1: 00000241
# dreach eCPRI Sianal 1: 2
# MAC Source Seòladh 0_0 Seanal 2: 33445566
# MAC Source Seòladh 0_1 Seanal 2: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 2: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 2: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 2: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 2: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 2: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 2: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 2: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 2: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 2: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 2: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 2: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 2: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 2: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 2: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 2: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 2: 0000ddee
# Sianal Smachd Coitcheann eCPRI 2: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 2: 00000241
# dreach eCPRI Sianal 2: 2
# MAC Source Seòladh 0_0 Seanal 3: 33445566
# MAC Source Seòladh 0_1 Seanal 3: 00007788
# MAC Seòladh Ceann-uidhe 0_0 Seanal 3: 33445566
# MAC Seòladh Ceann-uidhe 0_1 Seanal 3: 00007788
# MAC Seòladh Ceann-uidhe 1_0 Seanal 3: 11223344
# MAC Seòladh Ceann-uidhe 1_1 Seanal 3: 00005566
# MAC Seòladh Ceann-uidhe 2_0 Seanal 3: 22334455
# MAC Seòladh Ceann-uidhe 2_1 Seanal 3: 00006677
# MAC Seòladh Ceann-uidhe 3_0 Seanal 3: 44556677
# MAC Seòladh Ceann-uidhe 3_1 Seanal 3: 00008899
# MAC Seòladh Ceann-uidhe 4_0 Seanal 3: 66778899
# MAC Seòladh Ceann-uidhe 4_1 Sianal 3: 0000aabb
# MAC Ceann-uidhe Seòladh 5_0 Sianal 3: 778899aa
# MAC Seòladh Ceann-uidhe 5_1 Sianal 3: 0000bbcc
# MAC Seòladh Ceann-uidhe 6_0 Sianal 3: 8899aabb
# MAC Seòladh Ceann-uidhe 6_1 Sianal 3: 0000ccdd
# MAC Seòladh Ceann-uidhe 7_0 Seanal 3: 99aabbcc
# MAC Seòladh Ceann-uidhe 7_1 Sianal 3: 0000ddee
# Sianal Smachd Coitcheann eCPRI 3: 00000041
# Dèan comas air stad a chuir air Sianal Smachd Coitcheann eCPRI 3: 00000241
# dreach eCPRI Sianal 3: 2
# A’ feitheamh ri CPRI gus staid ceangail HSYNC a choileanadh
# CPRI Channel 0 HSYNC stàite air a choileanadh
# CPRI Channel 1 HSYNC stàite air a choileanadh
# CPRI Channel 2 HSYNC stàite air a choileanadh
# CPRI Channel 3 HSYNC stàite air a choileanadh
# 11100250000 Sgrìobh 1 gu nego_bitrate_complete
# 11100650000 bhòtadh PROT_VER Seanal 0
#__________________________________________________________
# 11100850000 Clàr bhòtaidh: a0000010
#__________________________________________________________
# 13105050000 bhòtadh PROT_VER Seanal 1
#__________________________________________________________
# 13105250000 Clàr bhòtaidh: a0800010
#__________________________________________________________
# 13105950000 bhòtadh PROT_VER Seanal 2
#__________________________________________________________
# 13106150000 Clàr bhòtaidh: a1000010
#__________________________________________________________
# 13106850000 bhòtadh PROT_VER Seanal 3
#__________________________________________________________
# 13107050000 Clàr bhòtaidh: a1800010
#__________________________________________________________
# 13107750000 Sgrìobh 1 gu nego_protol_complete
# 13108150000 A’ bhòtadh CM_STATUS.rx_fast_cm_ptr_valid Seanail 0
#__________________________________________________________
# 13108350000 Clàr bhòtaidh: a0000020
#__________________________________________________________
# 14272050000 A’ bhòtadh CM_STATUS.rx_fast_cm_ptr_valid Seanail 1
#__________________________________________________________
# 14272250000 Clàr bhòtaidh: a0800020
#__________________________________________________________
# 14272950000 A’ bhòtadh CM_STATUS.rx_fast_cm_ptr_valid Seanail 2
#__________________________________________________________
# 14273150000 Clàr bhòtaidh: a1000020
#__________________________________________________________
# 14273850000 A’ bhòtadh CM_STATUS.rx_fast_cm_ptr_valid Seanail 3
#__________________________________________________________
# 14274050000 Clàr bhòtaidh: a1800020
#__________________________________________________________
# 14274750000 Sgrìobh 1 gu nego_cm_complete
# 14275150000 Sgrìobh 1 gu nego_vss_complete
# A’ feitheamh ri CPRI Channel 0 a’ coileanadh HSYNC & sreath tòiseachaidh FSM STATE_F
# CPRI Channel 0 HSYNC & sreath tòiseachaidh FSM STATE_F air a choileanadh
# A’ feitheamh ri CPRI Channel 1 a’ coileanadh HSYNC & sreath tòiseachaidh FSM STATE_F
# CPRI Channel 1 HSYNC & sreath tòiseachaidh FSM STATE_F air a choileanadh
# A’ feitheamh ri CPRI Channel 2 a’ coileanadh HSYNC & sreath tòiseachaidh FSM STATE_F
# CPRI Channel 2 HSYNC & sreath tòiseachaidh FSM STATE_F air a choileanadh
# A’ feitheamh ri CPRI Channel 3 a’ coileanadh HSYNC & sreath tòiseachaidh FSM STATE_F
# CPRI Channel 3 HSYNC & sreath tòiseachaidh FSM STATE_F air a choileanadh
#__________________________________________________________
# INFO: A-mach à inbhe ath-shuidheachadh
#__________________________________________________________
#
#
# Sianal 0 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 0 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 0 eCPRI RX SOPn a’ cunntadh: 0
# Channel 0 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 0 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 0 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 0 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 0 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 0 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 0 Taobh a-muigh RX EOPn cunntas: 0
# Sianal 1 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 1 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 1 eCPRI RX SOPn a’ cunntadh: 0
# Channel 1 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 1 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 1 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 1 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 1 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 1 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 1 Taobh a-muigh RX EOPn cunntas: 0
# Sianal 2 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 2 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 2 eCPRI RX SOPn a’ cunntadh: 0
# Channel 2 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 2 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 2 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 2 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 2 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 2 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 2 Taobh a-muigh RX EOPn cunntas: 0
# Sianal 3 eCPRI TX SOPn a’ cunntadh: 0
# Sianal 3 eCPRI TX EOPn a’ cunntadh: 0
# Sianal 3 eCPRI RX SOPn a’ cunntadh: 0
# Channel 3 eCPRI RX EOPn a’ cunntadh: 0
# Sianal 3 taobh a-muigh PTP TX SOPn cunntadh: 0
# Sianal 3 taobh a-muigh PTP TX EOPn cunntadh: 0
# Sianal 3 Taobh a-muigh MISC TX SOPn cunntadh: 0
# Sianal 3 Taobh a-muigh MISC TX EOPn cunntadh: 0
# Sianal 3 Taobh a-muigh RX SOPn cunntas: 0
# Sianal 3 Taobh a-muigh RX EOPn cunntas: 0
#__________________________________________________________
# INFO: Tòisich a ’sgaoileadh phasganan
#__________________________________________________________
#
#
# INFO: A’ feitheamh ri gluasad trafaic Channel 0 eCPRI TX ri chrìochnachadh
# INFO: Channel 0 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 0 eCPRI External TX PTP gu
coileanta
# INFO: Channel 0 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 0 eCPRI External TX Misc gu
coileanta
# INFO: Channel 0 eCPRI External TX Misc gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 1 eCPRI TX ri chrìochnachadh
# INFO: Channel 1 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 1 eCPRI External TX PTP gu
coileanta
# INFO: Channel 1 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 1 eCPRI External TX Misc gu
coileanta
# INFO: Channel 1 eCPRI External TX Misc gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 2 eCPRI TX ri chrìochnachadh
# INFO: Channel 2 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 2 eCPRI External TX PTP gu
coileanta
# INFO: Channel 2 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 2 eCPRI External TX Misc gu
coileanta
# INFO: Channel 2 eCPRI External TX Misc gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 3 eCPRI TX ri chrìochnachadh
# INFO: Channel 3 eCPRI TX gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 3 eCPRI External TX PTP gu
coileanta
# INFO: Channel 3 eCPRI External TX PTP gluasad trafaic crìochnaichte
# INFO: A’ feitheamh ri gluasad trafaic Channel 3 eCPRI External TX Misc gu
coileanta
# INFO: Channel 3 eCPRI External TX Misc gluasad trafaic crìochnaichte
#__________________________________________________________
# INFO: Stad le bhith a’ sgaoileadh phasganan
#__________________________________________________________
#
#
#__________________________________________________________
# INFO: A’ sgrùdadh staitistig pacaidean
#__________________________________________________________
#
#
# Sianal 0 eCPRI SOPn air an tar-chuir: 50
# Sianal 0 eCPRI EOPs air an tar-chuir: 50
Fhuaireadh # Channel 0 eCPRI SOPn: 50
Fhuaireadh # Channel 0 eCPRI EOPs: 50
# Channel 0 eCPRI Mearachd air aithris: 0
# Sianal 0 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 0 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 0 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 0 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 0 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 0 EOPn taobh a-muigh air fhaighinn: 132
# Channel 0 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 0 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 0 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 0 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 0 Mearachd taobh a-muigh air aithris: 0
# Sianal 0 External Timestamp Mearachd lorgan-meòir air aithris: 0
# Sianal 1 eCPRI SOPn air an tar-chuir: 50
# Sianal 1 eCPRI EOPs air an tar-chuir: 50
Fhuaireadh # Channel 1 eCPRI SOPn: 50
Fhuaireadh # Channel 1 eCPRI EOPs: 50
# Channel 1 eCPRI Mearachd air aithris: 0
# Sianal 1 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 1 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 1 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 1 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 1 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 1 EOPn taobh a-muigh air fhaighinn: 132
# Channel 1 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 1 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 1 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 1 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 1 Mearachd taobh a-muigh air aithris: 0
# Sianal 1 External Timestamp Mearachd lorgan-meòir air aithris: 0
# Sianal 2 eCPRI SOPn air an tar-chuir: 50
# Sianal 2 eCPRI EOPs air an tar-chuir: 50
Fhuaireadh # Channel 2 eCPRI SOPn: 50
Fhuaireadh # Channel 2 eCPRI EOPs: 50
# Channel 2 eCPRI Mearachd air aithris: 0
# Sianal 2 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 2 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 2 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 2 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 2 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 2 EOPn taobh a-muigh air fhaighinn: 132
# Channel 2 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 2 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 2 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 2 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 2 Mearachd taobh a-muigh air aithris: 0
# Sianal 2 External Timestamp Mearachd lorgan-meòir air aithris: 0
# Sianal 3 eCPRI SOPn air an tar-chuir: 50
# Sianal 3 eCPRI EOPs air an tar-chuir: 50
Fhuaireadh # Channel 3 eCPRI SOPn: 50
Fhuaireadh # Channel 3 eCPRI EOPs: 50
# Channel 3 eCPRI Mearachd air aithris: 0
# Sianal 3 PTP SOPn taobh a-muigh air an tar-chuir: 4
# Sianal 3 PTP EOPan taobh a-muigh air an tar-chuir: 4
# Sianal 3 MISC SOPn taobh a-muigh air an tar-chuir: 128
# Sianal 3 MISC EOPan taobh a-muigh air an tar-chuir: 128
# Sianal 3 SOPn taobh a-muigh air fhaighinn: 132
# Sianal 3 EOPn taobh a-muigh air fhaighinn: 132
# Channel 3 PTP SOPn taobh a-muigh air fhaighinn: 4
# Channel 3 PTP EOPs taobh a-muigh air fhaighinn: 4
# Channel 3 MISC SOPn taobh a-muigh air fhaighinn: 128
# Channel 3 MISC EOPs taobh a-muigh air fhaighinn: 128
# Channel 3 Mearachd taobh a-muigh air aithris: 0
# Sianal 3 External Timestamp Mearachd lorgan-meòir air aithris: 0
#__________________________________________________________
# FIOSRACHADH: Deuchainn PASSED
#
#__________________________________________________________

1.4.1. A’ comasachadh ath-dhealbhadh dinamic don IP Ethernet
Gu gnàthach, tha an ath-dhealbhadh fiùghantach à comas ann an dealbhadh eCPRI IP example agus chan eil e a’ buntainn ach ri dealbhadh Intel Stratix 10 (E-tile agus H-tile) agus Intel Agilex 7 (E-tile) examples.

  1. Coimhead airson an loidhne a leanas anns an test_wrapper.sv bhon ghineadhample_dir>/samhlachadh/eòlaire testbench: paramadair ETHERNET_DR_EN = 0
  2. Atharraich an luach bho 0 gu 1: paramadair ETHERNET_DR_EN = 1
  3. Dèan ath-ruith air an atharrais a’ cleachdadh an aon example eòlaire dealbhaidh.

1.5. A’ cur ri chèile a’ phròiseact cruinneachaidh a-mhàin
Gus an cruinneachadh a-mhàin example pròiseact, lean na ceumannan seo:

  1. Dèan cinnteach gu bheil dealbhadh cruinneachaidh examptha ginealach iomlan.
  2. Ann am bathar-bog Intel Quartus Prime Pro Edition, fosgail am pròiseact Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Air a 'chlàr-taice Pròiseas, briog air Start Compilation.
  4. Às deidh an cur ri chèile gu soirbheachail, tha aithisgean airson ùine agus cleachdadh ghoireasan rim faighinn anns an t-seisean Intel Quartus Prime Pro Edition agad. Rach gu Giullachd ➤ Aithisg Co-chruinneachaidh gu view an aithisg mhionaideach air a’ chruinneachadh.
    Fiosrachadh Co-cheangailte
    Sruth dealbhaidh stèidhichte air bloc

1.6. A’ cur ri chèile agus a’ rèiteachadh an dealbhadh Example ann am Bathar-cruaidh
Gus dealbhadh bathar-cruaidh example agus rèitich e air an inneal Intel agad, lean na ceumannan seo:

  1. Dèan cinnteach gu bheil dealbhadh bathar-cruaidh examptha ginealach iomlan.
  2. Ann am bathar-bog Intel Quartus Prime Pro Edition, fosgail am pròiseact Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Air a 'chlàr-taice Pròiseas, briog air Start Compilation.
  4. Às deidh cruinneachadh soirbheachail, bidh .sof file ri fhaighinn ann anample_dir>/ synthesis/quartus/output_files eòlaire. Lean na ceumannan seo gus dealbhadh bathar-cruaidh example air inneal Intel FPGA:
    a. Ceangail Kit Leasachaidh ris a 'choimpiutair aoigheachd.
    b. Cuir air bhog an tagradh Smachd Cloc, a tha na phàirt den phasgan leasachaidh, agus suidhich na triceadan ùra airson an dealbhadh example. Gu h-ìosal tha an suidheachadh tricead anns an tagradh Smachd Cloc:
    • Ma tha thu ag amas air do dhealbhadh air Intel Stratix 10 GX SI Development Kit:
    - U5, OUT8- 100 MHz
    - U6, OUT3- 322.265625 MHz
    - U6, OUT4 agus OUT5- 307.2 MHz
    • Ma tha thu ag amas air do dhealbhadh air Intel Stratix 10 TX SI Development Kit:
    - U1, CLK4- 322.265625 MHz (Airson ìre dàta 25G)
    - U6- 156.25 MHz (Airson ìre dàta 10G)
    - U3, OUT3- 100 MHz
    - U3, OUT8- 153.6 MHz
    • Ma tha thu ag amas air do dhealbhadh air Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    - U37, CLK1A- 100 MHz
    - U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Ma tha thu ag amas air do dhealbhadh air Intel Arria 10 GX SI Development Kit:
    - U52, CLK0- 156.25 MHz
    - U52, CLK1- 250 MHz
    - U52, CLK3- 125 MHz
    - Y5- 307.2 MHz
    - Y6- 322.265625 MHz
    c. Air a’ chlàr Innealan, cliog air Prògramadair.
    d. Anns a 'Phrògramaiche, briog air Hardware Setup.
    e. Tagh inneal prògramadh.
    f. Tagh agus cuir ris an Kit Leasachaidh ris an urrainn do sheisean Intel Quartus Prime Pro Edition ceangal a dhèanamh.
    g. Dèan cinnteach gu bheil am modh air a shuidheachadh gu JTAG.
    h. Tagh an inneal agus briog air Add Device. Bidh am Prògramadair a’ taisbeanadh diagram bloca de na ceanglaichean eadar na h-innealan air do bhòrd.
    i. Luchdaich an .sof file chun inneal Intel FPGA agad fhèin.
    j. Luchdaich sìos an cruth Executable and Linking (.elf) file don Intel Stratix 10 no
    Inneal Intel Agilex 7 ma tha thu an dùil an ath-dhealbhadh fiùghantach (DR) a dhèanamh gus an ìre dàta atharrachadh eadar 25G agus 10G. Lean an stiùireadh bhon phrògram Gineadh agus Luchdaich sìos an cruth so-ghnìomhaichte agus ceangail (.elf). File air taobh-duilleig 38 gus an .elf a ghineadh file.
    k. San loidhne leis an .sof agad, thoir sùil air a’ bhogsa Prògram/Configure airson an .sof file.
    l. Cliog air Start.

Fiosrachadh Co-cheangailte

  • Dealbhadh stèidhichte air blocaichean
  • Stiùireadh cleachdaiche prògramadair Intel Quartus Prime
  • A’ mion-sgrùdadh agus a’ dì-bhugachadh dhealbhaidhean le Console System
  • Leabhar-iùil cleachdaiche Kit Leasachaidh Intel Agilex 7 F-Series Transceiver-SoC
  • Leabhar-iùil cleachdaiche Kit Leasachaidh Ionracas Comharran Intel Stratix 10 GX Transceiver
  • Leabhar-iùil luchd-cleachdaidh Kit Leasachaidh Ionracas Comharran Intel Stratix 10 TX Transceiver
  • Intel Arria 10 GX Transceiver Kit Leasachaidh Ionracas Comharran Stiùireadh Cleachdaiche

1.7. A’ dèanamh deuchainn air an eCPRI Intel FPGA IP Design Example
Às deidh dhut an dealbhadh bunaiteach eCPRI Intel FPGA IP exampLe agus a rèiteachadh air an inneal Intel FPGA agad, faodaidh tu an System Console a chleachdadh gus am bunait IP agus na prìomh chlàran bunaiteach IP PHY IP freumhaichte a phrògramadh.
Gus an System Console a thionndadh air agus deuchainn a dhèanamh air dealbhadh bathar-cruaidh example, lean na ceumannan seo:

  1. Às deidh dealbhadh bathar-cruaidh example air a rèiteachadh air an inneal Intel, ann am bathar-bog Intel Quartus Prime Pro Edition, air a’ chlàr Innealan, cliog Innealan Debugging System ➤ System Console.
  2. Ann am pana Tcl Console, atharraich eòlaire guample_dir>/ synthesis/quartus/hardware_test agus cuir a-steach an àithne a leanas gus ceangal fhosgladh ris an fhaidhle JTAG maighstir agus tòisich air an deuchainn:
    • tùs ecpri_agilex.tcl airson dealbhadh Intel Agilex 7
    • tùs ecpri_s10.tcl airson dealbhadh Intel Stratix 10
    • tùs ecpri_a10.tcl airson dealbhadh Intel Arria 10
  3. Airson na h-atharrachaidhean inneal E-tile Intel Stratix 10 no Intel Agilex 7 agad, feumaidh tu an dàrna cuid òrdugh loopback a-staigh no a-muigh a choileanadh aon uair às deidh dhut am prògram .sof a phrògramadh. file:
    a. Atharraich caochladair TEST_MODE san t-sruth.c file gus am modh loopback a thaghadh:
    TEST_MODE Gnìomh
    0 Tha loopback sreathach comasach airson atharrais a-mhàin
    1 Tha loopback sreathach a’ comasachadh airson bathar-cruaidh a-mhàin
    2 Sreath lùb air ais agus calibration
    3 Calibration a-mhàin

    Feumaidh tu bathar-bog NIOS II ath-chruinneachadh agus ath-nuadhachadh nuair a dh'atharraicheas tu am faidhle flow.c file.
    b. Ath-ghin an .elf file agus prògram air a’ bhòrd aon uair eile agus ath-chlàradh an .sof file.

  4. Dèan deuchainn air an obair dealbhaidh tro na h-òrdughan a tha a’ faighinn taic ann an sgriobt tòcan an t-siostaim. Tha sgriobt tòcan an t-siostaim a’ toirt seachad òrdughan feumail airson staitistig agus feartan a tha comasach san dealbhadh a leughadh.

Clàr 4. Òrdughan Sgriobt Console System

àithne Tuairisgeul
lùb_on A’ comasachadh lùb sreathach a-staigh TX gu RX. Cleachd airson innealan Intel Stratix 10 H-tile agus Intel Arria 10 a-mhàin.
lùb_dheth Cuir à comas lùb sreathach a-staigh TX gu RX. Cleachd airson innealan Intel Stratix 10 H-tile agus Intel Arria 10 a-mhàin.
ceangal _ init _ int _1pbk A ’comasachadh lùb sreathach a-staigh TX gu RX taobh a-staigh an transceiver agus a’ coileanadh an t-sruth calibration transceiver. Co-cheangailte ri dealbhadh Intel Stratix 10 E-tile agus Intel Agilex 7 E-tile a-mhàin.
ceangal _ init _ ext _1pbk A’ comasachadh lùban taobh a-muigh TX gu RX agus a’ coileanadh an t-sruth calibration transceiver. Co-cheangailte ri dealbhadh Intel Stratix 10 E-tile agus Intel Agilex 7 E-tile a-mhàin.
trafaig gen à comas A’ cuir dheth an gineadair trafaic agus an neach-dearbhaidh.
stats chkmac A’ taisbeanadh na staitistig airson an Ethernet MAC.
leugh_ deuchainn_ staitistig Seall na staitistig mearachd airson gineadair trafaic agus luchd-dearbhaidh.
ext _ leantainneach _ modh _en Ag ath-shuidheachadh an t-siostam dealbhaidh gu lèir, agus a’ toirt comas don ghineadair trafaic pacaidean trafaic leantainneach a ghineadh.
dr _ 25g _ gu _ lOg _etile Ag atharrachadh ìre dàta an Ethernet MAC bho 25G gu 10G. Cleachd airson innealan Intel Stratix 10 E-tile agus Intel Agilex 7 E-tile a-mhàin.
dr_25g_gu_10g_htile Ag atharrachadh ìre dàta an Ethernet MAC bho 25G gu 10G. Cleachd airson innealan H-leac a-mhàin
dr_10g_gu_25g_etile Ag atharrachadh ìre dàta an Ethernet MAC bho 10G gu 25G. Cleachd airson innealan Intel Stratix 10 E-tile agus Intel Agilex 7 E-tile a-mhàin.
dr _ 25g _ gu _ lOg _htile Ag atharrachadh ìre dàta an Ethernet MAC bho 10G gu 25G. Cleachd airson innealan H-tile a-mhàin.

Tha na leanas sample toradh a’ nochdadh ruith deuchainn soirbheachail:
Clò-bhualadh tòcan an t-siostaim (Àireamh de shianalan = 1)
Sianal 0 EXT PTP TX SOP Cunnt: 256
Sianal 0 EXT PTP TX EOP Cunnt: 256
Sianal 0 EXT MISC TX SOP Cunnt: 36328972
Sianal 0 EXT MISC TX EOP Cunnt: 36369511
Sianal 0 EXT RX SOP Cunnt: 36410364
Sianal 0 EXT RX EOP Cunnt: 36449971
Seanal 0 EXT Checker Mearachdan: 0
Channel 0 EXT Checker Mearachd a’ cunntadh: 0
Seanal 0 EXT PTP Mearachdan lorgan-meòir: 0
Channel 0 EXT PTP Mearachd lorgan-meòir a’ cunntadh: 0
Sianal 0 TX SOP Cunnt: 1337760
Sianal 0 TX EOP Cunnt: 1339229
Sianal 0 RX SOP Cunnt: 1340728
Sianal 0 RX EOP Cunnt: 1342555
Mearachdan dearbhaidh Seanal 0: 0
Àireamh mearachd neach-sgrùdaidh Channel 0: 0

============================================================================
============
STAITISTEAN MAC ETHERNET AIRSON Channel 0 (Rx)

============================================================================
============
Frèamaichean briste: 0
Frèamaichean air an gearradh: 0
Meud ceart le frèaman mearachd FCS: 0
Frèamaichean mearachd dàta ioma-chraoladh: 0
Dàta craolaidh Mearachd frèamaichean: 0
Data Unicast Mearachd Frames: 0
64 frèamaichean Byte: 3641342
65 - 127 Frèam Byte : 0
128 - 255 Frèam Byte : 37404809
256 - 511 Frèam Byte : 29128650
512 - 1023 Frèam Byte : 0
1024 - 1518 Frèam Byte : 0
1519 - MAX Byte Frames: 0
> MAX Byte Frames: 0
Dàta ioma-chraoladh ceart gu leòr Frèam: 70174801
Dàta craolaidh OK Frèam: 0
Frèamaichean dàta Unicast OK: 0
Frèamaichean smachd multicast: 0
Frèamaichean smachd craolaidh: 0
Frèamaichean smachd Unicast: 0
Stad frèamaichean smachd: 0
Octets pàighidh pàighidh ceart gu leòr: 11505935812
Frèam Octets ceart gu leòr: 12918701444
Rx Faid Frèam as àirde: 1518
Meud sam bith le FCS Err Frame: 0
Smachd multicast Frèam Mearachd: 0
Smachd craolaidh Mearachd Frèam: 0
Smachd Unicast Frames Mearachd: 0
Cuir stad air smachd Mearachd Frames: 0
Rx Frame a’ tòiseachadh: 70174801

Tha na leanas na sample toradh airson an ruith deuchainn 25G gu 10G DR:
Clò-bhualadh Console System (25G gu 10G DR E-leac)

Tòisich Ath-dhealbhadh Dynamic airson Ethernet 25G -> 10G
DR 25G soirbheachail -> 10G
Ruigsinneachd Clàr RX PHY: A’ sgrùdadh tricead cloc (KHz)
TXCLK: 16114 (KHZ)
RXCLK: 16113 (KHZ)
Sgrùdadh Inbhe RX PHY
Inbhe glasaidh tricead Rx 0x0000000f
Mac Clock ann an staid ceart gu leòr? 0x00000001
Mearachd frèam Rx? 0x00000000
Rx PHY Co-thaobhadh gu h-iomlan? 0x00000001
A’ bhòtadh RX PHY Channel 0
Tha RX PHY Channel 0 ag obair!

Clò-bhualadh Console System (25G gu 10G DR H-leac)
Tòisich Ath-dhealbhadh Dynamic airson Ethernet 25G -> 10G
DR 25G soirbheachail -> 10G
Ruigsinneachd Clàr RX PHY: A’ sgrùdadh tricead cloc (KHz)
TXCLK: 15625 (KHZ)
RXCLK: 15625 (KHZ)
Sgrùdadh Inbhe RX PHY
Inbhe glasaidh tricead Rx 0x00000001
Mac Clock ann an staid ceart gu leòr? 0x00000007
Mearachd frèam Rx? 0x00000000
Rx PHY Co-thaobhadh gu h-iomlan? 0x00000001
A’ bhòtadh RX PHY Channel 0
Tha RX PHY Channel 0 ag obair!

Clò-bhualadh Console System (10G gu 25G DR E-leac)
Tòisich Ath-dhealbhadh Dynamic airson Ethernet 10G -> 25G
DR 10G soirbheachail -> 25G
Ruigsinneachd Clàr RX PHY: A’ sgrùdadh tricead cloc (KHz)
TXCLK: 40283 (KHZ)
RXCLK: 40283 (KHZ)
Sgrùdadh Inbhe RX PHY
Inbhe glasaidh tricead Rx 0x0000000f
Mac Clock ann an staid ceart gu leòr? 0x00000001
Mearachd frèam Rx? 0x00000000
Rx PHY Co-thaobhadh gu h-iomlan? 0x00000001
A’ bhòtadh RX PHY Channel 0
Tha RX PHY Channel 0 ag obair!

Clò-bhualadh Console System (10G gu 25G DR H-leac)
Tòisich Ath-dhealbhadh Dynamic airson Ethernet 10G -> 25G
DR 10G soirbheachail -> 25G
Ruigsinneachd Clàr RX PHY: A’ sgrùdadh tricead cloc (KHz)
TXCLK: 39061 (KHZ)
RXCLK: 39063 (KHZ)
Sgrùdadh Inbhe RX PHY
Inbhe glasaidh tricead Rx 0x00000001
Mac Clock ann an staid ceart gu leòr? 0x00000007
Mearachd frèam Rx? 0x00000000
Rx PHY Co-thaobhadh gu h-iomlan? 0x00000001
A’ bhòtadh RX PHY Channel 0
Tha RX PHY Channel 0 ag obair!

Dealbhadh Example Tuairisgeul

Tha an dealbhadh example a’ nochdadh comas-gnìomh bunaiteach cridhe eCPRI IP. Faodaidh tu an dealbhadh a ghineadh bhon Example Dealbhadh tab ann an deasaiche paramadair eCPRI IP.

2.1. Feartan

  • Modh lùb sreathach TX agus RX a-staigh
  • Bidh e gu fèin-ghluasadach a’ gineadh pacaidean meud stèidhichte
  • Comasan sgrùdaidh pacaid bunaiteach
  • Comas Console System a chleachdadh gus an dealbhadh a dhearbhadh agus an dealbhadh ath-shuidheachadh airson adhbhar ath-dheuchainn

2.2. Dealbhadh bathar-cruaidh example
Figear 5. Diagram bloca airson dealbhadh Intel Agilex 7 F-tileDealbhadh IP eCPRI Intel FPGA - Figear 5

Intel Corporation. Còraichean uile glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh ​​​​na còrach atharrachaidhean a dhèanamh air toraidhean agus seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.

Figear 6. Diagram Bloc airson Dealbhaidhean E-tile Intel Agilex 7Dealbhadh IP eCPRI Intel FPGA - Figear 6Figear 7. Diagram Bloc airson Dealbhaidhean Intel Stratix 10Dealbhadh IP eCPRI Intel FPGA - Figear 7

Figear 8. Diagram Bloc airson Dealbhaidhean Intel Arria 10Dealbhadh IP eCPRI Intel FPGA - Figear 8Dealbhadh bathar-cruaidh bunaiteach eCPRI Intel FPGA IP example a 'toirt a-steach na co-phàirtean a leanas:
eCPRI Intel FPGA IP
A’ gabhail ri dàta bho na gineadairean trafaic sa bhad taobh a-staigh an inneal-deuchainn agus a’ toirt prìomhachas don dàta airson a chuir chun Ethernet IP.

IP Ethernet

  • Ethernet leac-F Intel FPGA cruaidh IP (dealbhaidhean leac-F Intel Agilex 7)
  • IP cruaidh e-leac airson Ethernet (dealbhaidhean E-leac Intel Stratix 10 no Intel Agilex 7)
  • 25G Ethernet Intel Stratix 10 IP (dealbhaidhean leac-H Intel Stratix 10)
  • Ethernet Latency Ìosal 10G MAC IP agus 1G / 10GbE agus 10GBASE-KR PHY IP (dealbhaidhean Intel Arria 10)

Pròtacal Ùine Precision Time (PTP) IO PLL
Airson dealbhadh Intel Stratix 10 H-leac - Air a chuir air adhart gus an gleoc fiosrachaidh cuir a-steach tomhas latency a ghineadh airson an Ethernet IP agus sampgleoc ling airson fo-shiostam Àm den Latha (TOD). Airson 25G Ethernet Intel Stratix 10 FPGA IP leis an fheart IEEE 1588v2, tha Intel a ’moladh dhut tricead a’ ghleoc seo a shuidheachadh gu 156.25 MHz. Thoir sùil air an 25G Ethernet Intel Stratix 10 FPGA IP Iùl Cleachdaiche agus Intel Stratix 10 H-tile Transceiver PHY User Guide airson tuilleadh fiosrachaidh. Bidh am PTP IOPLL cuideachd a’ gineadh an gleoc iomraidh airson an eCPRI IO PLL anns an dòigh cascading.
Airson dealbhadh Intel Arria 10 - Air a thòiseachadh gus cuir a-steach gleoc 312.5 MHz agus 156.25 MHz airson an Ethernet Latency Ìosal 10G MAC IP agus 1G / 10GbE, 10GBASE-KR PHY IP, agus eCPRI IP .

eCPRI IO PLL
A’ gineadh toradh cloc bunaiteach de 390.625 MHz airson slighe TX agus RX an eCPRI IP, agus co-phàirtean trafaic.
Thoir an aire: Chan eil am bloc seo an làthair ach anns an dealbhadh example gineadh airson innealan Intel Stratix 10 agus Intel Agilex 7.

Thoir an aire: Chan eil an dreach làithreach den eCPRI Intel FPGA IP a’ toirt taic ach do IWF seòrsa 0. Airson innealan Intel Agilex 7 F-tile, tha an dealbhadh exampchan eil taic ri comas le feart IWF.
Nuair a ghineas tu an dealbhadh exampLe paramadair taic Gnìomh Eadar-obrachaidh (IWF) air a chuir dheth, bidh trafaic a’ phacaid a ’sruthadh gu dìreach bhon mhodal pasgadh deuchainn gu eadar-aghaidh stòr / sinc Avalon-ST agus eadar-aghaidh stòr / sinc taobh a-muigh an eCPRI IP.
Nuair a ghineas tu an dealbhadh example paramadair taic gnìomh eadar-obrachaidh (IWF) air a thionndadh air, bidh trafaic a’ phacaid a ’sruthadh gu eadar-aghaidh sinc IWF Avalon-ST bhon mhodal còmhdach deuchainn an toiseach, agus a’ tighinn a-mach bho eadar-aghaidh stòr IWF Avalon-ST gu stòr / sinc eCPRI Avalon-ST eadar-aghaidh.
CPRI MAC
A’ toirt seachad pàirt CPRI de phròtacalan còmhdach 1 agus làn-fhilleadh 2 airson gluasad plèana neach-cleachdaidh, C&M, agus fiosrachadh sioncronaidh eadar REC agus RE a bharrachd air eadar dà RE,
CPRI PHY
A ’toirt seachad a’ phàirt a tha air fhàgail de phròtacal CPRI còmhdach 1 airson còdadh loidhne, ceartachadh / lorg mearachd bit, agus msaa.

Thoir an aire: Thòisich an CPRI MAC agus CPRI PHY IP anns an dealbhadh seo example air an rèiteachadh gus a bhith a’ ruith aig aon ìre loidhne CPRI 9.8 Gbps a-mhàin. Tha an dealbhadh example chan eil e a’ toirt taic do cho-rèiteachadh ìre loidhne anns an fhoillseachadh làithreach.

Clò-bhualadair deuchainn
Air a dhèanamh suas de ghineadairean trafaic agus luchd-dearbhaidh a bhios a’ gineadh seata eadar-dhealaichte de phasgan dàta gu eadar-aghaidh Avalon Streaming (Avalon-ST) den eCPRI IP mar gu h-ìosal:

  • Pacaidean eCPRI gu eadar-aghaidh stòr / sinc Avalon-ST (feart IWF ciorramach):
    - A’ toirt taic do theachdaireachd seòrsa 2 a-mhàin.
    - Gineadh modh cùl-ri-cùl le gineadh modh pàtran mean air mhean agus meud pàighidh pàighidh de 72 bytes airson gach pacaid.
    - Rèitichte tro CSR gus ruith ann am modh neo-leantainneach no leantainneach.
    - Inbhe staitistig pacaid TX / RX ri fhaighinn tro CSR.
  • Pacaidean eCPRI gu eadar-aghaidh stòr / sinc Avalon-ST (feart IWF air a chomasachadh):
    - Chan eil ach a’ toirt taic do sheòrsa teachdaireachd 0 san fhoillseachadh gnàthach.
    - Gineadh modh pàtran mean air mhean le gineadh beàrn eadar-phacaid agus meud pàighidh pàighidh de 240 bytes airson gach pacaid.
    - Rèitichte tro CSR gus ruith ann am modh neo-leantainneach no leantainneach.
    - Inbhe staitistig pacaid TX / RX ri fhaighinn tro CSR.
  • Pasgan Precision Time Protocol (1588 PTP) agus pacaidean measgaichte neo-PTP chun an taobh a-muigh stòr / eadar-aghaidh sinc:
    - Gineadh cinn Ethernet statach le paramadairean ro-mhìnichte: Ethertype0x88F7, Seòrsa teachdaireachd- Opcode 0 (Sync), agus dreach PTP-0.
    - Gineadh modh pàtrain ro-mhìnichte le beàrn eadar-phacaid de 2 chearcall agus meud pàighidh pàighidh de 57 bytes airson gach pacaid.
    - Bithear a’ gineadh 128 pacaid anns an ùine gach diog.
    - Rèitichte tro CSR gus ruith ann am modh neo-leantainneach no leantainneach.
    - Inbhe staitistig pacaid TX / RX ri fhaighinn tro CSR.
  • Pacaidean measgaichte taobh a-muigh neo-PTP:
    - Gineadh bann-cinn Ethernet statach le paramadair ro-mhìnichte, Ethertype- 0x8100 (neo-PTP).
    - Gineadh modh pàtran PRBS le beàrn eadar-phacaid de 2 chearcall agus meud pàighidh pàighidh de 128 bytes airson gach pacaid.
    - Rèitichte tro CSR gus ruith ann am modh neo-leantainneach no leantainneach.
    - Inbhe staitistig pacaid TX / RX ri fhaighinn tro CSR.

Fo-shiostam Àm den Latha (TOD).
Tha dà mhodal IEEE 1588 TOD ann airson an dà chuid TX agus RX, agus aon mhodal Synchronizer IEEE 1588 TOD air a chruthachadh le bathar-bog Intel Quartus Prime.
Fo-shiostam Nios® II
Air a dhèanamh suas de dhrochaid Avalon-MM a leigeas le rèiteachadh dàta Avalon-MM eadar pròiseasar Nios II, pasgan deuchainn, agus blocaichean dì-chòdaidh seòladh Avalon® -MM.
Tha e an urra ri Nios II atharrachadh ìre dàta a dhèanamh stèidhichte air an toradh bho luach clàr rate_switch inneal-deuchainn. Bidh am bloc seo a’ prògramadh a’ chlàr riatanach aon uair ‘s gu bheil e a’ faighinn àithne bhon inneal deuchainn.

Thoir an aire: Chan eil am bloc seo an làthair anns an dealbhadh example gineadh airson innealan Intel Arria 10 agus Intel Agilex 7 F-tile.
Console siostam
A’ toirt seachad eadar-aghaidh a tha furasta a chleachdadh dhut airson debugging ciad ìre a dhèanamh agus sùil a chumail air inbhe an IP, agus na gineadairean trafaic agus luchd-dearbhaidh.
Demo smachd
Tha am modal seo a’ toirt a-steach modalan sioncronaiche ath-shuidheachadh, agus modalan In-system Source and Probe (ISSP) airson pròiseas deasbaid agus tòiseachaidh siostam dealbhaidh.

Fiosrachadh Co-cheangailte

  • 25G Ethernet Intel Stratix 10 FPGA IP Stiùireadh Cleachdaiche
  • E-tile Hard IP Stiùireadh Cleachdaiche
  • eCPRI Intel FPGA Stiùireadh Cleachdaiche IP
  • 25G Ethernet Intel Stratix 10 FPGA IP Design Example Stiùireadh Cleachdaiche
  • IP cruaidh e-leac airson Intel Stratix 10 Design Examples Stiùireadh Cleachdaiche
  • Intel Stratix 10 L- agus H-Tile Transceiver PHY Stiùireadh Cleachdaiche
  • E-Tile Transceiver PHY Stiùireadh Cleachdaiche
  • Leabhar-iùil cleachdaiche Intel Stratix 10 10GBASE-KR PHY IP
  • E-leac cruaidh IP Intel Agilex Design Example Stiùireadh Cleachdaiche

2.3. Dealbhadh Samhlachaidh Example
Tha an dealbhadh eCPRI exampbidh le a’ gineadh being deuchainn atharrais agus atharrais files a chuireas an cridhe eCPRI Intel FPGA IP sa bhad nuair a thaghas tu an roghainn Simulation or Synthesis & Simulation.

Figear 9. eCPRI Intel FPGA IP Simulation Block DiagramDealbhadh IP eCPRI Intel FPGA - Figear 9

Thoir an aire: Chan eil bloc fo-shiostam Nios II an làthair anns an dealbhadh example gineadh airson innealan Intel Arria 10 agus Intel Agilex 7 F-tile.
Anns an dealbhadh seo example, tha am being deuchainn atharrais a’ toirt seachad comas-gnìomh bunaiteach leithid tòiseachadh agus feitheamh ri glasadh, tar-chuir agus faighinn pacaidean.

Bidh an ruith deuchainn soirbheachail a’ taisbeanadh toradh a’ dearbhadh an giùlan a leanas:

  1. Bidh loidsig an neach-dèiligidh ag ath-shuidheachadh cridhe IP.
  2. Tha loidsig an neach-dèiligidh a’ feitheamh ri co-thaobhadh datapath RX.
  3. Bidh loidsig an neach-dèiligidh a’ sgaoileadh phasganan air eadar-aghaidh Avalon-ST.
  4. Faigh agus sgrùdadh airson susbaint agus ceartachd nam pacaidean.
  5. Seall an teachdaireachd “Test PASSED”.

2.4. Comharran eadar-aghaidh
Clàr 5. Dealbhadh Example Comharran Eadar-aghaidh

Comharradh Stiùir Tuairisgeul
clk_ref Cuir a-steach Cloc iomraidh airson an Ethernet MAC.
• Airson dealbhadh Intel Stratix 10 E-leac, Intel Agilex 7 E-leac agus leac-F, cuir a-steach gleoc 156.25 MHz airson cridhe E-tile Ethernet Hard IP no cridhe F-tile Ethernet Hard IP. Ceangail ri i_clk_ref[0] anns an Ethernet Hard IP.
• Airson dealbhadh Intel Stratix 10 H-leac, cuir a-steach gleoc 322.2625 MHz airson an Transceiver ATX PLL agus 25G Ethernet IP. Ceangail ri pll_refclk0[0] anns an Transceiver ATX PLL agus clk_ref [0] ann an 25G Ethernet IP.
• Airson dealbhaidhean Intel Arria 10, cuir a-steach gleoc 322.265625 MHz airson an Transceiver ATX PLL agus 1G/ 10GbE agus 10GBase-KR PHY IP. Ceangail ri pll_refclk0[0] anns an Transceiver ATX PLL agus rx_cdr_ref_clk_10g [0] anns an 1G / 10GbE agus 10G BASE-KR PHY IP.
tod_sync_sampcànan_clk Cuir a-steach Airson dealbhadh Intel Arria 10, cuir a-steach gleoc 250 MHz airson fo-shiostam TOD.
clc 100 Cuir a-steach Uair stiùiridh. Tha an gleoc seo air a chleachdadh gus latency_clk a ghineadh airson PTP. Siubhail aig 100 MHz.
mgmt_ath-shuidheachadh_n Cuir a-steach Comharra ath-shuidheachadh airson siostam Nios II.
tx_sreath Toradh TX dàta sreath. A’ toirt taic do suas ri 4 seanalan.
rx_sreath Cuir a-steach Dàta sreathach RX. A’ toirt taic do suas ri 4 seanalan.
iwf_cpri_ehip_ref_clk Cuir a-steach Cuir a-steach gleoc iomraidh E-tile CPRI PHY. Chan eil an gleoc seo an làthair ach ann an Intel Stratix 10 E-tile agus Intel
Agilex 7 dealbhadh e-leac. Siubhail aig 153.6 MHz airson ìre loidhne 9.8 Gbps CPRI.
iwf_cpri_pll_refclk0 Toradh Cloc fiosrachaidh CPRI TX PLL.
• Airson dealbhadh Intel Stratix 10 H-leac: Drive aig 307.2 MHz airson ìre dàta CPRI 9.8 Gbps.
• Airson dealbhadh E-tile Intel Stratix 10 agus Intel Agilex 7 E-tile: Siubhail aig 156.25 MHz airson ìre dàta CPRI 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Toradh Cloc iomraidh CDR cuidhteas CPRI. Chan eil an gleoc seo an làthair ach ann an dealbhadh Intel Stratix 10 H-leac.
Siubhail aig 307.2 MHz airson ìre loidhne 9.8 Gbps CPRI.
iwf_cpri_xcvr_txdataout Toradh Bidh CPRI a’ sgaoileadh dàta sreathach. A’ toirt taic do suas ri 4 seanalan.
iwf_cpri_xcvr_rxdatain Toradh Dàta sreathach cuidhteas CPRI. A’ toirt taic do suas ri 4 seanalan.
cpri_gmii_clk Cuir a-steach Cloc cuir a-steach CPRI GMII 125 MHz.

Fiosrachadh Co-cheangailte
Comharran eadar-aghaidh PHY
Liosta de na comharran eadar-aghaidh PHY den 25G Ethernet Intel FPGA IP.

2.5. Dealbhadh Example Clàr Mapa
Gu h-ìosal tha am mapadh clàr airson dealbhadh bunaiteach eCPRI IP example:
Clàr 6. eCPRI Intel FPGA IP Design Example Clàradh Mapadh

Seòladh  Clàr
0x20100000 – 0x201FFFFF(2) Clàr Ath-rèiteachaidh IOPLL.
0x20200000 - 0x203FFFF Clàr Ethernet MAC Avalon-MM
0x20400000 - 0x205FFFF Clàr Ethernet MAC Dùthchasach Avalon-MM
0x20600000 – 0x207FFFFF(2) Clàr dùthchasach PHY RS-FEC Avalon-MM.
0x40000000 – 0x5FFFFFF Clàr eCPRI IP Avalon-MM
0x80000000 – 0x9FFFFFF Gineadair / Dearbhaiche Deuchainn Dealbhadh Ethernet Clàr Avalon-MM

Clàr 7. Mapadh Clàr Nios II
Chan eil na clàran sa chlàr gu h-ìosal rim faighinn ach anns an dealbhadh example gineadh airson innealan Intel Stratix 10 no Intel Agilex 7 E-tile.

Seòladh  Clàr
0x00100000 - 0x001FFFF Clàr Ath-rèiteachaidh IOPLL
0x00200000 - 0x003FFFF Clàr Ethernet MAC Avalon-MM
0x00400000 - 0x005FFFF Clàr Ethernet MAC Dùthchasach Avalon-MM
0x00600000 - 0x007FFFF Clàr dùthchasach PHY RS-FEC Avalon-MM

Thoir an aire: Gheibh thu cothrom air na clàran Ethernet MAC agus Ethernet MAC Native PHY AVMM le bhith a’ cleachdadh facal dheth an àite byte offset.
Airson fiosrachadh mionaideach mu Ethernet MAC, Ethernet MAC Native PHY, agus mapaichean prìomh chlàr eCPRI IP, thoir sùil air na stiùiridhean cleachdaiche fa leth.

(2) Ri fhaighinn a-mhàin ann an dealbhadh example gineadh airson innealan E-tile Intel Stratix 10 agus Intel Agilex 7.

Clàr 8. eCPRI Intel FPGA IP Hardware Design Example Clàr Mapa

Offset Facal  Seòrsa Clàraidh  Luach bunaiteach  Seòrsa Ruigsinneachd
0x0 Tòisich a 'cur dàta:
• Bit 1: PTP, neo-PTP seòrsa
• Bit 0: seòrsa eCPRI
0x0 RW
0x1 Cumasaich pasgan leantainneach 0x0 RW
0x2 Mearachd soilleir 0x0 RW
0x3 (3) Atharrachadh reata:
• Bit [7] - A' comharrachadh leacag:
— 1'b0: H-leac
— 1'b1: E-leac
• Bit [6:4] - A’ comharrachadh atharrachadh ìre dàta Ethernet:
- 3'b000: 25G gu 10G
- 3'b001: 10G gu 25G
• Bit [0] - ìre suidse a chur an comas. Feumar am pìos seo 0 agus cunntas-bheachd a shuidheachadh gus am bi pìos 0 soilleir airson atharrachadh reata.
Nota: Chan eil an clàr seo ri fhaighinn airson dealbhadh Intel Agilex 7 F-tile agus Intel Arria 10.
• E-leacan: 0x80
• H-leacan: 0x0
RW
0x4 (3) Atharrachadh reata air a dhèanamh:
• Tha Bit [1] a' comharrachadh atharrachadh reata air a dhèanamh.
0x0 RO
0x5 (4) Inbhe rèiteachaidh an t-siostaim:
• Bit [31]: Siostam deiseil
• Bit [30]: IWF_EN
• Bit [29]: STARTUP_SEQ_EN
• Bit [28:4]: Glèidhte
• Bit [3]: EXT_PACKET_EN
• Bit [2:0]: Glèidhte
0x0 RO
0x6 (4) Co-rèiteachadh CPRI air a chrìochnachadh:
• Bit [3:0]: Ìre bit crìochnaichte
• Bit [19:16]: Pròtacal crìochnaichte
0x0 RW
0x7 (4) Co-rèiteachadh CPRI air a chrìochnachadh:
• Bit [3:0]: C&M luath deiseil
• Bit [19:16]: Fast VSS coileanta
0x0 RW
0x8 – 0x1F Glèidhte.
0x20 Briseadh mearachd eCPRI:
• Bit [0] a' comharrachadh a' bhriseadh.
0x0 RO
0x21 Mearachd pacaidean taobh a-muigh 0x0 RO
0x22 Pacaidean PTP taobh a-muigh TX Tòisich a’ phacaid (SOP) Cunnt 0x0 RO
0x23 Pacaidean PTP taobh a-muigh TX Deireadh a’ phacaid (EOP) Cunnt 0x0 RO
0x24 Pacaidean Measgachadh Taobh a-muigh TX SOP Count 0x0 RO
0x25 Pacaidean Measgachadh Taobh a-muigh TX EOP Count 0x0 RO
0x26 Pacaidean RX taobh a-muigh Cunntas SOP 0x0 RO
0x27 Pacaidean RX taobh a-muigh Cunntas EOP 0x0 RO
0x28 Cunnt mearachd pacaidean taobh a-muigh 0x0 RO
0x29 - 0x2C Glèidhte.
0x2d Ùine PTP taobh a-muighamp Cunntas mearachd lorgan-meòir 0x0 RO
0x2E Ùine PTP taobh a-muighamp Mearachd meòir-lorg 0x0 RO
0x2F Inbhe mearachd Rx taobh a-muigh 0x0 RO
0x30 – 0x47 Glèidhte.
0x48 Mearachd pacaidean eCPRI RO
0x49 Cunntas eCPRI TX SOP RO
0x4A Cunntas eCPRI TX EOP RO
0x4B Cunntas eCPRI RX SOP RO
0x4c Cunntas eCPRI RX EOP RO
0x4d Cunnt mearachd pacaidean eCPRI RO

Fiosrachadh Co-cheangailte

  • Tuairisgeul Clàr Smachd, Inbhe, agus Staitistig
    Clàraich fiosrachadh airson an 25G Ethernet Stratix 10 FPGA IP
  • Ath-dhealbhadh agus Clàr Inbhe
    Tuairisgeulan Clàraich fiosrachadh airson an IP cruaidh E-tile airson Ethernet
  • Clàran
    Clàraich fiosrachadh airson an eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh

Airson na dreachan as ùire agus roimhe seo den stiùireadh cleachdaiche seo, thoir sùil air an eCPRI Intel FPGA IP Design Example Tionndadh HTML Stiùireadh Cleachdaiche. Tagh an dreach agus cliog air Download. Mura h-eil dreach IP no bathar-bog air a liostadh, tha an stiùireadh cleachdaiche airson an tionndadh IP no bathar-bog roimhe a’ buntainn.

Eachdraidh ath-sgrùdadh sgrìobhainnean airson eCPRI Intel FPGA IP Design Example Stiùireadh Cleachdaiche

Tionndadh Sgrìobhainn Intel Quartus
Prìomh Tionndadh
Tionndadh IP Atharrachaidhean
2023.05.19 23.1 2.0.3 • Ùraich an Simulating the Design Example Testbench earrann anns a 'chaibideil Quick Start Guide.
• Ùraich sinn ainm teaghlaich an toraidh gu “Intel Agilex 7”.
2022.11.15 22.3 2.0.1 Stiùireadh ùraichte airson simuladair VCS san roinn: A’ dèanamh atharrais air an Design Example Testbench.
2022.07.01 22.1 1.4.1 • Chuir sinn ris an dealbhadh bathar-cruaidh example taic airson atharrachaidhean inneal Intel Agilex 7 F-leac.
• Taic a bharrachd airson na goireasan leasachaidh a leanas:
- Kit Leasachaidh FPGA Intel Agilex 7 I-Series
- Kit Leasachaidh Intel Agilex 7 I-Series Transceiver-SoC
• Taic a bharrachd airson simuladair QuestaSim.
• Thoir air falbh taic airson simuladair ModelSim * SE.
2021.10.01 21.2 1.3.1 • Taic a bharrachd airson innealan Intel Agilex 7 F-tile.
• Taic a bharrachd airson dealbhaidhean ioma-seanail.
• Clàr ùraichte: eCPRI Intel FPGA IP Hardware Design Example Clàr Mapa.
• Taic air a thoirt air falbh airson simuladair NCSim.
2021.02.26 20.4 1.3.0 • Taic a bharrachd airson innealan Intel Agilex 7 E-tile.
2021.01.08 20.3 1.2.0 • Dh'atharraich tiotal na sgrìobhainn bho eCPRI Intel Stratix 10 FPGA IP Design Example Stiùireadh Cleachdaiche gu
eCPRI Intel FPGA IP Design Example Stiùireadh Cleachdaiche.
• Taic a bharrachd airson dealbhadh Intel Arria 10.
• Tha an dealbhadh eCPRI IP example a-nis ri fhaighinn le taic feart gnìomh eadar-obrachaidh (IWF).
• Chuir sinn nota ris gus soilleireachadh gu bheil dealbhadh eCPRI example feart IWF ri fhaighinn a-mhàin airson 9.8 Gbps CPRI
ìre bit loidhne.
• Cumhachan a bharrachd san earrann Gineadh an Dealbhadh nuair a thathar a’ gineadh an dealbhadh example le
Gnìomh eadar-obrachaidh (IWF) paramadair taic air a chomasachadh.
• Air a chur ris sample toradh ruith deuchainn atharrais le feart IWF air a chomasachadh ann an roinn Simulating the Design
Example Testbench.
• Chaidh earrann ùr a chur ris A’ comasachadh ath-dhealbhadh fiùghantach don IP Ethernet.
• Deuchainn bathar-cruaidh ùraichte sample toradh ann an earrann
A’ dèanamh deuchainn air an eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • Taic a bharrachd airson ìre dàta 10G.
• sruth.c file ri fhaighinn a-nis le dealbhadh example ginealach gus modh loopback a thaghadh.
• Dh'atharraich na sample toradh airson deuchainn atharrais air a ruith ann an earrann Simulating the Design Example Testbench.
• Luach tricead a bharrachd airson a bhith a’ ruith dealbhadh ìre dàta 10G anns an earrainn A’ cur ri chèile agus a’ rèiteachadh an
Dealbhadh Example ann am Bathar-cruaidh.
• Air a dhèanamh às deidh atharrachaidhean ann an earrann Dèan deuchainn air an eCPRI Intel FPGA IP Design Example:
- Òrdughan a bharrachd gus ìre dàta atharrachadh eadar 10G agus 25G
— Air a chur ris sample toradh airson atharrachadh ìre dàta
- Chaidh fiosrachadh caochlaideach TEST_MODE a chuir ris gus loopback a thaghadh ann an atharrachaidhean inneal E-tile.
• atharraichte eCPRI Intel FPGA IP Hardware Design Examples Diagram Bloc Àrd Ìre gus ùr a thoirt a-steach
blocaichean.
• Clàr ùrachadh: Design Example Comharran eadar-aghaidh gus comharra ùr a thoirt a-steach.
• Ùrachadh Design Example Clàr Mapa roinn.
• Earrann pàipear-taice ùr air a chur ris: A’ gineadh agus a’ luchdachadh sìos an fhaidhle so-ghnìomhaichte agus a’ ceangal (.elf) prògramadh File .
2020.04.13 19.4 1.1.0 Sgaoileadh tùsail.

A. A’ gineadh agus a’ luchdachadh sìos an fhaidhle so-ghnìomhaichte agus a’ ceangal cruth (.elf). File

Tha an earrann seo ag innse mar a ghineadh agus a luchdaicheas tu sìos am faidhle .elf file chun a' bhùird:

  1. Atharraich eòlaire guample_dir>/synthesis/quatus.
  2. Ann am bathar-bog Intel Quartus Prime Pro Edition, cliog air Open Project agus fosgailample_dir>/synthesis/quartus/epri_ed.qpf. A-nis tagh Innealan ➤ Innealan Togail Bathar-bog Nios II airson Eclipse.
    Figear 10. Innealan Togail Bathar-bog Nios II airson EclipseDealbhadh IP eCPRI Intel FPGA - Figear 10
  3. Nochdaidh sgiobalta uinneag Workspace Launcher. Anns an Àite-obrach sònraich an t-slighe marample_dir>/synthesis/quatus gus do phròiseact Eclipse a stòradh. Tha an uinneag ùr Nios II - Eclipse a’ nochdadh.
    Figear 11. Uinneag lannsair àite-obrachDealbhadh IP eCPRI Intel FPGA - Figear 11
  4. Anns an uinneag Nios II - Eclipse, deas-cliog fo Project Explorer tab, agus tagh New ➤ Pasgan Taic Bòrd Nios II. Nochdaidh an uinneag ùr.
    Figear 12. Pròiseact Explorer TabDealbhadh IP eCPRI Intel FPGA - Figear 12
  5. Ann an uinneag Pasgan Taic Bòrd Nios II:
    • Ann am paramadair ainm a’ phròiseict, sònraich an t-ainm pròiseict a tha thu ag iarraidh.
    • Anns an SOPC Fiosrachadh File paramadair ainm, thoir sùil air an àite aigample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Briog air Crìoch.
    Figear 13. Uinneag Pacaid Taic Bòrd Nios IIDealbhadh IP eCPRI Intel FPGA - Figear 13
  6. Tha am pròiseact ùr-chruthaichte a’ nochdadh fo taba Project Explorer ann an uinneag Nios II Eclipse. Dèan briogadh deas fo Project Explorer tab, agus tagh Nios II ➤ Nios II Command Shell.
    Figear 14. Project Explorer - slige-stiùiridh Nios IIDealbhadh IP eCPRI Intel FPGA - Figear 14
  7. San t-slige-stiùiridh Nios II, dèan na trì àitheantan a leanas: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw dèan –directory=app
  8. An .fèin file air a chruthachadh anns an àite a leanas:ample_dir>/ synthesis/ip_components/bathar-bog/ /app.
  9. Taidhp an àithne a leanas ann an Command Shell Nios II gus an .elf a luchdachadh sìos chun bhòrd:
    • Airson Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Airson Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

An t-suaicheantas airson IntelDealbhadh IP eCPRI Intel FPGA - ìomhaigh Tionndadh air-loidhne
Dealbhadh IP eCPRI Intel FPGA - ìomhaigh 1 Cuir fios air ais
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design Example Stiùireadh Cleachdaiche

Sgrìobhainnean/Goireasan

Intel eCPRI Dealbhadh IP Intel FPGA [pdfStiùireadh Cleachdaiche
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

Iomraidhean

Fàg beachd

Cha tèid do sheòladh puist-d fhoillseachadh. Tha raointean riatanach air an comharrachadh *