eCPRI Intel® FPGA IP නිර්මාණය
Example පරිශීලක මාර්ගෝපදේශය
Intel® සඳහා යාවත්කාලීන කරන ලදී
Quartus®
ප්රයිම් ඩිසයින් සූට්: 23.1
IP අනුවාදය: 2.0.3
ඉක්මන් ආරම්භක මාර්ගෝපදේශය
වැඩිදියුණු කරන ලද පොදු පොදු ගුවන්විදුලි අතුරුමුහුණත (eCPRI) Intel® FPGA IP හරය eCPRI පිරිවිතර අනුවාදය 2.0 ක්රියාත්මක කරයි. eCPRI Intel FPGA IP සමාකරණ පරීක්ෂණ බංකුවක් සහ දෘඪාංග නිර්මාණයක් සපයයිampසම්පාදනය සහ දෘඪාංග පරීක්ෂණ සඳහා සහය වන le. ඔබ නිර්මාණය උත්පාදනය කරන විට example, පරාමිති සංස්කාරකය ස්වයංක්රීයව නිර්මාණය කරයි fileනිර්මාණය අනුකරණය කිරීමට, සම්පාදනය කිරීමට සහ පරීක්ෂා කිරීමට අවශ්ය වේampදෘඪාංග වල.
සම්පාදනය කරන ලද දෘඪාංග නිර්මාණය example ක්රියාත්මක වන්නේ:
- Intel Agilex™ 7 I-Series FPGA සංවර්ධන කට්ටලය
- Intel Agilex 7 I-Series Transceiver-SoC සංවර්ධන කට්ටලය
- Intel Agilex 7 F-Series Transceiver-SoC සංවර්ධන කට්ටලය
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit for H-tile design examples
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit for E-tile design examples
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
Intel සම්පාදනය-පමණක් හිටපු සපයයිampIP මූලික ප්රදේශය සහ වේලාව ඉක්මනින් තක්සේරු කිරීමට ඔබට භාවිතා කළ හැකි le ව්යාපෘතිය.
ටෙස්ට් බංකුව සහ සැලසුම් example eCPRI IP හි Intel Stratix 25 H-tile හෝ E-tile සහ Intel Agilex 10 E-tile හෝ F-tile උපාංග විචලනයන් සඳහා 10G සහ 7G දත්ත අනුපාත සඳහා සහය දක්වයි.
සටහන: eCPRI IP නිර්මාණය exampඅන්තර් ක්රියාකාරී ශ්රිතය සහිත (IWF) le වත්මන් නිකුතුවේ 9.8 Gbps CPRI රේඛා බිට් අනුපාතය සඳහා පමණක් ලබා ගත හැක.
සටහන: eCPRI IP නිර්මාණය exampIntel Arria 10 මෝස්තරවල 10G දත්ත අනුපාතය සඳහා ගතික ප්රතිසංවිධානය සඳහා le සහාය නොදක්වයි.
eCPRI Intel FPGA IP මූලික නිර්මාණය example පහත විශේෂාංග සඳහා සහය දක්වයි:
- අභ්යන්තර TX සිට RX දක්වා අනුක්රමික ලූප්බැක් මාදිලිය
- රථවාහන උත්පාදක සහ පරීක්ෂක
- මූලික පැකට් පරීක්ෂා කිරීමේ හැකියාවන්
- සැලසුම ක්රියාත්මක කිරීමට සහ නැවත පරීක්ෂා කිරීමේ අරමුණ සඳහා සැලසුම යළි සැකසීමට පද්ධති කොන්සෝලය භාවිතා කිරීමේ හැකියාව
ඉන්ටෙල් සංස්ථාව. සියලුම හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල ක්රියාකාරීත්වය Intel හි සම්මත වගකීම් වලට අනුකූලව වත්මන් පිරිවිතරයන්ට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම භාණ්ඩයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
ISO 9001:2015 ලියාපදිංචි කර ඇත
රූපය 1. නිර්මාණ සඳහා සංවර්ධන පියවර Example
අදාළ තොරතුරු
- eCPRI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය
- eCPRI Intel FPGA IP නිකුතු සටහන්
1.1 දෘඪාංග සහ මෘදුකාංග අවශ්යතා
හිටපු අයව පරීක්ෂා කිරීමටample නිර්මාණය, පහත දෘඪාංග සහ මෘදුකාංග භාවිතා කරන්න:
- Intel Quartus® Prime Pro සංස්කරණය මෘදුකාංග අනුවාදය 23.1
- පද්ධති කොන්සෝලය
- සහාය දක්වන සිමියුලේටර්:
- Siemens* EDA QuestaSim*
— සාරාංශය* VCS*
- සාරාංශ VCS MX
- Aldec* Riviera-PRO*
- Cadence* Xcelium* - සංවර්ධන කට්ටලය:
- Intel Agilex 7 I-Series FPGA සංවර්ධන කට්ටලය
— Intel Agilex 7 I-Series Transceiver-SoC සංවර්ධන කට්ටලය
— Intel Agilex 7 F-Series Transceiver-SoC සංවර්ධන කට්ටලය
— Intel Stratix 10 GX Transceiver Signal Integrity Development Kit for H-tile Device variation design example
— Intel Stratix 10 TX Transceiver Signal Integrity Development for E-tile උපාංග විචල්ය සැලසුම් example
— Intel Arria 10 GX Transceiver Signal Integrity Development Kit
අදාළ තොරතුරු
- Intel Agilex 7 I-Series FPGA සංවර්ධන කට්ටල පරිශීලක මාර්ගෝපදේශය
- Intel Agilex 7 I-Series Transceiver-SoC සංවර්ධන කට්ටල පරිශීලක මාර්ගෝපදේශය
- Intel Agilex 7 F-Series Transceiver-SoC සංවර්ධන කට්ටල පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide
1.2 නිර්මාණය උත්පාදනය කිරීම
පූර්වාවශ්යතාව: ඔබට eCPRI ලැබුණු පසු web-core IP, සුරකින්න webප්රාදේශීය ප්රදේශයට -core ස්ථාපකය. වින්ඩෝස්/ලිනක්ස් සමඟ ස්ථාපකය ධාවනය කරන්න. විමසූ විට, ස්ථාපනය කරන්න webCore Intel Quartus Prime ෆෝල්ඩරය ඇති ස්ථානයටම.
eCPRI Intel FPGA IP දැන් IP නාමාවලියෙහි දිස්වේ.
ඔබට දැනටමත් ඔබේ eCPRI Intel FPGA IP හරය ඒකාබද්ධ කිරීමට Intel Quartus Prime Pro සංස්කරණ ව්යාපෘතියක් නොමැති නම්, ඔබ එකක් සෑදිය යුතුය.
- Intel Quartus Prime Pro Edition මෘදුකාංගයේ, ක්ලික් කරන්න File ➤ නව Intel Quartus Prime ව්යාපෘතියක් නිර්මාණය කිරීමට නව ව්යාපෘති විශාරද, හෝ ක්ලික් කරන්න File ➤ දැනට පවතින Intel Quartus Prime ව්යාපෘතියක් විවෘත කිරීමට ව්යාපෘතිය විවෘත කරන්න. විශාරද උපාංගයක් සඳහන් කිරීමට ඔබෙන් විමසයි.
- උපාංග පවුල සහ වේග ශ්රේණියේ අවශ්යතා සපුරාලන උපාංගයක් සඳහන් කරන්න.
- Finish ක්ලික් කරන්න.
- IP නාමාවලියෙහි, eCPRI Intel FPGA IP සොයා ගෙන දෙවරක් ක්ලික් කරන්න. නව IP ප්රභේද කවුළුව දිස්වේ.
eCPRI IP දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට මෙම පියවර අනුගමනය කරන්නample සහ testbench:
- IP නාමාවලියෙහි, eCPRI Intel FPGA IP සොයා ගෙන දෙවරක් ක්ලික් කරන්න. නව IP ප්රභේද කවුළුව දිස්වේ.
- හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
රූපය 2. Example Design Tab eCPRI Intel FPGA IP පරාමිති සංස්කාරකයේ
- ඉහළ මට්ටමේ නමක් සඳහන් කරන්න ඔබගේ අභිරුචි IP විචලනය සඳහා. පරාමිති සංස්කාරකය IP විචල්ය සැකසුම් a හි සුරකියි file නම් කර ඇත .ip.
- හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
- සාමාන්ය පටිත්තෙහි, ඔබගේ IP මූලික විචලනය සඳහා පරාමිතීන් සඳහන් කරන්න.
සටහන: • ඔබ කලින් නිර්මාණය උත්පාදනය කරන විට eCPRI IP පරාමිති සංස්කාරකයේ ප්රවාහ පරාමිතිය ක්රියාත්මක කළ යුතුයample අන්තර් ක්රියාකාරී ශ්රිතය (IWF) ආධාරක පරාමිතිය සක්රීය කර ඇත,
• ඔබ විසින් නිර්මාණය උත්පාදනය කිරීමේදී CPRI රේඛා බිට් අනුපාතය (Gbit/s) අනෙක් ඒවාට සැකසිය යුතුය.ample සමඟ අන්තර් වැඩ කිරීමේ කාර්යය (IWF) ආධාරක පරාමිතිය සක්රීය කර ඇත. - හිටපු මතample Design ටැබය, testbench ජනනය කිරීමට සමාකරණ විකල්පය තෝරන්න, දෘඪාංග උත්පාදනය කිරීමට සංස්ලේෂණ විකල්පය තෝරන්නample නිර්මාණය, සහ testbench සහ දෘඪාංග නිර්මාණය යන දෙකම උත්පාදනය කිරීමට සංස්ලේෂණය සහ සමාකරණ විකල්පය තෝරන්න.ample.
- ඉහළ මට්ටමේ අනුකරණය සඳහා භාෂාව සඳහා file, Verilog හෝ VHDL තෝරන්න.
සටහන: මෙම විකල්පය ලබා ගත හැක්කේ ඔබ ඔබේ හිටපු සඳහා සමාකරණ විකල්පය තෝරාගත් විට පමණිample නිර්මාණය. - ඉහළ මට්ටමේ සංස්ලේෂණය සඳහා භාෂාව සඳහා file, Verilog හෝ VHDL තෝරන්න.
සටහන: මෙම විකල්පය ලබා ගත හැක්කේ ඔබ ඔබේ හිටපු සඳහා Synthesis විකල්පය තෝරාගත් විට පමණිample නිර්මාණය. - නාලිකා ගණන සඳහා, ඔබට ඔබේ නිර්මාණය සඳහා අදහස් කරන නාලිකා ගණන (1 සිට 4 දක්වා) ඇතුළත් කළ හැක. පෙරනිමි අගය 1 වේ.
- උත්පාදනය Ex ක්ලික් කරන්නample නිර්මාණය. Select Example Design Directory කවුළුව දිස්වේ.
- ඔබට නිර්මාණය වෙනස් කිරීමට අවශ්ය නම් හිටපුample බහලුම මාර්ගය හෝ ප්රදර්ශනය වන පෙරනිමියෙන් නම (ecpri_0_testbench), නව මාර්ගය වෙත බ්රවුස් කර නව සැලසුම ටයිප් කරන්න.ampලේ නාමාවලියේ නම.
- හරි ක්ලික් කරන්න.
අදාළ තොරතුරු
eCPRI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය
1.3 නාමාවලි ව්යුහය
eCPRI IP මූලික නිර්මාණය example file නාමාවලි පහත ජනනය කර ඇත fileනිර්මාණය සඳහා එස්ample.
රූපය 3. ජනනය කරන ලද Ex හි නාමාවලි ව්යුහයample නිර්මාණය
සටහන:
- Intel Arria 10 IP design ex හි පමණක් පවතීample විචලනය .
- ඉන්ටෙල් ස්ට්රැටික්ස් 10 (එච්-ටයිල් හෝ ඊ-ටයිල්) IP නිර්මාණයේ පමණක් පවතීample විචලනය .
- Intel Agilex E-tile IP design ex හි පමණක් පවතීample විචලනය .
වගුව 1. eCPRI Intel FPGA IP Core Testbench File විස්තර
| File නම් | විස්තරය |
| ප්රධාන ටෙස්ට් බංකු සහ අනුකරණය Files | |
| <design_example_dir>/simulation/testbench/ ecpri_tb.sv | ඉහළ මට්ටමේ පරීක්ෂණ බංකුව file. පරීක්ෂණ බංකුව DUT එතුම ක්ෂණිකව ලබා දෙන අතර පැකට් ජනනය කිරීමට සහ පිළිගැනීමට Verilog HDL කාර්යයන් ක්රියාත්මක කරයි. |
| <design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT සහ අනෙකුත් testbench සංරචක ක්ෂණිකව ලබා දෙන DUT දවටනය. |
| <design_example_dir>/simulation/ed_fw/flow.c | C-කේත මූලාශ්රය file. |
| ටෙස්ට් බංකු ස්ක්රිප්ට් | |
| <design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | පරීක්ෂණ බංකුව ක්රියාත්මක කිරීමට Siemens EDA QuestaSim ස්ක්රිප්ට්. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Synopsys VCS ස්ක්රිප්ට්. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Synopsys VCS MX ස්ක්රිප්ට් (ඒකාබද්ධ Verilog HDL සහ SystemVerilog with VHDL) testbench ධාවනය කිරීමට. |
| <design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | පරීක්ෂණ බංකුව ධාවනය කිරීමට Aldec* Riviera-PRO ස්ක්රිප්ට්. |
| <design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Cadence* Xcelium ස්ක්රිප්ට්. |
වගුව 2. eCPRI Intel FPGA IP Core Hardware Design Example File විස්තර
| File නම් | විස්තර |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Intel Quartus Prime ව්යාපෘතිය file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Intel Quartus Prime ව්යාපෘති සැකසුම file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.sdc | සාරාංශ සැලසුම් සීමා කිරීම් files. ඔබට මේවා පිටපත් කර වෙනස් කළ හැකිය fileඔබේම Intel Stratix 10 නිර්මාණය සඳහා s. |
| <design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | ඉහළම මට්ටමේ Verilog HDL නිර්මාණය example file. |
| <design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT සහ අනෙකුත් testbench සංරචක ක්ෂණිකව ලබා දෙන DUT දවටනය. |
| <design_example_dir>/synthesis/quartus/ecpri_s10.tcl | ප්රධාන file පද්ධති කොන්සෝලය වෙත ප්රවේශ වීම සඳහා (Intel Stratix 10 H-ටයිල් සහ E-ටයිල් මෝස්තර වලින් ලබා ගත හැක). |
| <design_example_dir>/synthesis/quartus/ecpri_a10.tcl | ප්රධාන file පද්ධති කොන්සෝලය වෙත ප්රවේශ වීම සඳහා (Intel Arria 10 මෝස්තර වලින් ඇත). |
| <design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | ප්රධාන file පද්ධති කොන්සෝලය වෙත ප්රවේශ වීම සඳහා (Intel Agilex 7 මෝස්තර වලින් ඇත). |
1.4 නිර්මාණය අනුකරණය කිරීම Example Testbench
රූපය 4. ක්රියා පටිපාටිය
පරීක්ෂණ බංකුව අනුකරණය කිරීමට මෙම පියවර අනුගමනය කරන්න:
- විධාන විමසුමේදී, testbench simulation බහලුම වෙත වෙනස් කරන්නample_dir>/simulation/setup_scripts.
- Intel Agilex F-tile උපාංග වෙනස්කම් සඳහා, මෙම පියවර අනුගමනය කරන්න:
ඒ. වෙත සංචාලනය කරන්නample_dir>/simulation/quartus බහලුම සහ පහත විධාන දෙක ක්රියාත්මක කරන්න: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
විකල්පයක් ලෙස, ඔබට Intel Quartus Prime Pro සංස්කරණයේ ecpri_ed.qpf ව්යාපෘතිය විවෘත කර සහාය තාර්කික උත්පාදනය දක්වා සම්පාදනය කළ හැකිය.tage.
බී. වෙත සංචාලනය කරන්නample_dir>/simulation/setup_scripts නාමාවලිය.
c. පහත විධානය ක්රියාත්මක කරන්න: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - ඔබ කැමති සහය දක්වන සිමියුලේටරය සඳහා සමාකරණ ස්ක්රිප්ට් ධාවනය කරන්න. ස්ක්රිප්ට් එක සිමියුලේටරය තුළ පරීක්ෂණ බංකුව සම්පාදනය කර ධාවනය කරයි. ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර වගුව වෙත යොමු වන්න.
සටහන: අනුකරණය සඳහා VHDL භාෂා සහාය ලබා ගත හැක්කේ QuestaSim සහ VCS MX සිමියුලේටර් සමඟ පමණි. අනුකරණය සඳහා Verilog භාෂා සහාය වගුවේ ලැයිස්තුගත කර ඇති සියලුම සිමියුලේටර් සඳහා ලබා ගත හැකිය: ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර. - ප්රතිඵල විශ්ලේෂණය කරන්න. සාර්ථක පරීක්ෂණ බංකුව පැකට් යැවීම සහ ලබා ගැනීම සහ "PASSED" පෙන්වයි.
වගුව 3. ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර
| සිමියුලේටරය | උපදෙස් |
| ක්වෙස්ටාසිම් | විධාන රේඛාවේ, vsim -do run_vsim.do ටයිප් කරන්න, ඔබ QuestaSim GUI ගෙන ඒමකින් තොරව අනුකරණය කිරීමට කැමති නම්, vsim -c -do run_vsim.do ටයිප් කරන්න. |
| VCS | • විධාන රේඛාවේ, sh run_vcs.sh ලෙස ටයිප් කරන්න • වෙත සංචාලනය කරන්නample_dir>/simulation/setup_scripts/ synopsys/vcs පහත විධානය ක්රියාත්මක කරන්න: sh run_vcs.sh |
| VCS MX | විධාන රේඛාවේ, sh run_vcsmx.sh ටයිප් කරන්න |
| රිවීරා-PRO | විධාන රේඛාවේ, vsim -c -do run_rivierapro.tcl ටයිප් කරන්න සටහන: Intel Stratix 10 H-ටයිල් සැලසුම් විචල්යයන් සඳහා පමණක් සහය දක්වයි. |
| Xcelium(1) | විධාන රේඛාවේ, sh run_xcelium.sh ලෙස ටයිප් කරන්න |
- මෙම සිමියුලේටරය eCPRI Intel FPGA IP නිර්මාණය සඳහා සහය නොදක්වයිampIWF විශේෂාංගය සක්රීය කර ඇත.
Sample ප්රතිදානය: පහත එස්ample ප්රතිදානය eCPRI IP නිර්මාණයේ සාර්ථක සමාකරණ පරීක්ෂණ ධාවනයක් පෙන්නුම් කරයිampIWF විශේෂාංගයෙන් තොරව le නාලිකා ගණන = 4 සමඟ සබල කර ඇත:
# RX පෙළගැස්ම සඳහා රැඳී සිටිමින්
# RX deskew අගුලු දමා ඇත
# RX මංතීරු පෙළගැස්ම අගුලු දමා ඇත
# සබැඳි දෝෂය පැහැදිලි වන තෙක් බලා සිටීම
# සබැඳි දෝෂය පැහැදිලිය
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 0: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 0: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 0: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 0: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 0: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 0: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 0: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 0: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 0: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 0: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 0: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 0: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 0: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 0: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 0: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 0: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 0: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 0: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 0: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 0: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 0: 2
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 1: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 1: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 1: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 1: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 1: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 1: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 1: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 1: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 1: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 1: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 1: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 1: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 1: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 1: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 1: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 1: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 1: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 1: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 1: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 1: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 1: 2
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 2: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 2: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 2: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 2: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 2: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 2: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 2: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 2: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 2: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 2: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 2: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 2: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 2: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 2: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 2: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 2: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 2: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 2: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 2: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 2: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 2: 2
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 3: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 3: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 3: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 3: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 3: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 3: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 3: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 3: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 3: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 3: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 3: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 3: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 3: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 3: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 3: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 3: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 3: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 3: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 3: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 3: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 3: 2
#_________________________________________________________
# තොරතුරු: යළි පිහිටුවීමේ තත්ත්වයෙන් බැහැරයි
#_________________________________________________________
#
#
# Channel 0 eCPRI TX SOPs ගණන : 0
# Channel 0 eCPRI TX EOPs ගණන : 0
# Channel 0 eCPRI RX SOPs ගණන : 0
# Channel 0 eCPRI RX EOPs ගණන : 0
# Channel 0 බාහිර PTP TX SOPs ගණන : 0
# Channel 0 බාහිර PTP TX EOPs ගණන : 0
# Channel 0 බාහිර MISC TX SOPs ගණන : 0
# Channel 0 බාහිර MISC TX EOPs ගණන : 0
# Channel 0 බාහිර RX SOP ගණන : 0
# Channel 0 බාහිර RX EOPs ගණන : 0
# Channel 1 eCPRI TX SOPs ගණන : 0
# Channel 1 eCPRI TX EOPs ගණන : 0
# Channel 1 eCPRI RX SOPs ගණන : 0
# Channel 1 eCPRI RX EOPs ගණන : 0
# Channel 1 බාහිර PTP TX SOPs ගණන : 0
# Channel 1 බාහිර PTP TX EOPs ගණන : 0
# Channel 1 බාහිර MISC TX SOPs ගණන : 0
# Channel 1 බාහිර MISC TX EOPs ගණන : 0
# Channel 1 බාහිර RX SOP ගණන : 0
# Channel 1 බාහිර RX EOPs ගණන : 0
# Channel 2 eCPRI TX SOPs ගණන : 0
# Channel 2 eCPRI TX EOPs ගණන : 0
# Channel 2 eCPRI RX SOPs ගණන : 0
# Channel 2 eCPRI RX EOPs ගණන : 0
# Channel 2 බාහිර PTP TX SOPs ගණන : 0
# Channel 2 බාහිර PTP TX EOPs ගණන : 0
# Channel 2 බාහිර MISC TX SOPs ගණන : 0
# Channel 2 බාහිර MISC TX EOPs ගණන : 0
# Channel 2 බාහිර RX SOP ගණන : 0
# Channel 2 බාහිර RX EOPs ගණන : 0
# Channel 3 eCPRI TX SOPs ගණන : 0
# Channel 3 eCPRI TX EOPs ගණන : 0
# Channel 3 eCPRI RX SOPs ගණන : 0
# Channel 3 eCPRI RX EOPs ගණන : 0
# Channel 3 බාහිර PTP TX SOPs ගණන : 0
# Channel 3 බාහිර PTP TX EOPs ගණන : 0
# Channel 3 බාහිර MISC TX SOPs ගණන : 0
# Channel 3 බාහිර MISC TX EOPs ගණන : 0
# Channel 3 බාහිර RX SOP ගණන : 0
# Channel 3 බාහිර RX EOPs ගණන : 0
#_________________________________________________________
# තොරතුරු: පැකට් සම්ප්රේෂණය කිරීම ආරම්භ කරන්න
#_________________________________________________________
#
#
# තොරතුරු: Channel 0 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 0 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 1 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 2 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 3 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
#_________________________________________________________
# තොරතුරු: පැකට් සම්ප්රේෂණය කිරීම නවත්වන්න
#_________________________________________________________
#
#
#_________________________________________________________
# තොරතුරු: පැකට් සංඛ්යාලේඛන පරීක්ෂා කිරීම
#_________________________________________________________
#
#
# Channel 0 eCPRI SOP සම්ප්රේෂණය: 300
# Channel 0 eCPRI EOP සම්ප්රේෂණය: 300
# Channel 0 eCPRI SOPs ලැබී ඇත: 300
# Channel 0 eCPRI EOPs ලැබී ඇත: 300
# Channel 0 eCPRI දෝෂය වාර්තා විය: 0
# Channel 0 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 0 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 0 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 0 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 0 ට ලැබුණු බාහිර SOPs: 132
# Channel 0 බාහිර EOPs ලැබී ඇත: 132
# Channel 0 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 0 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 0 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 0 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 0 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 0 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
# Channel 1 eCPRI SOP සම්ප්රේෂණය: 300
# Channel 1 eCPRI EOP සම්ප්රේෂණය: 300
# Channel 1 eCPRI SOPs ලැබී ඇත: 300
# Channel 1 eCPRI EOPs ලැබී ඇත: 300
# Channel 1 eCPRI දෝෂය වාර්තා විය: 0
# Channel 1 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 1 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 1 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 1 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 1 ට ලැබුණු බාහිර SOPs: 132
# Channel 1 බාහිර EOPs ලැබී ඇත: 132
# Channel 1 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 1 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 1 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 1 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 1 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 1 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
# Channel 2 eCPRI SOP සම්ප්රේෂණය: 300
# Channel 2 eCPRI EOP සම්ප්රේෂණය: 300
# Channel 2 eCPRI SOPs ලැබී ඇත: 300
# Channel 2 eCPRI EOPs ලැබී ඇත: 300
# Channel 2 eCPRI දෝෂය වාර්තා විය: 0
# Channel 2 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 2 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 2 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 2 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 2 ට ලැබුණු බාහිර SOPs: 132
# Channel 2 බාහිර EOPs ලැබී ඇත: 132
# Channel 2 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 2 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 2 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 2 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 2 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 2 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
# Channel 3 eCPRI SOP සම්ප්රේෂණය: 300
# Channel 3 eCPRI EOP සම්ප්රේෂණය: 300
# Channel 3 eCPRI SOPs ලැබී ඇත: 300
# Channel 3 eCPRI EOPs ලැබී ඇත: 300
# Channel 3 eCPRI දෝෂය වාර්තා විය: 0
# Channel 3 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 3 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 3 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 3 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 3 ට ලැබුණු බාහිර SOPs: 132
# Channel 3 බාහිර EOPs ලැබී ඇත: 132
# Channel 3 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 3 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 3 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 3 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 3 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 3 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
#_________________________________________________________
# තොරතුරු: පරීක්ෂණය සමත් විය
#
#_________________________________________________________
Sample ප්රතිදානය: පහත එස්ample ප්රතිදානය eCPRI IP නිර්මාණයේ සාර්ථක සමාකරණ පරීක්ෂණ ධාවනයක් පෙන්නුම් කරයිample සමඟ IWF විශේෂාංගය සක්රීය කර ඇති නාලිකා ගණන = 4:
# CPRI TX සබල කරන්න
# CPRI නාලිකාව 0 L1_CONFIG : 00000001
# CPRI නාලිකාව 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI නාලිකාව 1 L1_CONFIG : 00000001
# CPRI නාලිකාව 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI නාලිකාව 2 L1_CONFIG : 00000001
# CPRI නාලිකාව 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI නාලිකාව 3 L1_CONFIG : 00000001
# CPRI නාලිකාව 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX පෙළගැස්ම සඳහා රැඳී සිටිමින්
# RX deskew අගුලු දමා ඇත
# RX මංතීරු පෙළගැස්ම අගුලු දමා ඇත
# සබැඳි දෝෂය පැහැදිලි වන තෙක් බලා සිටීම
# සබැඳි දෝෂය පැහැදිලිය
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 0: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 0: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 0: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 0: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 0: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 0: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 0: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 0: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 0: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 0: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 0: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 0: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 0: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 0: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 0: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 0: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 0: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 0: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 0: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 0: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 0: 2
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 1: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 1: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 1: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 1: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 1: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 1: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 1: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 1: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 1: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 1: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 1: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 1: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 1: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 1: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 1: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 1: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 1: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 1: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 1: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 1: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 1: 2
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 2: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 2: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 2: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 2: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 2: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 2: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 2: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 2: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 2: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 2: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 2: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 2: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 2: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 2: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 2: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 2: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 2: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 2: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 2: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 2: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 2: 2
# MAC මූලාශ්ර ලිපිනය 0_0 නාලිකාව 3: 33445566
# MAC මූලාශ්ර ලිපිනය 0_1 නාලිකාව 3: 00007788
# MAC ගමනාන්ත ලිපිනය 0_0 නාලිකාව 3: 33445566
# MAC ගමනාන්ත ලිපිනය 0_1 නාලිකාව 3: 00007788
# MAC ගමනාන්ත ලිපිනය 1_0 නාලිකාව 3: 11223344
# MAC ගමනාන්ත ලිපිනය 1_1 නාලිකාව 3: 00005566
# MAC ගමනාන්ත ලිපිනය 2_0 නාලිකාව 3: 22334455
# MAC ගමනාන්ත ලිපිනය 2_1 නාලිකාව 3: 00006677
# MAC ගමනාන්ත ලිපිනය 3_0 නාලිකාව 3: 44556677
# MAC ගමනාන්ත ලිපිනය 3_1 නාලිකාව 3: 00008899
# MAC ගමනාන්ත ලිපිනය 4_0 නාලිකාව 3: 66778899
# MAC ගමනාන්ත ලිපිනය 4_1 නාලිකාව 3: 0000aabb
# MAC ගමනාන්ත ලිපිනය 5_0 නාලිකාව 3: 778899aa
# MAC ගමනාන්ත ලිපිනය 5_1 නාලිකාව 3: 0000bbcc
# MAC ගමනාන්ත ලිපිනය 6_0 නාලිකාව 3: 8899aabb
# MAC ගමනාන්ත ලිපිනය 6_1 නාලිකාව 3: 0000ccdd
# MAC ගමනාන්ත ලිපිනය 7_0 නාලිකාව 3: 99aabbcc
# MAC ගමනාන්ත ලිපිනය 7_1 නාලිකාව 3: 0000ddee
# eCPRI පොදු පාලන නාලිකාව 3: 00000041
# බාධා කිරීම් eCPRI පොදු පාලන නාලිකාව 3: 00000241 සබල කරන්න
# eCPRI අනුවාදය නාලිකාව 3: 2
# CPRI HSYNC සම්බන්ධක තත්ත්වය ලබා ගැනීම සඳහා රැඳී සිටීම
# CPRI චැනල් 0 HSYNC තත්ත්වය සාක්ෂාත් කර ඇත
# CPRI චැනල් 1 HSYNC තත්ත්වය සාක්ෂාත් කර ඇත
# CPRI චැනල් 2 HSYNC තත්ත්වය සාක්ෂාත් කර ඇත
# CPRI චැනල් 3 HSYNC තත්ත්වය සාක්ෂාත් කර ඇත
# 11100250000 nego_bitrate_සම්පූර්ණ කිරීමට 1ක් ලියන්න
# 11100650000 ඡන්ද විමසීම PROT_VER නාලිකාව 0
#_________________________________________________________
# 11100850000 ඡන්ද ලේඛනය: a0000010
#_________________________________________________________
# 13105050000 ඡන්ද විමසීම PROT_VER නාලිකාව 1
#_________________________________________________________
# 13105250000 ඡන්ද ලේඛනය: a0800010
#_________________________________________________________
# 13105950000 ඡන්ද විමසීම PROT_VER නාලිකාව 2
#_________________________________________________________
# 13106150000 ඡන්ද ලේඛනය: a1000010
#_________________________________________________________
# 13106850000 ඡන්ද විමසීම PROT_VER නාලිකාව 3
#_________________________________________________________
# 13107050000 ඡන්ද ලේඛනය: a1800010
#_________________________________________________________
# 13107750000 nego_protol_සම්පූර්ණ කිරීමට 1ක් ලියන්න
# 13108150000 ඡන්ද විමසීම CM_STATUS.rx_fast_cm_ptr_valid Channel 0
#_________________________________________________________
# 13108350000 ඡන්ද ලේඛනය: a0000020
#_________________________________________________________
# 14272050000 ඡන්ද විමසීම CM_STATUS.rx_fast_cm_ptr_valid Channel 1
#_________________________________________________________
# 14272250000 ඡන්ද ලේඛනය: a0800020
#_________________________________________________________
# 14272950000 ඡන්ද විමසීම CM_STATUS.rx_fast_cm_ptr_valid Channel 2
#_________________________________________________________
# 14273150000 ඡන්ද ලේඛනය: a1000020
#_________________________________________________________
# 14273850000 ඡන්ද විමසීම CM_STATUS.rx_fast_cm_ptr_valid Channel 3
#_________________________________________________________
# 14274050000 ඡන්ද ලේඛනය: a1800020
#_________________________________________________________
# 14274750000 nego_cm_සම්පූර්ණ වීමට 1ක් ලියන්න
# 14275150000 nego_vss_සම්පූර්ණ කිරීමට 1ක් ලියන්න
# CPRI චැනල් 0 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F ලබා ගැනීම සඳහා රැඳී සිටීම
# CPRI චැනල් 0 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F සාක්ෂාත් කර ඇත
# CPRI චැනල් 1 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F ලබා ගැනීම සඳහා රැඳී සිටීම
# CPRI චැනල් 1 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F සාක්ෂාත් කර ඇත
# CPRI චැනල් 2 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F ලබා ගැනීම සඳහා රැඳී සිටීම
# CPRI චැනල් 2 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F සාක්ෂාත් කර ඇත
# CPRI චැනල් 3 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F ලබා ගැනීම සඳහා රැඳී සිටීම
# CPRI චැනල් 3 HSYNC සහ ආරම්භක අනුපිළිවෙල FSM STATE_F සාක්ෂාත් කර ඇත
#_________________________________________________________
# තොරතුරු: යළි පිහිටුවීමේ තත්ත්වයෙන් බැහැරයි
#_________________________________________________________
#
#
# Channel 0 eCPRI TX SOPs ගණන : 0
# Channel 0 eCPRI TX EOPs ගණන : 0
# Channel 0 eCPRI RX SOPs ගණන : 0
# Channel 0 eCPRI RX EOPs ගණන : 0
# Channel 0 බාහිර PTP TX SOPs ගණන : 0
# Channel 0 බාහිර PTP TX EOPs ගණන : 0
# Channel 0 බාහිර MISC TX SOPs ගණන : 0
# Channel 0 බාහිර MISC TX EOPs ගණන : 0
# Channel 0 බාහිර RX SOP ගණන : 0
# Channel 0 බාහිර RX EOPs ගණන : 0
# Channel 1 eCPRI TX SOPs ගණන : 0
# Channel 1 eCPRI TX EOPs ගණන : 0
# Channel 1 eCPRI RX SOPs ගණන : 0
# Channel 1 eCPRI RX EOPs ගණන : 0
# Channel 1 බාහිර PTP TX SOPs ගණන : 0
# Channel 1 බාහිර PTP TX EOPs ගණන : 0
# Channel 1 බාහිර MISC TX SOPs ගණන : 0
# Channel 1 බාහිර MISC TX EOPs ගණන : 0
# Channel 1 බාහිර RX SOP ගණන : 0
# Channel 1 බාහිර RX EOPs ගණන : 0
# Channel 2 eCPRI TX SOPs ගණන : 0
# Channel 2 eCPRI TX EOPs ගණන : 0
# Channel 2 eCPRI RX SOPs ගණන : 0
# Channel 2 eCPRI RX EOPs ගණන : 0
# Channel 2 බාහිර PTP TX SOPs ගණන : 0
# Channel 2 බාහිර PTP TX EOPs ගණන : 0
# Channel 2 බාහිර MISC TX SOPs ගණන : 0
# Channel 2 බාහිර MISC TX EOPs ගණන : 0
# Channel 2 බාහිර RX SOP ගණන : 0
# Channel 2 බාහිර RX EOPs ගණන : 0
# Channel 3 eCPRI TX SOPs ගණන : 0
# Channel 3 eCPRI TX EOPs ගණන : 0
# Channel 3 eCPRI RX SOPs ගණන : 0
# Channel 3 eCPRI RX EOPs ගණන : 0
# Channel 3 බාහිර PTP TX SOPs ගණන : 0
# Channel 3 බාහිර PTP TX EOPs ගණන : 0
# Channel 3 බාහිර MISC TX SOPs ගණන : 0
# Channel 3 බාහිර MISC TX EOPs ගණන : 0
# Channel 3 බාහිර RX SOP ගණන : 0
# Channel 3 බාහිර RX EOPs ගණන : 0
#_________________________________________________________
# තොරතුරු: පැකට් සම්ප්රේෂණය කිරීම ආරම්භ කරන්න
#_________________________________________________________
#
#
# තොරතුරු: Channel 0 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 0 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 0 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 1 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 1 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 2 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 2 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI TX ගමනාගමනය සම්පූර්ණ කිරීම සඳහා රැඳී සිටිමින්
# තොරතුරු: Channel 3 eCPRI TX ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI External TX PTP ගමනාගමනය සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI බාහිර TX PTP ගමනාගමන හුවමාරුව සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI External TX Misc Traffic Transfer කිරීම සඳහා රැඳී සිටිමින්
සම්පූර්ණයි
# තොරතුරු: Channel 3 eCPRI External TX Misc රථවාහන හුවමාරුව සම්පූර්ණයි
#_________________________________________________________
# තොරතුරු: පැකට් සම්ප්රේෂණය කිරීම නවත්වන්න
#_________________________________________________________
#
#
#_________________________________________________________
# තොරතුරු: පැකට් සංඛ්යාලේඛන පරීක්ෂා කිරීම
#_________________________________________________________
#
#
# Channel 0 eCPRI SOP සම්ප්රේෂණය: 50
# Channel 0 eCPRI EOP සම්ප්රේෂණය: 50
# Channel 0 eCPRI SOPs ලැබී ඇත: 50
# Channel 0 eCPRI EOPs ලැබී ඇත: 50
# Channel 0 eCPRI දෝෂය වාර්තා විය: 0
# Channel 0 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 0 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 0 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 0 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 0 ට ලැබුණු බාහිර SOPs: 132
# Channel 0 බාහිර EOPs ලැබී ඇත: 132
# Channel 0 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 0 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 0 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 0 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 0 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 0 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
# Channel 1 eCPRI SOP සම්ප්රේෂණය: 50
# Channel 1 eCPRI EOP සම්ප්රේෂණය: 50
# Channel 1 eCPRI SOPs ලැබී ඇත: 50
# Channel 1 eCPRI EOPs ලැබී ඇත: 50
# Channel 1 eCPRI දෝෂය වාර්තා විය: 0
# Channel 1 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 1 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 1 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 1 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 1 ට ලැබුණු බාහිර SOPs: 132
# Channel 1 බාහිර EOPs ලැබී ඇත: 132
# Channel 1 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 1 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 1 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 1 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 1 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 1 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
# Channel 2 eCPRI SOP සම්ප්රේෂණය: 50
# Channel 2 eCPRI EOP සම්ප්රේෂණය: 50
# Channel 2 eCPRI SOPs ලැබී ඇත: 50
# Channel 2 eCPRI EOPs ලැබී ඇත: 50
# Channel 2 eCPRI දෝෂය වාර්තා විය: 0
# Channel 2 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 2 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 2 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 2 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 2 ට ලැබුණු බාහිර SOPs: 132
# Channel 2 බාහිර EOPs ලැබී ඇත: 132
# Channel 2 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 2 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 2 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 2 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 2 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 2 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
# Channel 3 eCPRI SOP සම්ප්රේෂණය: 50
# Channel 3 eCPRI EOP සම්ප්රේෂණය: 50
# Channel 3 eCPRI SOPs ලැබී ඇත: 50
# Channel 3 eCPRI EOPs ලැබී ඇත: 50
# Channel 3 eCPRI දෝෂය වාර්තා විය: 0
# Channel 3 බාහිර PTP SOP සම්ප්රේෂණය: 4
# Channel 3 බාහිර PTP EOP සම්ප්රේෂණය: 4
# Channel 3 බාහිර MISC SOP සම්ප්රේෂණය: 128
# Channel 3 බාහිර MISC EOP සම්ප්රේෂණය: 128
# Channel 3 ට ලැබුණු බාහිර SOPs: 132
# Channel 3 බාහිර EOPs ලැබී ඇත: 132
# Channel 3 ට ලැබුණු බාහිර PTP SOPs: 4
# Channel 3 බාහිර PTP EOPs ලැබී ඇත: 4
# Channel 3 බාහිර MISC SOPs ලැබී ඇත: 128
# Channel 3 බාහිර MISC EOPs ලැබී ඇත: 128
# Channel 3 බාහිර දෝෂය වාර්තා විය: 0
# චැනල් 3 බාහිර වේලාවamp ඇඟිලි සලකුණු දෝෂය වාර්තා විය: 0
#_________________________________________________________
# තොරතුරු: පරීක්ෂණය සමත් විය
#
#_________________________________________________________
1.4.1. Ethernet IP වෙත Dynamic Reconfiguration සක්රීය කිරීම
පෙරනිමියෙන්, ගතික ප්රතිනිර්මාණය eCPRI IP නිර්මාණයේ අබල කර ඇත.ample සහ එය අදාළ වන්නේ Intel Stratix 10 (E-tile සහ H-tile) සහ Intel Agilex 7 (E-tile) නිර්මාණ ex සඳහා පමණිamples.
- test_wrapper.sv හි උත්පාදනය කරන ලද පහත පේළිය සොයන්නample_dir>/simulation/testbench බහලුම: පරාමිතිය ETHERNET_DR_EN = 0
- අගය 0 සිට 1 දක්වා වෙනස් කරන්න: පරාමිතිය ETHERNET_DR_EN = 1
- උත්පාදනය කරන ලද එකම භාවිතා කරමින් අනුකරණය නැවත ධාවනය කරන්නample නිර්මාණ නාමාවලිය.
1.5 සම්පාදනය-පමණක් ව්යාපෘතිය සම්පාදනය කිරීම
සම්පාදනය-එකම ex සම්පාදනය කිරීමටample ව්යාපෘතිය, මෙම පියවර අනුගමනය කරන්න:
- සම්පාදනය නිර්මාණය සහතික කරන්න example පරම්පරාව සම්පූර්ණයි.
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය තුළ, Intel Quartus Prime Pro සංස්කරණය ව්යාපෘතිය විවෘත කරන්නample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- සැකසුම් මෙනුවෙහි, Start Compilation ක්ලික් කරන්න.
- සාර්ථක සම්පාදනය කිරීමෙන් පසුව, කාලය සහ සම්පත් භාවිතය සඳහා වාර්තා ඔබේ Intel Quartus Prime Pro සංස්කරණ සැසියේ ඇත. සැකසීම් ➤ සම්පාදන වාර්තාව වෙත යන්න view සම්පාදනය පිළිබඳ සවිස්තර වාර්තාව.
අදාළ තොරතුරු
බ්ලොක් පාදක නිර්මාණ ගලා යයි
1.6 නිර්මාණය සම්පාදනය කිරීම සහ වින්යාස කිරීම Exampදෘඪාංගයේ le
දෘඪාංග නිර්මාණය සම්පාදනය කිරීමට example සහ එය ඔබගේ Intel උපාංගයේ වින්යාස කරන්න, මෙම පියවර අනුගමනය කරන්න:
- දෘඪාංග නිර්මාණය සහතික කරන්න example පරම්පරාව සම්පූර්ණයි.
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ, Intel Quartus Prime ව්යාපෘතිය විවෘත කරන්නample_dir>/synthesis/quartus/ecpri_ed.qpf.
- සැකසුම් මෙනුවෙහි, Start Compilation ක්ලික් කරන්න.
- සාර්ථක සම්පාදනය කිරීමෙන් පසුව, a .sof file තුල පවතීample_dir>/ සංස්ලේෂණය/ක්වාටස්/ප්රතිදානය_fileගේ නාමාවලිය. දෘඪාංග නිර්මාණය හිටපු වැඩසටහන් කිරීමට මෙම පියවර අනුගමනය කරන්නampIntel FPGA උපාංගය මත le:
ඒ. සංවර්ධන කට්ටලය සත්කාරක පරිගණකයට සම්බන්ධ කරන්න.
බී. සංවර්ධන කට්ටලයේ කොටසක් වන ඔරලෝසු පාලන යෙදුම දියත් කර, හිටපු සැලසුම් සඳහා නව සංඛ්යාත සකසන්නample. ඔරලෝසු පාලන යෙදුමේ සංඛ්යාත සැකසුම පහත දැක්වේ:
• ඔබ Intel Stratix 10 GX SI සංවර්ධන කට්ටලය මත ඔබේ නිර්මාණය ඉලක්ක කරන්නේ නම්:
- U5, OUT8- 100 MHz
- U6, OUT3- 322.265625 MHz
- U6, OUT4 සහ OUT5- 307.2 MHz
• ඔබ Intel Stratix 10 TX SI සංවර්ධන කට්ටලය මත ඔබේ නිර්මාණය ඉලක්ක කරන්නේ නම්:
— U1, CLK4- 322.265625 MHz (25G දත්ත අනුපාතය සඳහා)
— U6- 156.25 MHz (10G දත්ත අනුපාතය සඳහා)
- U3, OUT3- 100 MHz
- U3, OUT8- 153.6 MHz
• ඔබ ඔබේ නිර්මාණය Intel Agilex 7 F-Series Transceiver-SoC සංවර්ධන කට්ටලය මත ඉලක්ක කරන්නේ නම්:
- U37, CLK1A- 100 MHz
- U34, CLK0P- 156.25 MHz
- U38, OUT2_P- 153.6 MHz
• ඔබ Intel Arria 10 GX SI සංවර්ධන කට්ටලය මත ඔබේ නිර්මාණය ඉලක්ක කරන්නේ නම්:
- U52, CLK0- 156.25 MHz
- U52, CLK1- 250 MHz
- U52, CLK3- 125 MHz
- Y5- 307.2 MHz
- Y6- 322.265625 MHz
c. මෙවලම් මෙනුවෙහි, ක්රමලේඛකයා ක්ලික් කරන්න.
ඈ ක්රමලේඛකයා තුළ, දෘඪාංග සැකසුම ක්ලික් කරන්න.
ඊ. ක්රමලේඛන උපාංගයක් තෝරන්න.
f. ඔබේ Intel Quartus Prime Pro සංස්කරණ සැසිය සම්බන්ධ කළ හැකි සංවර්ධන කට්ටලය තෝරා එක් කරන්න.
g. මාදිලිය J ලෙස සකසා ඇති බව සහතික කර ගන්නTAG.
h. උපාංගය තෝරන්න සහ උපාංගය එකතු කරන්න ක්ලික් කරන්න. ක්රමලේඛකයා ඔබගේ පුවරුවේ ඇති උපාංග අතර සම්බන්ධතා වල බ්ලොක් රූප සටහනක් පෙන්වයි.
මම. .sof පූරණය කරන්න file ඔබගේ අදාල Intel FPGA උපාංගය වෙත.
j. Executable සහ Linking Format (.elf) පූරණය කරන්න file ඔබේ Intel Stratix 10 වෙත හෝ
Intel Agilex 7 උපාංගය 25G සහ 10G අතර දත්ත අනුපාතය මාරු කිරීම සඳහා ගතික ප්රතිසංවිධානය (DR) සිදු කිරීමට ඔබ අදහස් කරන්නේ නම්. Executable සහ Linking Format (.elf) ක්රමලේඛනය උත්පාදනය සහ බාගත කිරීමේ උපදෙස් අනුගමනය කරන්න File .elf ජනනය කිරීමට 38 පිටුවේ file.
කේ. ඔබගේ .sof සහිත පේළියේ, .sof සඳහා වන වැඩසටහන/වින්යාස කිරීමේ කොටුව සලකුණු කරන්න. file.
එල්. Start ක්ලික් කරන්න.
අදාළ තොරතුරු
- බ්ලොක්-පාදක නිර්මාණය
- Intel Quartus Prime Programmer පරිශීලක මාර්ගෝපදේශය
- පද්ධති කොන්සෝලය සමඟ සැලසුම් විශ්ලේෂණය කිරීම සහ දෝෂහරණය කිරීම
- Intel Agilex 7 F-Series Transceiver-SoC සංවර්ධන කට්ටල පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide
1.7 eCPRI Intel FPGA IP නිර්මාණය පරීක්ෂා කිරීම Example
ඔබ eCPRI Intel FPGA IP core නිර්මාණය සම්පාදනය කිරීමෙන් පසු example සහ එය ඔබගේ Intel FPGA උපාංගය මත වින්යාස කරන්න, ඔබට IP හරය සහ එහි එබ්බවූ Native PHY IP core රෙජිස්ටර් ක්රමලේඛනය කිරීමට System Console භාවිතා කළ හැක.
පද්ධති කොන්සෝලය සක්රිය කිරීමට සහ දෘඪාංග නිර්මාණය පරීක්ෂා කිරීමට example, මෙම පියවර අනුගමනය කරන්න:
- දෘඪාංග නිර්මාණයෙන් පසු හිටපුample වින්යාස කර ඇත්තේ Intel උපාංගයේ, Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ, මෙවලම් මෙනුවේ, System Debugging Tools ➤ System Console ක්ලික් කරන්න.
- Tcl Console කවුළුව තුළ, නාමාවලිය වෙනස් කරන්නample_dir>/ synthesis/quartus/hardware_test සහ J වෙත සම්බන්ධතාවයක් විවෘත කිරීමට පහත විධානය ටයිප් කරන්න.TAG මාස්ටර් සහ පරීක්ෂණය ආරම්භ කරන්න:
• Intel Agilex 7 මෝස්තර සඳහා ecpri_agilex.tcl මූලාශ්රය
• Intel Stratix 10 මෝස්තර සඳහා ecpri_s10.tcl මූලාශ්රය
• Intel Arria 10 මෝස්තර සඳහා ecpri_a10.tcl මූලාශ්රය - ඔබේ Intel Stratix 10 හෝ Intel Agilex 7 E-tile උපාංග විචලනයන් සඳහා, ඔබ .sof වැඩසටහන්ගත කිරීමෙන් පසු අභ්යන්තර හෝ බාහිර ලූප්බැක් විධානයක් සිදු කළ යුතුය. file:
ඒ. flow.c හි TEST_MODE විචල්යය වෙනස් කරන්න file ලූප්බැක් මාදිලිය තේරීමට:TEST_MODE ක්රියාව 0 අනුක්රමික ලූප්බැක් අනුකරණය සඳහා පමණක් සක්රීය කරයි 1 අනුක්රමික ලූප්බැක් දෘඩාංග සඳහා පමණක් සක්රීය කරන්න 2 අනුක්රමික ලූප්බැක් සහ ක්රමාංකනය 3 ක්රමාංකනය පමණි ඔබ Flow.c වෙනස් කරන සෑම විටම NIOS II මෘදුකාංගය නැවත සම්පාදනය කර නැවත උත්පාදනය කළ යුතුය file.
බී. .elf නැවත උත්පාදනය කරන්න file සහ තවත් වරක් පුවරුව වෙත වැඩසටහන් කර .sof නැවත වැඩසටහන්ගත කරන්න file. - පද්ධති කොන්සෝල ස්ක්රිප්ටයේ සහය දක්වන විධාන හරහා සැලසුම් ක්රියාකාරිත්වය පරීක්ෂා කරන්න. පද්ධති කොන්සෝලය ස්ක්රිප්ට් මඟින් සංඛ්යාලේඛන කියවීම සඳහා ප්රයෝජනවත් විධානයන් සහ සැලසුම සක්රීය කරන විශේෂාංග සපයයි.
වගුව 4. පද්ධති කොන්සෝල ස්ක්රිප්ට් විධාන
| විධානය | විස්තරය |
| loop_on | TX සිට RX දක්වා අභ්යන්තර අනුක්රමික ලූප්බැක් සබල කරයි. Intel Stratix 10 H-tile සහ Intel Arria 10 උපාංග සඳහා පමණක් භාවිත කරන්න. |
| loop_off | TX සිට RX අභ්යන්තර අනුක්රමික ලූප්බැක් අබල කරයි. Intel Stratix 10 H-tile සහ Intel Arria 10 උපාංග සඳහා පමණක් භාවිත කරන්න. |
| සබැඳිය _ init _ int _1pbk | සම්ප්රේෂකය තුළ TX සිට RX දක්වා අභ්යන්තර අනුක්රමික පුඩුවක් සක්රීය කරන අතර සම්ප්රේෂක ක්රමාංකන ප්රවාහය සිදු කරයි. Intel Stratix 10 E-tile සහ Intel Agilex 7 E-tile මෝස්තර සඳහා පමණක් අදාළ වේ. |
| සබැඳිය _ init _ ext _1pbk | TX සිට RX දක්වා බාහිර ලූප්බැක් සක්රීය කර සම්ප්රේෂක ක්රමාංකන ප්රවාහය සිදු කරයි. Intel Stratix 10 E-tile සහ Intel Agilex 7 E-tile මෝස්තර සඳහා පමණක් අදාළ වේ. |
| රථවාහන gen disable | රථවාහන උත්පාදක යන්ත්රය සහ පරීක්ෂක අක්රිය කරයි. |
| chkmac සංඛ්යා ලේඛන | Ethernet MAC සඳහා සංඛ්යාලේඛන පෙන්වයි. |
| කියවන්න_ පරීක්ෂණ_ සංඛ්යාලේඛන | රථවාහන උත්පාදක සහ පරීක්ෂක සඳහා දෝෂ සංඛ්යාලේඛන පෙන්වන්න. |
| ext _ අඛණ්ඩ _ මාදිලිය _en | සම්පූර්ණ සැලසුම් පද්ධතිය නැවත සකසයි, සහ අඛණ්ඩ රථවාහන පැකට් උත්පාදනය කිරීමට රථවාහන උත්පාදක යන්ත්රයට හැකියාව ලබා දෙයි. |
| dr _ 25g _ to _ log _etile | Ethernet MAC හි දත්ත අනුපාතය 25G සිට 10G දක්වා මාරු කරයි. Intel Stratix 10 E-tile සහ Intel Agilex 7 E-tile උපාංග සඳහා පමණක් භාවිතා කරන්න. |
| dr_25g_to_10g_htile | Ethernet MAC හි දත්ත අනුපාතය 25G සිට 10G දක්වා මාරු කරයි. H-ටයිල් උපාංග සඳහා පමණක් භාවිතා කරන්න |
| dr_10g_to_25g_etile | Ethernet MAC හි දත්ත අනුපාතය 10G සිට 25G දක්වා මාරු කරයි. Intel Stratix 10 E-tile සහ Intel Agilex 7 E-tile උපාංග සඳහා පමණක් භාවිතා කරන්න. |
| dr _ 25g _ to _ log _htile | Ethernet MAC හි දත්ත අනුපාතය 10G සිට 25G දක්වා මාරු කරයි. H-tile උපාංග සඳහා පමණක් භාවිතා කරන්න. |
පහත එස්ample ප්රතිදානය සාර්ථක පරීක්ෂණ ධාවනයක් පෙන්නුම් කරයි:
පද්ධති කොන්සෝල මුද්රණය (නාලිකා ගණන = 1)
නාලිකාව 0 EXT PTP TX SOP ගණන: 256
නාලිකාව 0 EXT PTP TX EOP ගණන: 256
නාලිකාව 0 EXT MISC TX SOP ගණන: 36328972
නාලිකාව 0 EXT MISC TX EOP ගණන: 36369511
නාලිකාව 0 EXT RX SOP ගණන: 36410364
නාලිකාව 0 EXT RX EOP ගණන: 36449971
චැනල් 0 EXT පරීක්ෂක දෝෂ: 0
චැනල් 0 EXT පරීක්ෂක දෝෂ ගණන: 0
නාලිකාව 0 EXT PTP ඇඟිලි සලකුණු දෝෂ: 0
නාලිකාව 0 EXT PTP ඇඟිලි සලකුණු දෝෂ ගණන: 0
නාලිකාව 0 TX SOP ගණන: 1337760
නාලිකාව 0 TX EOP ගණන: 1339229
නාලිකාව 0 RX SOP ගණන: 1340728
නාලිකාව 0 RX EOP ගණන: 1342555
නාලිකා 0 පරීක්ෂක දෝෂ: 0
නාලිකා 0 පරීක්ෂක දෝෂ ගණන: 0
=====================================================================
=============
නාලිකාව 0 (Rx) සඳහා Ethernet MAC සංඛ්යාලේඛන
=====================================================================
=============
ඛණ්ඩනය වූ රාමු: 0
ජැබර්ඩ් රාමු: 0
FCS Err රාමු සහිත නිවැරදි ප්රමාණය : 0
බහු විකාශන දත්ත දෝෂ රාමු : 0
විකාශන දත්ත දෝෂ රාමු : 0
යුනිකාස්ට් දත්ත දෝෂ රාමු : 0
64 බයිට් රාමු : 3641342
65 – 127 බයිට් රාමු : 0
128 – 255 බයිට් රාමු : 37404809
256 – 511 බයිට් රාමු : 29128650
512 – 1023 බයිට් රාමු : 0
1024 – 1518 බයිට් රාමු : 0
1519 – MAX බයිට් රාමු : 0
> උපරිම බයිට් රාමු: 0
බහු විකාශන දත්ත හරි රාමුව : 70174801
විකාශන දත්ත හරි රාමුව : 0
යුනිකාස්ට් දත්ත හරි රාමු : 0
බහු විකාශන පාලන රාමු : 0
විකාශන පාලන රාමු : 0
යුනිකාස්ට් පාලන රාමු: 0
විරාම පාලන රාමු : 0
පේලෝඩ් අෂ්ටක හරි : 11505935812
රාමු අෂ්ටක හරි : 12918701444
Rx උපරිම රාමු දිග: 1518
FCS Err රාමුව සහිත ඕනෑම ප්රමාණය: 0
බහු විකාශන පාලන දෝෂ රාමුව : 0
විකාශන පාලන දෝෂ රාමුව : 0
යුනිකාස්ට් පාලන දෝෂ රාමු: 0
විරාම පාලක දෝෂ රාමු : 0
Rx රාමුව ආරම්භය : 70174801
පහත දැක්වෙන්නේ එස්amp25G සිට 10G DR පරීක්ෂණ ධාවනය සඳහා ප්රතිදානය:
පද්ධති කොන්සෝල මුද්රණය (25G සිට 10G DR E-ටයිල්)
Ethernet 25G -> 10G සඳහා Dynamic Reconfiguration ආරම්භ කරන්න
DR සාර්ථක 25G -> 10G
RX PHY රෙජිස්ටර් ප්රවේශය: ඔරලෝසු සංඛ්යාත පරීක්ෂා කිරීම (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY තත්ත්ව ඡන්ද විමසීම
Rx සංඛ්යාත අගුළු තත්ත්වය 0x0000000f
මැක් ඔරලෝසුව හොඳ තත්ත්වයේද? 0x00000001
Rx රාමු දෝෂයක්ද? 0x00000000
Rx PHY සම්පූර්ණයෙන්ම පෙළගස්වා තිබේද? 0x00000001
ඡන්ද විමසීම RX PHY නාලිකාව 0
RX PHY Channel 0 ක්රියාත්මකයි!
පද්ධති කොන්සෝල මුද්රණය (25G සිට 10G DR H-ටයිල්)
Ethernet 25G -> 10G සඳහා Dynamic Reconfiguration ආරම්භ කරන්න
DR සාර්ථක 25G -> 10G
RX PHY රෙජිස්ටර් ප්රවේශය: ඔරලෝසු සංඛ්යාත පරීක්ෂා කිරීම (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY තත්ත්ව ඡන්ද විමසීම
Rx සංඛ්යාත අගුළු තත්ත්වය 0x00000001
මැක් ඔරලෝසුව හොඳ තත්ත්වයේද? 0x00000007
Rx රාමු දෝෂයක්ද? 0x00000000
Rx PHY සම්පූර්ණයෙන්ම පෙළගස්වා තිබේද? 0x00000001
ඡන්ද විමසීම RX PHY නාලිකාව 0
RX PHY Channel 0 ක්රියාත්මකයි!
පද්ධති කොන්සෝල මුද්රණය (10G සිට 25G DR E-ටයිල්)
Ethernet 10G -> 25G සඳහා Dynamic Reconfiguration ආරම්භ කරන්න
DR සාර්ථක 10G -> 25G
RX PHY රෙජිස්ටර් ප්රවේශය: ඔරලෝසු සංඛ්යාත පරීක්ෂා කිරීම (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY තත්ත්ව ඡන්ද විමසීම
Rx සංඛ්යාත අගුළු තත්ත්වය 0x0000000f
මැක් ඔරලෝසුව හොඳ තත්ත්වයේද? 0x00000001
Rx රාමු දෝෂයක්ද? 0x00000000
Rx PHY සම්පූර්ණයෙන්ම පෙළගස්වා තිබේද? 0x00000001
ඡන්ද විමසීම RX PHY නාලිකාව 0
RX PHY Channel 0 ක්රියාත්මකයි!
පද්ධති කොන්සෝල මුද්රණය (10G සිට 25G DR H-ටයිල්)
Ethernet 10G -> 25G සඳහා Dynamic Reconfiguration ආරම්භ කරන්න
DR සාර්ථක 10G -> 25G
RX PHY රෙජිස්ටර් ප්රවේශය: ඔරලෝසු සංඛ්යාත පරීක්ෂා කිරීම (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY තත්ත්ව ඡන්ද විමසීම
Rx සංඛ්යාත අගුළු තත්ත්වය 0x00000001
මැක් ඔරලෝසුව හොඳ තත්ත්වයේද? 0x00000007
Rx රාමු දෝෂයක්ද? 0x00000000
Rx PHY සම්පූර්ණයෙන්ම පෙළගස්වා තිබේද? 0x00000001
ඡන්ද විමසීම RX PHY නාලිකාව 0
RX PHY Channel 0 ක්රියාත්මකයි!
නිර්මාණ Example විස්තරය
නිර්මාණය හිටපුample මගින් eCPRI IP core හි මූලික ක්රියාකාරීත්වය පෙන්නුම් කරයි. ඔබට Ex වෙතින් නිර්මාණය උත්පාදනය කළ හැකියample Design tab එක eCPRI IP පරාමිති සංස්කාරකයේ.
2.1. විශේෂාංග
- අභ්යන්තර TX සහ RX අනුක්රමික ලූප්බැක් මාදිලිය
- ස්ථාවර ප්රමාණයේ පැකට් ස්වයංක්රීයව ජනනය කරයි
- මූලික පැකට් පරීක්ෂා කිරීමේ හැකියාවන්
- සැලසුම පරීක්ෂා කිරීම සඳහා පද්ධති කොන්සෝලය භාවිතා කිරීමේ හැකියාව සහ නැවත පරීක්ෂා කිරීමේ අරමුණ සඳහා සැලසුම නැවත සැකසීම
2.2 දෘඪාංග නිර්මාණය Example
රූපය 5. Intel Agilex 7 F-ටයිල් මෝස්තර සඳහා බ්ලොක් රූප සටහන
ඉන්ටෙල් සංස්ථාව. සියලුම හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල ක්රියාකාරීත්වය Intel හි සම්මත වගකීම් වලට අනුකූලව වත්මන් පිරිවිතරයන්ට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම භාණ්ඩයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
රූපය 6. Intel Agilex 7 E-ටයිල් මෝස්තර සඳහා බ්ලොක් රූප සටහන
රූපය 7. Intel Stratix 10 මෝස්තර සඳහා බ්ලොක් රූප සටහන
රූපය 8. Intel Arria 10 මෝස්තර සඳහා බ්ලොක් රූප සටහන
eCPRI Intel FPGA IP core දෘඪාංග නිර්මාණය example පහත සඳහන් සංරචක ඇතුළත් වේ:
eCPRI Intel FPGA IP
පරීක්ෂණ දවටනය තුළ ක්ෂණිකව ඇති රථවාහන ජනක යන්ත්රවලින් දත්ත පිළිගන්නා අතර ඊතර්නෙට් IP වෙත සම්ප්රේෂණය කිරීම සඳහා දත්ත ප්රමුඛත්වය දෙයි.
ඊතර්නෙට් IP
- F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-ටයිල් මෝස්තර)
- Ethernet සඳහා E-tile Hard IP (Intel Stratix 10 හෝ Intel Agilex 7 E-ටයිල් මෝස්තර)
- 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-ටයිල් මෝස්තර)
- අඩු Latency Ethernet 10G MAC IP සහ 1G/10GbE සහ 10GBASE-KR PHY IP (Intel Arria 10 මෝස්තර)
නිරවද්ය කාල ප්රොටෝකෝලය (PTP) IO PLL
Intel Stratix 10 H-ටයිල් මෝස්තර සඳහා - Ethernet IP සහ s සඳහා ප්රමාද මිනුම් ආදාන යොමු ඔරලෝසුව උත්පාදනය කිරීම සඳහා ක්ෂණිකවampදවසේ වේලාව (TOD) උප පද්ධතිය සඳහා ලින්ග් ඔරලෝසුව. IEEE 25v10 විශේෂාංගය සහිත 1588G Ethernet Intel Stratix 2 FPGA IP සඳහා, මෙම ඔරලෝසුවේ සංඛ්යාතය 156.25 MHz ලෙස සැකසීමට Intel ඔබට නිර්දේශ කරයි. වැඩි විස්තර සඳහා 25G Ethernet Intel Stratix 10 FPGA IP පරිශීලක මාර්ගෝපදේශය සහ Intel Stratix 10 H-tile Transceiver PHY පරිශීලක මාර්ගෝපදේශය වෙත යොමු වන්න. PTP IOPLL ද eCPRI IO PLL සඳහා යොමු ඔරලෝසුව කැස්කැඩින් ආකාරයෙන් ජනනය කරයි.
Intel Arria 10 සැලසුම් සඳහා—Low Latency Ethernet 312.5G MAC IP සහ 156.25G/10GbE, 1GBASE-KR PHY IP, සහ eCPRI IP සඳහා 10 MHz සහ 10 MHz ඔරලෝසු ආදාන උත්පාදනය කිරීමට ක්ෂණිකව.
eCPRI IO PLL
eCPRI IP හි TX සහ RX මාර්ගය සහ ගමනාගමන සංරචක සඳහා 390.625 MHz හි මූලික ඔරලෝසු ප්රතිදානය ජනනය කරයි.
සටහන: මෙම වාරණ නිර්මාණය ex හි පමණක් පවතීample ජනනය කරන ලද්දේ Intel Stratix 10 සහ Intel Agilex 7 උපාංග සඳහාය.
සටහන: eCPRI Intel FPGA IP හි වත්මන් අනුවාදය IWF වර්ගය 0 සඳහා පමණක් සහය දක්වයි. Intel Agilex 7 F-ටයිල් උපාංග සඳහා, සැලසුම් exampIWF විශේෂාංගය සමඟ le සක්රීය කර ඇත සහය නොදක්වයි.
ඔබ නිර්මාණය උත්පාදනය කරන විට example සමඟ අන්තර් වැඩ කිරීමේ කාර්යය (IWF) ආධාරක පරාමිතිය ක්රියා විරහිත කර ඇත, පැකට් ගමනාගමනය පරීක්ෂණ දවටන මොඩියුලයේ සිට Avalon-ST මූලාශ්රය/සින්ක් අතුරුමුහුණත සහ eCPRI IP හි බාහිර මූලාශ්රය/සින්ක් අතුරුමුහුණත වෙත කෙලින්ම ගලා යයි.
ඔබ නිර්මාණය උත්පාදනය කරන විට exampLe with Interworking Function (IWF) උපකාරක පරාමිතිය සක්රිය කර ඇති අතර, පැකට් ගමනාගමනය IWF Avalon-ST සින්ක් අතුරුමුහුණත වෙත ප්රථමයෙන් පරීක්ෂණ දවටන මොඩියුලයෙන් ගලා යයි, සහ IWF Avalon-ST මූලාශ්ර අතුරුමුහුණතෙන් eCPRI Avalon-ST මූලාශ්රය/සින්ක් වෙත පැමිණේ. අතුරුමුහුණත.
CPRI MAC
පරිශීලක තලය, C&M, සහ REC සහ RE අතර මෙන්ම RE දෙකක් අතර සමමුහුර්ත කිරීමේ තොරතුරු මාරු කිරීම සඳහා 1 ස්ථරයේ CPRI කොටස සහ සම්පූර්ණ ස්ථරය 2 ප්රොටෝකෝල සපයයි.
CPRI PHY
රේඛීය කේතීකරණය, බිට් දෝෂ නිවැරදි කිරීම/හඳුනාගැනීම, සහ යනාදිය සඳහා CPRI ස්ථරය 1 ප්රොටෝකෝලයෙහි ඉතිරි කොටස සපයයි.
සටහන: CPRI MAC සහ CPRI PHY IP මෙම සැලසුම තුළ ක්රියාත්මක වියample තනි CPRI රේඛා අනුපාතය 9.8 Gbps පමණක් ධාවනය වන ලෙස වින්යාස කර ඇත. නිර්මාණය හිටපුample වත්මන් නිකුතුවේ රේඛා අනුපාත ස්වයංක්රීය සාකච්ඡා සඳහා සහය නොදක්වයි.
පරීක්ෂණ දවටනය
පහත දැක්වෙන පරිදි eCPRI IP හි Avalon Streaming (Avalon-ST) අතුරුමුහුණත් වෙත විවිධ දත්ත පැකට් ජනනය කරන රථවාහන උත්පාදක යන්ත්ර සහ පරීක්ෂකයන්ගෙන් සමන්විත වේ:
- Avalon-ST මූලාශ්ර/සින්ක් අතුරුමුහුණත් වෙත eCPRI පැකට් (IWF විශේෂාංගය අක්රීය කර ඇත):
- පණිවිඩ වර්ගය 2 සඳහා පමණක් සහය දක්වයි.
— වර්ධක රටා මාදිලි උත්පාදනය සහ එක් එක් පැකට්ටුව සඳහා බයිට් 72ක ගෙවීමේ ප්රමාණය සමඟ පසුපසට-ආපසු මාදිලි උත්පාදනය.
— අඛණ්ඩ නොවන හෝ අඛණ්ඩ ආකාරයෙන් ධාවනය කිරීමට CSR හරහා වින්යාසගත කළ හැක.
- CSR හරහා ප්රවේශ වීමට TX/RX පැකට් සංඛ්යාන තත්ත්වය ඇත. - Avalon-ST මූලාශ්ර/සින්ක් අතුරුමුහුණත් වෙත eCPRI පැකට් (IWF විශේෂාංගය සක්රීය කර ඇත):
— වත්මන් නිකුතුවේදී පණිවිඩ වර්ගය 0 සඳහා පමණක් සහය දක්වයි.
- අන්තර් පැකට් පරතරය උත්පාදනය සහ එක් පැකට්ටුවක් සඳහා බයිට් 240 ක බර පැටවීමේ ප්රමාණය සමඟ වර්ධක රටා මාදිලි උත්පාදනය.
— අඛණ්ඩ නොවන හෝ අඛණ්ඩ ආකාරයෙන් ධාවනය කිරීමට CSR හරහා වින්යාසගත කළ හැක.
- CSR හරහා ප්රවේශ වීමට TX/RX පැකට් සංඛ්යාන තත්ත්වය ඇත. - නිරවද්ය කාල ප්රොටෝකෝලය (1588 PTP) පැකට්ටුව සහ PTP නොවන විවිධ පැකට් බාහිර මූලාශ්ර/සින්ක් අතුරුමුහුණත් වෙත:
— කලින් නිර්වචනය කළ පරාමිති සහිත ස්ථිතික ඊතර්නෙට් ශීර්ෂ උත්පාදනය: Ethertype0x88F7, පණිවිඩ වර්ගය- Opcode 0 (Sync), සහ PTP අනුවාදය-0.
— චක්ර 2ක අන්තර් පැකට් පරතරයක් සහ එක් එක් පැකට්ටුව සඳහා බයිට් 57ක ගෙවීමේ ප්රමාණය සහිත පෙර-නිර්චිත රටා මාදිලි උත්පාදනය.
- සෑම තත්පරයක කාලයකදීම පැකට් 128 ක් ජනනය වේ.
— අඛණ්ඩ නොවන හෝ අඛණ්ඩ ආකාරයෙන් ධාවනය කිරීමට CSR හරහා වින්යාසගත කළ හැක.
- CSR හරහා ප්රවේශ වීමට TX/RX පැකට් සංඛ්යාන තත්ත්වය ඇත. - බාහිර PTP නොවන විවිධ පැකට්:
— පූර්ව නිර්වචනය කළ පරාමිතිය සහිත ස්ථිතික ඊතර්නෙට් ශීර්ෂ උත්පාදනය, Ethertype- 0x8100 (PTP නොවන).
— චක්ර 2 ක අන්තර් පැකට් පරතරයක් සහිත PRBS රටා මාදිලි උත්පාදනය සහ එක් පැකට්ටුවක් සඳහා බයිට් 128 ක ගෙවීමේ ප්රමාණය.
— අඛණ්ඩ නොවන හෝ අඛණ්ඩ ආකාරයෙන් ධාවනය කිරීමට CSR හරහා වින්යාසගත කළ හැක.
- CSR හරහා ප්රවේශ වීමට TX/RX පැකට් සංඛ්යාන තත්ත්වය ඇත.
දවසේ වේලාව (TOD) උප පද්ධතිය
TX සහ RX යන දෙකටම IEEE 1588 TOD මොඩියුල දෙකක් සහ Intel Quartus Prime මෘදුකාංගය මගින් ජනනය කරන ලද IEEE 1588 TOD Synchronizer මොඩියුලයක් අඩංගු වේ.
Nios® II උප පද්ධතිය
Nios II ප්රොසෙසරය, පරීක්ෂණ දවටනය සහ Avalon® -MM ලිපින විකේතක කුට්ටි අතර Avalon-MM දත්ත බේරුම්කරණයට ඉඩ සලසන Avalon-MM පාලමකින් සමන්විත වේ.
පරීක්ෂණ දවටන වල අනුපාතය_ස්විච් රෙජිස්ටර් අගයෙන් ලැබෙන ප්රතිදානය මත පදනම්ව දත්ත අනුපාත මාරු කිරීම සිදු කිරීමට Nios II වගකීම දරයි. මෙම වාරණ පරීක්ෂණ දවටනයෙන් විධානය ලැබුණු පසු අවශ්ය ලේඛනය වැඩසටහන්ගත කරයි.
සටහන: මෙම කොටස නිර්මාණය ex හි නොමැතample ජනනය කරන ලද්දේ Intel Arria 10 සහ Intel Agilex 7 F-ටයිල් උපාංග සඳහාය.
පද්ධති කොන්සෝලය
ඔබට පළමු මට්ටමේ නිදොස්කරණය කිරීමට සහ IP හි තත්ත්වය නිරීක්ෂණය කිරීමට පරිශීලක-හිතකාමී අතුරු මුහුණතක් සපයයි, සහ රථවාහන ජනක යන්ත්ර සහ පරීක්ෂක.
ආදර්ශන පාලනය
මෙම මොඩියුලය reset synchronizer modules, සහ In-system Source and Probe (ISSP) මොඩියුල වලින් සමන්විත වේ සැලසුම් පද්ධති නිදොස්කරණය සහ ආරම්භක ක්රියාවලිය සඳහා.
අදාළ තොරතුරු
- 25G Ethernet Intel Stratix 10 FPGA IP පරිශීලක මාර්ගෝපදේශය
- ඊ-ටයිල් දෘඪ IP පරිශීලක මාර්ගෝපදේශය
- eCPRI Intel FPGA IP පරිශීලක මාර්ගෝපදේශය
- 25G Ethernet Intel Stratix 10 FPGA IP Design Example පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 Design Ex සඳහා E-tile Hard IPamples පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 L- සහ H-Tile Transceiver PHY පරිශීලක මාර්ගෝපදේශය
- E-Tile Transceiver PHY පරිශීලක මාර්ගෝපදේශය
- Intel Stratix 10 10GBASE-KR PHY IP පරිශීලක මාර්ගෝපදේශය
- E-tile Hard IP Intel Agilex Design Example පරිශීලක මාර්ගෝපදේශය
2.3. සමාකරණ සැලසුම් Example
eCPRI නිර්මාණය example simulation testbench සහ simulation ජනනය කරයි fileඔබ Simulation හෝ Synthesis & Simulation විකල්පය තෝරන විට eCPRI Intel FPGA IP හරය ක්ෂණිකව ලබා දෙයි.
රූපය 9. eCPRI Intel FPGA IP සිමියුලේෂන් බ්ලොක් රූප සටහන
සටහන: Nios II උපපද්ධති බ්ලොක් එක design ex හි නොමැතample ජනනය කරන ලද්දේ Intel Arria 10 සහ Intel Agilex 7 F-ටයිල් උපාංග සඳහාය.
මෙම නිර්මාණයේදී හිටපුample, simulation testbench ආරම්භය සහ අගුලු දැමීම, සම්ප්රේෂණය සහ පැකට් ලබා ගැනීම වැනි මූලික ක්රියාකාරීත්වය සපයයි.
සාර්ථක පරීක්ෂණ ධාවනය පහත හැසිරීම් සනාථ කරන ප්රතිදානය පෙන්වයි:
- සේවාදායක තර්කනය IP හරය නැවත සකසයි.
- සේවාලාභී තර්කය RX දත්ත පත්ර පෙළගැස්ම සඳහා රැඳී සිටියි.
- සේවාදායක තර්කනය Avalon-ST අතුරුමුහුණත මත පැකට් සම්ප්රේෂණය කරයි.
- පැකට් වල අන්තර්ගතය සහ නිවැරදි බව ලබා ගැනීම සහ පරීක්ෂා කිරීම.
- "පරීක්ෂණය සමත්" පණිවිඩය පෙන්වන්න.
2.4 අතුරුමුහුණත් සංඥා
වගුව 5. නිර්මාණ Example අතුරුමුහුණත් සංඥා
| සංඥාව | දිශාව | විස්තරය |
| clk_ref | ආදානය | Ethernet MAC සඳහා යොමු ඔරලෝසුව. • Intel Stratix 10 E-tile, Intel Agilex 7 E-ටයිල් සහ F-ටයිල් මෝස්තර සඳහා, E-tile Ethernet Hard IP core හෝ F-tile Ethernet Hard IP core සඳහා 156.25 MHz ඔරලෝසු ආදානය. Ethernet Hard IP හි i_clk_ref[0] වෙත සම්බන්ධ වන්න. • Intel Stratix 10 H-ටයිල් මෝස්තර සඳහා, Transceiver ATX PLL සහ 322.2625G Ethernet IP සඳහා 25 MHz ඔරලෝසු ආදානය. Transceiver ATX PLL හි pll_refclk0[0] සහ 0G Ethernet IP හි clk_ref[25] වෙත සම්බන්ධ වන්න. • Intel Arria 10 මෝස්තර සඳහා, Transceiver ATX PLL සහ 322.265625G/ 1GbE සහ 10GBase-KR PHY IP සඳහා 10 MHz ඔරලෝසු ආදානය. Transceiver ATX PLL හි pll_refclk0[0] සහ 10G/ 0GbE සහ 1G BASE-KR PHY IP හි rx_cdr_ref_clk_10g[10] වෙත සම්බන්ධ වන්න. |
| tod_sync_sampling_clk | ආදානය | Intel Arria 10 මෝස්තර සඳහා, TOD උප පද්ධතිය සඳහා 250 MHz ඔරලෝසු ආදානයක්. |
| clk100 | ආදානය | කළමනාකරණ ඔරලෝසුව. මෙම ඔරලෝසුව PTP සඳහා latency_clk ජනනය කිරීමට භාවිතා කරයි. 100 MHz වේගයෙන් ධාවනය කරන්න. |
| mgmt_reset_n | ආදානය | Nios II පද්ධතිය සඳහා සංඥා යළි පිහිටුවන්න. |
| tx_serial | ප්රතිදානය | TX අනුක්රමික දත්ත. නාලිකා 4ක් දක්වා සහය දක්වයි. |
| rx_serial | ආදානය | RX අනුක්රමික දත්ත. නාලිකා 4ක් දක්වා සහය දක්වයි. |
| iwf_cpri_ehip_ref_clk | ආදානය | ඊ-ටයිල් CPRI PHY යොමු ඔරලෝසු ආදානය. මෙම ඔරලෝසුව Intel Stratix 10 E-tile සහ Intel හි පමණක් පවතී Agilex 7 E-ටයිල් මෝස්තර. 153.6 Gbps CPRI රේඛා අනුපාතය සඳහා 9.8 MHz දී ධාවනය කරන්න. |
| iwf_cpri_pll_refclk0 | ප්රතිදානය | CPRI TX PLL යොමු ඔරලෝසුව. • Intel Stratix 10 H-ටයිල් මෝස්තර සඳහා: CPRI දත්ත අනුපාතය 307.2 Gbps සඳහා 9.8 MHz ධාවනය කරන්න. • Intel Stratix 10 E-tile සහ Intel Agilex 7 E-ටයිල් මෝස්තර සඳහා: CPRI දත්ත අනුපාතය 156.25 Gbps සඳහා 9.8 MHz ධාවනය කරන්න. |
| iwf_cpri_xcvr_cdr_refclk | ප්රතිදානය | CPRI ග්රාහක CDR යොමු ඔරලෝසුව. මෙම ඔරලෝසුව Intel Stratix 10 H-ටයිල් මෝස්තරවල පමණක් පවතී. 307.2 Gbps CPRI රේඛා අනුපාතය සඳහා 9.8 MHz ධාවනය කරන්න. |
| iwf_cpri_xcvr_txdataout | ප්රතිදානය | CPRI අනුක්රමික දත්ත සම්ප්රේෂණය කරයි. නාලිකා 4ක් දක්වා සහය දක්වයි. |
| iwf_cpri_xcvr_rxdatain | ප්රතිදානය | CPRI ග්රාහක අනුක්රමික දත්ත. නාලිකා 4ක් දක්වා සහය දක්වයි. |
| cpri_gmii_clk | ආදානය | CPRI GMII 125 MHz ආදාන ඔරලෝසුව. |
අදාළ තොරතුරු
PHY අතුරුමුහුණත් සංඥා
25G Ethernet Intel FPGA IP හි PHY අතුරුමුහුණත් සංඥා ලැයිස්තුගත කරයි.
2.5 නිර්මාණ Example රෙජිස්ටර් සිතියම
eCPRI IP core design ex සඳහා ලියාපදිංචි සිතියම්කරණය පහත දැක්වේampලෙ:
වගුව 6. eCPRI Intel FPGA IP නිර්මාණය Example රෙජිස්ටර් සිතියම්ගත කිරීම
| ලිපිනය | ලියාපදිංචි කරන්න |
| 0x20100000 – 0x201FFFFF(2) | IOPLL නැවත සැකසුම් ලේඛනය. |
| 0x20200000 - 0x203FFFFF | ඊතර්නෙට් MAC Avalon-MM රෙජිස්ටර් |
| 0x20400000 - 0x205FFFFF | Ethernet MAC Native PHY Avalon-MM රෙජිස්ටර් |
| 0x20600000 – 0x207FFFFF(2) | දේශීය PHY RS-FEC Avalon-MM ලේඛනය. |
| 0x40000000 - 0x5FFFFFFF | eCPRI IP Avalon-MM ලේඛනය |
| 0x80000000 - 0x9FFFFFFF | Ethernet Design Test Generator/Verifier Avalon-MM රෙජිස්ටර් |
වගුව 7. Nios II රෙජිස්ටර් සිතියම්ගත කිරීම
පහත වගුවේ ඇති ලේඛන ලබා ගත හැක්කේ නිර්මාණයේ exampIntel Stratix 10 හෝ Intel Agilex 7 E-ටයිල් උපාංග සඳහා ජනනය කරන ලදී.
| ලිපිනය | ලියාපදිංචි කරන්න |
| 0x00100000 - 0x001FFFFF | IOPLL නැවත සැකසුම් ලේඛනය |
| 0x00200000 - 0x003FFFFF | ඊතර්නෙට් MAC Avalon-MM රෙජිස්ටර් |
| 0x00400000 - 0x005FFFFF | Ethernet MAC Native PHY Avalon-MM රෙජිස්ටර් |
| 0x00600000 - 0x007FFFFF | දේශීය PHY RS-FEC Avalon-MM ලේඛනය |
සටහන: ඔබට බයිට් ඕෆ්සෙට් වෙනුවට වර්ඩ් ඕෆ්සෙට් භාවිතයෙන් ඊතර්නෙට් මැක් සහ ඊතර්නෙට් මැක් නේටිව් PHY AVMM රෙජිස්ටර් වෙත ප්රවේශ විය හැක.
Ethernet MAC, Ethernet MAC Native PHY, සහ eCPRI IP core රෙජිස්ටර් සිතියම් පිළිබඳ සවිස්තරාත්මක තොරතුරු සඳහා, අදාළ පරිශීලක මාර්ගෝපදේශ වෙත යොමු වන්න.
(2) නිර්මාණයේ පමණක් පවතී example ජනනය කරන ලද්දේ Intel Stratix 10 සහ Intel Agilex 7 E-ටයිල් උපාංග සඳහාය.
වගුව 8. eCPRI Intel FPGA IP දෘඪාංග නිර්මාණය Example රෙජිස්ටර් සිතියම
| වචන ඕෆ්සෙට් | ලියාපදිංචි වර්ගය | පෙරනිමි අගය | ප්රවේශ වර්ගය |
| 0x0 | දත්ත යැවීම ආරම්භ කරන්න: • බිට් 1: PTP, PTP නොවන වර්ගය • බිට් 0: eCPRI වර්ගය |
0x0 | RW |
| 0x1 | අඛණ්ඩ පැකට් සක්රිය කරන්න | 0x0 | RW |
| 0x2 | Clear Error | 0x0 | RW |
| 0x3 (3) | අනුපාත මාරුව: • බිට් [7]- ටයිල් එක දක්වයි: - 1'b0: H-ටයිල් - 1'b1: ඊ-ටයිල් • බිට් [6:4]- ඊතර්නෙට් දත්ත අනුපාත මාරුවීම පෙන්නුම් කරයි: — 3'b000: 25G සිට 10G දක්වා — 3'b001: 10G සිට 25G දක්වා • Bit [0]- Switch rate enable. අනුපාතය මාරු කිරීම සඳහා මෙම බිට් 0 සැකසීමට සහ බිට් 0 පැහැදිලි වන තෙක් ඡන්ද විමසීම අවශ්ය වේ. සටහන: මෙම ලේඛනය Intel Agilex 7 F-tile සහ Intel Arria 10 මෝස්තර සඳහා නොමැත. |
• ඊ-ටයිල්: 0x80 • H-ටයිල්: 0x0 |
RW |
| 0x4 (3) | අනුපාත මාරුව සිදු කරන ලදී: • බිට් [1] පෙන්නුම් කරන්නේ අනුපාත මාරු කිරීම සිදු කර ඇති බවයි. |
0x0 | RO |
| 0x5 (4) | පද්ධති වින්යාස තත්ත්වය: • බිට් [31]: පද්ධතිය සූදානම් • බිට් [30]: IWF_EN • බිට් [29]: STARTUP_SEQ_EN • බිට් [28:4]: වෙන් කර ඇත • බිට් [3]: EXT_PACKET_EN • බිට් [2:0]: වෙන් කර ඇත |
0x0 | RO |
| 0x6 (4) | CPRI සාකච්ඡා සම්පූර්ණයි: • බිට් [3:0]: බිට් අනුපාතය සම්පූර්ණයි • බිට් [19:16]: ප්රොටෝකෝලය සම්පූර්ණයි |
0x0 | RW |
| 0x7 (4) | CPRI සාකච්ඡා සම්පූර්ණයි: • බිට් [3:0]: වේගවත් C&M සම්පූර්ණයි • බිට් [19:16]: වේගවත් VSS සම්පූර්ණයි |
0x0 | RW |
| 0x8 - 0x1F | වෙන් කර ඇත. | ||
| 0x20 | eCPRI දෝෂ බාධාව: • බිට් [0] බාධාව දක්වයි. |
0x0 | RO |
| 0x21 | බාහිර පැකට් දෝෂය | 0x0 | RO |
| 0x22 | බාහිර PTP පැකට් TX පැකට්ටුවේ ආරම්භය (SOP) ගණන | 0x0 | RO |
| 0x23 | බාහිර PTP පැකට් TX පැකේජයේ අවසානය (EOP) ගණන | 0x0 | RO |
| 0x24 | බාහිර විවිධ පැකට් TX SOP ගණන | 0x0 | RO |
| 0x25 | බාහිර විවිධ පැකට් TX EOP ගණන | 0x0 | RO |
| 0x26 | බාහිර RX පැකට් SOP ගණන | 0x0 | RO |
| 0x27 | බාහිර RX පැකට් EOP ගණන | 0x0 | RO |
| 0x28 | බාහිර පැකට් දෝෂ ගණන | 0x0 | RO |
| 0x29 - 0x2C | වෙන් කර ඇත. | ||
| 0x2D | බාහිර PTP කාලසටහනamp ඇඟිලි සලකුණු දෝෂ ගණන | 0x0 | RO |
| 0x2E | බාහිර PTP කාලසටහනamp ඇඟිලි සලකුණු දෝෂයකි | 0x0 | RO |
| 0x2F | බාහිර Rx දෝෂ තත්ත්වය | 0x0 | RO |
| 0x30 - 0x47 | වෙන් කර ඇත. | ||
| 0x48 | eCPRI පැකට් දෝෂය | RO | |
| 0x49 | eCPRI TX SOP ගණන | RO | |
| 0x4A | eCPRI TX EOP ගණන | RO | |
| ඩී | eCPRI RX SOP ගණන | RO | |
| 0x4 සී | eCPRI RX EOP ගණන | RO | |
| 0x4D | eCPRI පැකට් දෝෂ ගණන | RO | |
අදාළ තොරතුරු
- පාලනය, තත්ත්වය සහ සංඛ්යාලේඛන ලේඛන විස්තර
25G Ethernet Stratix 10 FPGA IP සඳහා තොරතුරු ලියාපදිංචි කරන්න - නැවත සකස් කිරීම සහ තත්ව ලේඛනය
විස්තර Ethernet සඳහා E-tile Hard IP සඳහා තොරතුරු ලියාපදිංචි කරන්න - ලියාපදිංචි කරයි
eCPRI Intel FPGA IP සඳහා තොරතුරු ලියාපදිංචි කරන්න
eCPRI Intel FPGA IP නිර්මාණය Example පරිශීලක මාර්ගෝපදේශ ලේඛනාගාරය
මෙම පරිශීලක මාර්ගෝපදේශයේ නවතම සහ පෙර අනුවාද සඳහා, eCPRI Intel FPGA IP Design Ex වෙත යොමු වන්නample User Guide HTML අනුවාදය. අනුවාදය තෝරා බාගත ක්ලික් කරන්න. IP හෝ මෘදුකාංග අනුවාදයක් ලැයිස්තුගත කර නොමැති නම්, පෙර IP හෝ මෘදුකාංග අනුවාදය සඳහා පරිශීලක මාර්ගෝපදේශය අදාළ වේ.
eCPRI Intel FPGA IP නිර්මාණය සඳහා ලේඛන සංශෝධන ඉතිහාසය Example පරිශීලක මාර්ගෝපදේශය
| ලේඛන අනුවාදය | ඉන්ටෙල් ක්වාටස් අගමැති අනුවාදය |
IP අනුවාදය | වෙනස්කම් |
| 2023.05.19 | 23.1 | 2.0.3 | • නිර්මාණය Ex අනුකරණය කිරීම යාවත්කාලීන කරන ලදීampQuick Start Guide පරිච්ඡේදයේ le Testbench කොටස. • නිෂ්පාදන පවුලේ නම "Intel Agilex 7" වෙත යාවත්කාලීන කරන ලදී. |
| 2022.11.15 | 22.3 | 2.0.1 | කොටසේ VCS සිමියුලේටරය සඳහා යාවත්කාලීන කරන ලද උපදෙස්: නිර්මාණය Ex අනුකරණය කිරීමample Testbench. |
| 2022.07.01 | 22.1 | 1.4.1 | • දෘඪාංග නිර්මාණය එක් කළාampIntel Agilex 7 F-tile උපාංග වෙනස්කම් සඳහා le සහාය. • පහත සංවර්ධන කට්ටල සඳහා සහය එක් කරන ලදී: - Intel Agilex 7 I-Series FPGA සංවර්ධන කට්ටලය — Intel Agilex 7 I-Series Transceiver-SoC සංවර්ධන කට්ටලය • QuestaSim සිමියුලේටරය සඳහා සහය එක් කරන ලදී. • ModelSim* SE සිමියුලේටරය සඳහා සහය ඉවත් කරන ලදී. |
| 2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-tile උපාංග සඳහා සහය එක් කරන ලදී. • බහු නාලිකා නිර්මාණ සඳහා සහය එක් කරන ලදී. • යාවත්කාලීන වගුව: eCPRI Intel FPGA IP දෘඪාංග නිර්මාණය Example රෙජිස්ටර් සිතියම. • NCSim සිමියුලේටරය සඳහා සහය ඉවත් කරන ලදී. |
| 2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-ටයිල් උපාංග සඳහා සහය එක් කරන ලදී. |
| 2021.01.08 | 20.3 | 1.2.0 | • ලේඛන මාතෘකාව eCPRI Intel Stratix 10 FPGA IP Design Ex වෙතින් වෙනස් කරන ලදීample පරිශීලක මාර්ගෝපදේශය වෙත eCPRI Intel FPGA IP නිර්මාණය Example පරිශීලක මාර්ගෝපදේශය. • Intel Arria 10 සැලසුම් සඳහා සහය එක් කරන ලදී. • eCPRI IP නිර්මාණය example දැන් අන්තර් ක්රියාකාරී ශ්රිතය (IWF) විශේෂාංග සහාය සමඟ ලබා ගත හැක. • eCPRI සැලසුම පැහැදිලි කිරීම සඳහා සටහනක් එක් කරන ලදීampIWF විශේෂාංගය සහිත le ලබා ගත හැක්කේ 9.8 Gbps CPRI සඳහා පමණි රේඛා බිට් අනුපාතය. • නිර්මාණය උත්පාදනය කිරීමේදී නිර්මාණය උත්පාදනය යන කොටසෙහි කොන්දේසි එකතු කරන ලදි exampසමග le අන්තර් වැඩ කිරීමේ කාර්යය (IWF) ආධාරක පරාමිතිය සක්රීය කර ඇත. • එකතු කරන ලද එස්ample simulation test run output with IWF විශේෂාංගය සක්රීය කර ඇති කොටසෙහි නිර්මාණය අනුකරණය කිරීම Example Testbench. • Ethernet IP වෙත Dynamic Reconfiguration සක්රීය කරමින් නව කොටස එක් කරන ලදී. • යාවත්කාලීන දෘඪාංග පරීක්ෂණ sampකොටසේ ප්රතිදානය eCPRI Intel FPGA IP නිර්මාණය පරීක්ෂා කිරීම Example. |
| 2020.06.15 | 20.1 | 1.1.0 | • 10G දත්ත අනුපාතය සඳහා සහය එක් කරන ලදී. • flow.c file නිර්මාණය ex සමඟ දැන් ලබා ගත හැකියamploopback මාදිලිය තේරීමට le පරම්පරාව. • නවීකරණය කරන ලද එස්ample output for simulation test run in section of Design Ex අනුකරණයample Testbench. • සම්පාදනය කිරීම සහ වින්යාස කිරීම යන කොටසේ 10G දත්ත අනුපාත සැලසුම් ක්රියාත්මක කිරීම සඳහා සංඛ්යාත අගය එකතු කරන ලදී නිර්මාණ Exampදෘඪාංගයේ le. • eCPRI Intel FPGA IP Design Ex පරීක්ෂා කිරීමේ කොටසේ පහත වෙනස්කම් සිදු කර ඇතampලෙ: - 10G සහ 25G අතර දත්ත අනුපාතය මාරු කිරීමට විධාන එකතු කරන ලදී - එකතු කරන ලද එස්ampදත්ත අනුපාත මාරු කිරීම සඳහා le ප්රතිදානය — E-tile උපාංග විචලනයන් තුළ loopback තේරීමට TEST_MODE විචල්ය තොරතුරු එක් කරන ලදී. • නවීකරණය කරන ලද eCPRI Intel FPGA IP දෘඪාංග නිර්මාණය Exampනව ඇතුළත් කිරීමට les High Level Block Diagram කුට්ටි. • යාවත්කාලීන වගුව: නිර්මාණ Exampනව සංඥා ඇතුළත් කිරීමට le අතුරුමුහුණත් සංඥා. • යාවත්කාලීන කළ නිර්මාණ Example ලියාපදිංචි සිතියම් කොටස. • නව උපග්රන්ථ කොටස එක් කරන ලදී: ක්රියාත්මක කළ හැකි සහ සබැඳි ආකෘතිය (.elf) ක්රමලේඛනය උත්පාදනය සහ බාගැනීම File . |
| 2020.04.13 | 19.4 | 1.1.0 | මුල් නිකුතුව. |
A. Executable සහ Linking Format (.elf) ක්රමලේඛනය ජනනය කිරීම සහ බාගත කිරීම File
මෙම කොටස .elf උත්පාදනය සහ බාගත කරන ආකාරය විස්තර කරයි file මණ්ඩලයට:
- නාමාවලිය වෙනස් කරන්නample_dir>/සංශ්ලේෂණය/ක්වාටස්.
- Intel Quartus Prime Pro Edition මෘදුකාංගයේ Open Project ක්ලික් කර විවෘත කරන්නample_dir>/synthesis/quartus/epri_ed.qpf. දැන් Tools ➤ Nios II Software Build Tools for Eclipse තෝරන්න.
රූපය 10. Nios II Software Build Tools for Eclipse
- Workspace Launcher කවුළු විමසුම දිස්වේ. වැඩබිම තුළ මාර්ගය සඳහන් කරන්නampඔබේ Eclipse ව්යාපෘතිය ගබඩා කිරීමට le_dir>/synthesis/quatus. නව Nios II - Eclipse කවුළුව දිස්වේ.
Figure 11. Workspace Launcher Window
- Nios II - Eclipse කවුළුව තුළ, Project Explorer ටැබය යටතේ දකුණු-ක්ලික් කර, නව ➤ Nios II පුවරු ආධාරක පැකේජය තෝරන්න. නව කවුළුව දිස්වේ.
රූපය 12. Project Explorer Tab
- Nios II පුවරු ආධාරක පැකේජ කවුළුව තුළ:
• ව්යාපෘති නාම පරාමිතිය තුළ, ඔබට අවශ්ය ව්යාපෘති නාමය සඳහන් කරන්න.
• SOPC තොරතුරු තුළ File නම පරාමිතිය, ස්ථානයට බ්රවුස් කරන්නample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. අවසන් කරන්න ක්ලික් කරන්න.
රූපය 13. Nios II පුවරු ආධාරක පැකේජ කවුළුව
- අලුතින් සාදන ලද ව්යාපෘතිය Nios II Eclipse කවුළුවෙහි Project Explorer ටැබය යටතේ දිස්වේ. Project Explorer ටැබය යටතේ දකුණු-ක්ලික් කර, Nios II ➤ Nios II Command Shell තෝරන්න.
රූපය 14. Project Explorer- Nios II Command Shell
- Nios II Command Shell හි, පහත විධාන තුන ටයිප් කරන්න: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir යෙදුම –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- .elf file පහත ස්ථානයේ ජනනය වේ:ample_dir>/ සංස්ලේෂණය/ip_components/software/ /යෙදුම.
- පුවරුව වෙත .elf බාගත කිරීම සඳහා පහත විධානය Nios II Command Shell හි ටයිප් කරන්න:
• Intel Stratix 10 සඳහා: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Intel Agilex 7 සඳහා: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

මාර්ගගත සංස්කරණය
ප්රතිපෝෂණ යවන්න
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP නිර්මාණය Example පරිශීලක මාර්ගෝපදේශය
ලේඛන / සම්පත්
![]() |
Intel eCPRI Intel FPGA IP නිර්මාණය [pdf] පරිශීලක මාර්ගෝපදේශය eCPRI Intel FPGA IP නිර්මාණය, eCPRI, Intel FPGA IP නිර්මාණය, FPGA IP නිර්මාණය, IP නිර්මාණය, නිර්මාණය |
