Intel logoeCPRI Intel® FPGA IP Design
ExampJagorar Mai Amfani
An sabunta don Intel®
Quartus®
Babban Design Suite: 23.1
Shafin IP: 2.0.3

Jagoran Fara Mai Sauri

Ingantattun Interface Rediyon Jama'a na Jama'a (eCPRI) Intel® FPGA IP core yana aiwatar da sigar ƙayyadaddun eCPRI 2.0. eCPRI Intel FPGA IP yana ba da gwajin siminti da ƙirar ƙirar kayan masarufiampwanda ke goyan bayan haɗawa da gwajin kayan aiki. Lokacin da ka samar da zane exampHar ila yau, editan siga yana ƙirƙirar ta atomatik fileya zama dole don kwaikwaya, tattarawa, da kuma gwada ƙira exampa cikin hardware.
Haɗaɗɗen ƙirar kayan aikin exampda gudu kan:

  • Intel Agilex™ 7 I-Series FPGA Development Kit
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit
  • Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit don ƙirar H-tileamples
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit don ƙirar E-tileamples
  • Intel Arria® 10 GX Transceiver Siginar Haɓaka Mutunci

Intel yana ba da tarin-kawai exampaikin da za ku iya amfani da shi don kimanta yankin ainihin IP da lokaci da sauri.
The testbench da zane exampLe yana goyan bayan ƙimar bayanan 25G da 10G don Intel Stratix 10 H-tile ko E-tile da Intel Agilex 7 E-tile ko F-tile bambancin na'urar eCPRI IP.

Lura: Tsarin eCPRI na IP exampLe tare da aikin haɗin gwiwa (IWF) yana samuwa ne kawai don ƙimar layin CPRI na 9.8 Gbps a cikin sakin yanzu.
Lura: Tsarin eCPRI na IP example baya goyan bayan sake daidaitawa mai ƙarfi don ƙimar bayanan 10G a cikin ƙirar Intel Arria 10.

eCPRI Intel FPGA IP core design example yana goyan bayan fasalulluka masu zuwa:

  • TX na ciki zuwa yanayin madauki na RX
  • Generator da abin dubawa
  • Asalin damar duba fakiti
  • Ikon amfani da Console System don gudanar da ƙira da sake saita ƙira don sake gwadawa

Kamfanin Intel. An kiyaye duk haƙƙoƙi. Intel, tambarin Intel, da sauran alamun Intel alamun kasuwanci ne na Kamfanin Intel Corporation ko rassan sa. Intel yana ba da garantin aiwatar da samfuran FPGA da semiconductor zuwa ƙayyadaddun bayanai na yanzu daidai da daidaitaccen garanti na Intel, amma yana da haƙƙin yin canje-canje ga kowane samfuri da sabis a kowane lokaci ba tare da sanarwa ba. Intel ba ya ɗaukar wani nauyi ko alhaki da ya taso daga aikace-aikacen ko amfani da kowane bayani, samfur, ko sabis da aka kwatanta a nan sai dai kamar yadda Intel ya yarda da shi a rubuce. An shawarci abokan cinikin Intel su sami sabon sigar ƙayyadaddun na'urar kafin su dogara ga kowane bayanan da aka buga kuma kafin sanya oda don samfur ko ayyuka. *Wasu sunaye da tambura ana iya da'awarsu azaman mallakar wasu.

ISO 9001: 2015 Rajista

Hoto na 1. Matakan haɓaka don Zane ExampleeCPRI Intel FPGA IP Design - Hoto 1

Bayanai masu alaƙa

  • eCPRI Intel FPGA IP Jagorar Mai amfani
  • eCPRI Intel FPGA IP Bayanan Bayanin Sakin

1.1. Bukatun Hardware da Software
Don gwada tsohonampDon ƙira, yi amfani da hardware da software masu zuwa:

  • Intel Quartus Prime Pro Edition software 23.1
  • Tsarin Console
  • Goyan bayan Simulators:
    - Siemens* EDA QuestaSim*
    - Tambayoyi* VCS*
    - Takaddun shaida VCS MX
    - Aldec* Riviera-PRO*
    - Cadence* Xcelium*
  • Kit ɗin Ci gaba:
    - Intel Agilex 7 I-Series FPGA Development Kit
    - Intel Agilex 7 I-Series Transceiver-SoC Development Kit
    - Intel Agilex 7 F-Series Transceiver-SoC Development Kit
    - Intel Stratix 10 GX Transceiver Signal Integrity Development Kit don ƙirar ƙirar na'urar H-tile.ample
    - Intel Stratix 10 TX Transceiver Signal Integrity Development for E-tile bambance-bambancen ƙirar ƙirar na'urar.ample
    - Intel Arria 10 GX Transceiver Signal Integrity Development Kit

Bayanai masu alaƙa

  • Intel Agilex 7 I-Series FPGA Jagorar Mai amfani Kit na Ci gaban
  • Intel Agilex 7 I-Series Transceiver-SoC Jagoran Mai Amfani da Kit ɗin Ci gaban
  • Intel Agilex 7 F-Series Transceiver-SoC Jagoran Mai Amfani da Kit ɗin Ci gaban
  • Intel Stratix 10 GX Mai Rarraba Siginar Mutuncin Haɓaka Kit ɗin Jagorar Mai Amfani
  • Intel Stratix 10 TX Mai Rarraba Siginar Ingantacciyar Haɓaka Jagorar Mai Amfani
  • Intel Arria 10 GX Mai Rarraba Siginar Mutunci Haɓaka Kit ɗin Jagorar Mai Amfani

1.2. Samar da Zane
Abubuwan da ake buƙata: Da zarar kun karɓi eCPRI web- core IP, ajiye web-Mai sakawa mai mahimmanci zuwa yankin gida. Gudanar da mai sakawa tare da Windows/Linux. Lokacin da aka sa, shigar da webcore zuwa wuri guda da babban fayil ɗin Intel Quartus Prime.
eCPRI Intel FPGA IP yanzu yana bayyana a cikin IP Catalog.
Idan baku riga kuna da aikin Intel Quartus Prime Pro Edition wanda a cikinsa zaku haɗa eCPRI Intel FPGA IP core ɗin ku, dole ne ku ƙirƙiri ɗaya.

  1. A cikin Intel Quartus Prime Pro Edition software, danna File ➤ Sabon Project Wizard don ƙirƙirar sabon aikin Intel Quartus Prime, ko danna File ➤ Bude Project don buɗe aikin Intel Quartus Prime da ke gudana. Mayen yana tambayarka don saka na'ura.
  2. Ƙayyade dangin na'urar da na'urar da ta dace da buƙatun maki gudun.
  3. Danna Gama.
  4. A cikin Catalog na IP, gano wuri kuma danna eCPRI sau biyu eCPRI Intel FPGA IP. Sabuwar taga Bambancin IP yana bayyana.

Bi waɗannan matakan don samar da eCPRI IP ƙirar ƙirar hardware misaliample da testbench:

  1. A cikin Catalog na IP, gano wuri kuma danna eCPRI sau biyu eCPRI Intel FPGA IP. Sabuwar taga Bambancin IP yana bayyana.
  2. Danna Ok. Editan siga ya bayyana.
    Hoto na 2. ExampTab Zane a cikin eCPRI Intel FPGA IP Parameter EditaneCPRI Intel FPGA IP Design - Hoto 2
  3. Ƙayyade sunan babban matakin don bambancin IP ɗin ku na al'ada. Editan siga yana adana saitunan bambancin IP a cikin a file mai suna .ip.
  4. Danna Ok. Editan siga ya bayyana.
  5. A kan Gabaɗaya shafin, ƙididdige sigogi don ainihin bambancin IP ɗin ku.
    Lura: • Dole ne ku kunna siginar yawo a cikin eCPRI IP editan siga lokacin da kuke ƙirƙira ƙirar ƙiraampAn kunna siginar Tallafi tare da Ayyukan Haɗin kai (IWF),
    • Dole ne ku saita ƙimar Bit Bit na CPRI (Gbit/s) zuwa Wasu lokacin ƙirƙirar ƙirar ƙiraampAn kunna siginar Tallafi tare da Ayyukan Haɗin kai (IWF).
  6. A kan Example Design tab, zaɓi zaɓin simulation don samar da testbench, zaɓi zaɓin hadawa don samar da kayan aikin da suka gabata.ample zane, kuma zaɓi kira da zaɓin simulation don samar da duka testbench da ƙirar kayan masarufiample.
  7. Don Harshe don kwaikwaiyon matakin sama file, zaɓi Verilog ko VHDL.
    Lura: Wannan zaɓin yana samuwa ne kawai lokacin da ka zaɓi zaɓin Simulation don tsohon nakaampzane.
  8. Don Harshe don haɓaka matakin matakin sama file, zaɓi Verilog ko VHDL.
    Lura: Wannan zaɓin yana samuwa ne kawai lokacin da ka zaɓi zaɓi na Synthesis don tsohon nakaampzane.
  9. Don Adadin Tashoshi, zaku iya shigar da adadin tashoshi (1 zuwa 4) waɗanda aka yi niyya don ƙirar ku. Ƙimar ta asali ita ce 1.
  10. Danna Ƙirƙirar Exampda Design. Zaɓi ExampTagar Zane Directory ya bayyana.
  11. Idan kana so ka gyara zane examphanyar directory ko suna daga abubuwan da aka nuna (ecpri_0_testbench), bincika zuwa sabuwar hanyar kuma rubuta sabon ƙira.ampda directory name.
  12. Danna Ok.

Bayanai masu alaƙa
eCPRI Intel FPGA IP Jagorar Mai amfani
1.3. Tsarin Jagora
ECPRI IP core design example file kundin adireshi ya ƙunshi abubuwan da aka samar files don zane example.

Hoto na 3. Tsarin Jagora na Ƙarfafa Exampda DesigneCPRI Intel FPGA IP Design - Hoto 3

Lura:

  1. Kawai samuwa a cikin Intel Arria 10 IP zane exampda bambancin.
  2. Akwai kawai a cikin Intel Stratix 10 (H-tile ko E-tile) ƙirar IPampda bambancin.
  3. Kawai samuwa a cikin Intel Agilex E-tile IP zane exampda bambancin.

Tebur 1. eCPRI Intel FPGA IP Core Testbench File Bayani

File Sunaye  Bayani
Key Testbench da Kwaikwayo Files
<tsari_example_dir>/simulation/testbench/ ecpri_tb.sv Babban matakin gwajin benci file. Testbench yana aiwatar da abin rufewar DUT kuma yana gudanar da ayyukan Verilog HDL don samarwa da karɓar fakiti.
<tsari_example_dir>/simulation/testbench/ecpri_ed.sv DUT wrapper wanda ke hanzarta DUT da sauran abubuwan da aka gyara na testbench.
<tsari_example_dir>/simulation/ed_fw/flow.c C-code tushen file.
Rubutun Testbench
<tsari_example_dir>/simulation/setup_scripts/mantor/run_vsim.do Rubutun Siemens EDA QuestaSim don gudanar da gwajin benci.
<tsari_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh Rubutun Synopsys VCS don gudanar da gwajin benci.
<tsari_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Rubutun Synopsys VCS MX (haɗe Verilog HDL da
SystemVerilog tare da VHDL) don gudanar da testbench.
<tsari_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl Rubutun Aldec* Riviera-PRO don gudanar da gwajin benci.
<tsari_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh Rubutun Cadence* Xcelium don gudanar da testbench.

Tebur 2. eCPRI Intel FPGA IP Core Hardware Design Example File Bayani

File Sunaye Bayani
<tsari_example_dir>/synthesis/quartus/ecpri_ed.qpf Intel Quartus Prime aikin file.
<tsari_example_dir>/synthesis/quartus/ecpri_ed.qsf Saitin aikin Intel Quartus Prime file.
<tsari_example_dir>/synthesis/quartus/ecpri_ed.sdc Ƙuntataccen Ƙira na Synopsys files. Kuna iya kwafa da gyara waɗannan files don ƙirar Intel Stratix 10 na ku.
<tsari_example_dir>/synthesis/testbench/ecpri_ed_top.sv Babban matakin ƙirar Verilog HDL example file.
<tsari_example_dir>/synthesis/testbench/ecpri_ed.sv DUT wrapper wanda ke hanzarta DUT da sauran abubuwan da aka gyara na testbench.
<tsari_example_dir>/synthesis/quartus/ecpri_s10.tcl Babban file don samun damar System Console (Akwai a cikin Intel Stratix 10 H-tile da ƙirar E-tile).
<tsari_example_dir>/synthesis/quartus/ecpri_a10.tcl Babban file don samun dama ga Console System (Akwai a cikin ƙirar Intel Arria 10).
<tsari_example_dir>/synthesis/quartus/ecpri_agilex.tcl Babban file don samun dama ga Console System (Akwai a cikin ƙirar Intel Agilex 7).

1.4. Simulating da Design Exampda Testbench
Hoto 4. TsarieCPRI Intel FPGA IP Design - Hoto 4

Bi waɗannan matakan don kwaikwaya testbench:

  1. A saurin umarni, canza zuwa littafin simulations na testbenchample_dir>/simulation/setup_scripts.
  2. Don bambance-bambancen na'urar Intel Agilex F-tile, bi waɗannan matakan:
    a. Kewaya zuwa <design_example_dir>/simulation/quartus directory kuma gudanar da waɗannan umarni guda biyu a ƙasa: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Madadin haka, zaku iya buɗe aikin ecpri_ed.qpf a cikin Intel Quartus Prime Pro Edition kuma kuyi harhada har sai Support Logic Generation s.tage.
    b. Kewaya zuwa <design_example_dir>/simulation/setup_scripts directory.
    c. Gudun umarni mai zuwa: ip-setup-simulation --quartus-project= ../quartus/ecpri_ed.qpf
  3. Gudanar da rubutun kwaikwayo na na'urar kwaikwayo mai goyan bayan zaɓinku. Rubutun yana tattarawa kuma yana gudanar da testbench a cikin na'urar kwaikwayo. Koma zuwa teburin Matakai don Kwaikwayi Testbench.
    Lura: Tallafin yaren VHDL don simintin yana samuwa ne kawai tare da QuestaSim da na'urar kwaikwayo na VCS MX. Taimakon yaren Verilog don simulation yana samuwa ga duk na'urorin kwaikwayo da aka jera a cikin Tebura: Matakai don Kwaikwayar Testbench.
  4. Yi nazarin sakamakon. Gidan gwaji mai nasara yana aikawa da karɓar fakiti, kuma yana nuna "PASSED".

Tebur 3. Matakai don Kwaikwayi Testbench

Na'urar kwaikwayo Umarni
QuestaSim A cikin layin umarni, rubuta vsim -do run_vsim.do Idan kun fi son yin kwaikwayo ba tare da kawo QuestaSim GUI ba, rubuta vsim -c -do run_vsim.do
VCS • A cikin layin umarni, rubuta sh run_vcs.sh
• Kewaya zuwa <design_example_dir>/simulation/setup_scripts/ synopsys/vcs kuma gudanar da umarni mai zuwa: sh run_vcs.sh
Farashin VCS MX A cikin layin umarni, rubuta sh run_vcsmx.sh
Riviera-PRO A cikin layin umarni, rubuta vsim -c -do run_rivierapro.tcl
Lura: Ana goyan bayan kawai a cikin bambance-bambancen ƙira na Intel Stratix 10 H-tile.
Xcelium (1) A cikin layin umarni, rubuta sh run_xcelium.sh
  1. Ba a tallafawa wannan na'urar kwaikwayo don ƙirar eCPRI Intel FPGA IPample halitta tare da kunna fasalin IWF.

Sampda fitarwa: Mai zuwa sampLe fitarwa yana kwatanta nasarar gwajin simulation na eCPRI IP ƙiraampba tare da fasalin IWF da aka kunna tare da Yawan Tashoshi = 4:

# Jiran daidaita RX
# RX deskew a kulle
# Hanyar RX ta kulle
# Jiran share kuskuren hanyar haɗin gwiwa
# Laifin mahaɗin ya bayyana
# MAC Tushen Adireshin 0_0 Channel 0: 33445566
# MAC Tushen Adireshin 0_1 Channel 0: 00007788
# MAC Adireshin Zuwa 0_0 Channel 0: 33445566
# MAC Adireshin Zuwa 0_1 Channel 0: 00007788
# MAC Adireshin Zuwa 1_0 Channel 0: 11223344
# MAC Adireshin Zuwa 1_1 Channel 0: 00005566
# MAC Adireshin Zuwa 2_0 Channel 0: 22334455
# MAC Adireshin Zuwa 2_1 Channel 0: 00006677
# MAC Adireshin Zuwa 3_0 Channel 0: 44556677
# MAC Adireshin Zuwa 3_1 Channel 0: 00008899
# MAC Adireshin Zuwa 4_0 Channel 0: 66778899
# MAC Adireshin Zuwa 4_1 Channel 0: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 0: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 0: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 0: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 0: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 0: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 0: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 0: 00000041
# Kunna katse tashar eCPRI gama gari 0: 00000241
Tashar eCPRI ta 0: 2
# MAC Tushen Adireshin 0_0 Channel 1: 33445566
# MAC Tushen Adireshin 0_1 Channel 1: 00007788
# MAC Adireshin Zuwa 0_0 Channel 1: 33445566
# MAC Adireshin Zuwa 0_1 Channel 1: 00007788
# MAC Adireshin Zuwa 1_0 Channel 1: 11223344
# MAC Adireshin Zuwa 1_1 Channel 1: 00005566
# MAC Adireshin Zuwa 2_0 Channel 1: 22334455
# MAC Adireshin Zuwa 2_1 Channel 1: 00006677
# MAC Adireshin Zuwa 3_0 Channel 1: 44556677
# MAC Adireshin Zuwa 3_1 Channel 1: 00008899
# MAC Adireshin Zuwa 4_0 Channel 1: 66778899
# MAC Adireshin Zuwa 4_1 Channel 1: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 1: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 1: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 1: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 1: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 1: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 1: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 1: 00000041
# Kunna katse tashar eCPRI gama gari 1: 00000241
Tashar eCPRI ta 1: 2
# MAC Tushen Adireshin 0_0 Channel 2: 33445566
# MAC Tushen Adireshin 0_1 Channel 2: 00007788
# MAC Adireshin Zuwa 0_0 Channel 2: 33445566
# MAC Adireshin Zuwa 0_1 Channel 2: 00007788
# MAC Adireshin Zuwa 1_0 Channel 2: 11223344
# MAC Adireshin Zuwa 1_1 Channel 2: 00005566
# MAC Adireshin Zuwa 2_0 Channel 2: 22334455
# MAC Adireshin Zuwa 2_1 Channel 2: 00006677
# MAC Adireshin Zuwa 3_0 Channel 2: 44556677
# MAC Adireshin Zuwa 3_1 Channel 2: 00008899
# MAC Adireshin Zuwa 4_0 Channel 2: 66778899
# MAC Adireshin Zuwa 4_1 Channel 2: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 2: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 2: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 2: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 2: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 2: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 2: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 2: 00000041
# Kunna katse tashar eCPRI gama gari 2: 00000241
Tashar eCPRI ta 2: 2
# MAC Tushen Adireshin 0_0 Channel 3: 33445566
# MAC Tushen Adireshin 0_1 Channel 3: 00007788
# MAC Adireshin Zuwa 0_0 Channel 3: 33445566
# MAC Adireshin Zuwa 0_1 Channel 3: 00007788
# MAC Adireshin Zuwa 1_0 Channel 3: 11223344
# MAC Adireshin Zuwa 1_1 Channel 3: 00005566
# MAC Adireshin Zuwa 2_0 Channel 3: 22334455
# MAC Adireshin Zuwa 2_1 Channel 3: 00006677
# MAC Adireshin Zuwa 3_0 Channel 3: 44556677
# MAC Adireshin Zuwa 3_1 Channel 3: 00008899
# MAC Adireshin Zuwa 4_0 Channel 3: 66778899
# MAC Adireshin Zuwa 4_1 Channel 3: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 3: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 3: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 3: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 3: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 3: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 3: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 3: 00000041
# Kunna katse tashar eCPRI gama gari 3: 00000241
Tashar eCPRI ta 3: 2
# __________________________________________________________
# BAYANI: Ban da matsayin sake saiti
# __________________________________________________________
#
#
# Tashar 0 eCPRI TX SOPs ƙidaya: 0
# Tashar 0 eCPRI TX EOPs ƙidaya: 0
# Tashar 0 eCPRI RX SOPs ƙidaya: 0
# Tashar 0 eCPRI RX EOPs ƙidaya: 0
# Channel 0 na waje PTP TX SOPs ƙidaya: 0
# Channel 0 na waje PTP TX EOPs ƙidaya: 0
# Channel 0 Na waje MISC TX SOPs ƙidaya: 0
# Channel 0 na waje MISC TX EOPs ƙidaya: 0
# Channel 0 Na waje RX SOPs ƙidaya: 0
# Tashoshi 0 na waje RX EOPs ƙidaya: 0
# Tashar 1 eCPRI TX SOPs ƙidaya: 0
# Tashar 1 eCPRI TX EOPs ƙidaya: 0
# Tashar 1 eCPRI RX SOPs ƙidaya: 0
# Tashar 1 eCPRI RX EOPs ƙidaya: 0
# Channel 1 na waje PTP TX SOPs ƙidaya: 0
# Channel 1 na waje PTP TX EOPs ƙidaya: 0
# Channel 1 Na waje MISC TX SOPs ƙidaya: 0
# Channel 1 na waje MISC TX EOPs ƙidaya: 0
# Channel 1 Na waje RX SOPs ƙidaya: 0
# Tashoshi 1 na waje RX EOPs ƙidaya: 0
# Tashar 2 eCPRI TX SOPs ƙidaya: 0
# Tashar 2 eCPRI TX EOPs ƙidaya: 0
# Tashar 2 eCPRI RX SOPs ƙidaya: 0
# Tashar 2 eCPRI RX EOPs ƙidaya: 0
# Channel 2 na waje PTP TX SOPs ƙidaya: 0
# Channel 2 na waje PTP TX EOPs ƙidaya: 0
# Channel 2 Na waje MISC TX SOPs ƙidaya: 0
# Channel 2 na waje MISC TX EOPs ƙidaya: 0
# Channel 2 Na waje RX SOPs ƙidaya: 0
# Tashoshi 2 na waje RX EOPs ƙidaya: 0
# Tashar 3 eCPRI TX SOPs ƙidaya: 0
# Tashar 3 eCPRI TX EOPs ƙidaya: 0
# Tashar 3 eCPRI RX SOPs ƙidaya: 0
# Tashar 3 eCPRI RX EOPs ƙidaya: 0
# Channel 3 na waje PTP TX SOPs ƙidaya: 0
# Channel 3 na waje PTP TX EOPs ƙidaya: 0
# Channel 3 Na waje MISC TX SOPs ƙidaya: 0
# Channel 3 na waje MISC TX EOPs ƙidaya: 0
# Channel 3 Na waje RX SOPs ƙidaya: 0
# Tashoshi 3 na waje RX EOPs ƙidaya: 0
# __________________________________________________________
# BAYANI: Fara watsa fakiti
# __________________________________________________________
#
#
# BAYANI: Jiran Canjin 0 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 0 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 0 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 0 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 0 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 0 eCPRI na waje TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Canjin 1 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 1 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 1 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 1 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 1 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 1 eCPRI na waje TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Canjin 2 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 2 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 2 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 2 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 2 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 2 eCPRI na waje TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Canjin 3 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 3 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 3 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 3 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 3 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 3 eCPRI na waje TX an gama canja wurin zirga-zirga
# __________________________________________________________
# BAYANI: Dakatar da fakitin watsawa
# __________________________________________________________
#
#
# __________________________________________________________
# BAYANI: Duba kididdigar fakiti
# __________________________________________________________
#
#
# Channel 0 eCPRI SOPs da aka watsa: 300
# Tashar 0 eCPRI EOPs da aka watsa: 300
# Tashoshi 0 eCPRI SOPs sun karɓi: 300
# Tashar 0 eCPRI EOPs sun karɓi: 300
An ruwaito Kuskuren eCPRI Channel 0: 0
# Channel 0 PTP SOPs na waje da aka watsa: 4
# Channel 0 EOPs na PTP na waje da aka watsa: 4
# Channel 0 na waje MISC SOPs da aka watsa: 128
# Tashoshi 0 na waje MISC EOPs da aka watsa: 128
# Channel 0 SOPs na waje sun karɓi: 132
# Tashar 0 EOPs na waje sun karɓi: 132
# Channel 0 PTP SOPs na waje sun karɓi: 4
# Channel 0 EOPs na PTP na waje sun karɓi: 4
# Channel 0 MISC SOPs na waje sun karɓi: 128
# Tashar 0 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 0: 0
# Channel 0 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# Channel 1 eCPRI SOPs da aka watsa: 300
# Tashar 1 eCPRI EOPs da aka watsa: 300
# Tashoshi 1 eCPRI SOPs sun karɓi: 300
# Tashar 1 eCPRI EOPs sun karɓi: 300
An ruwaito Kuskuren eCPRI Channel 1: 0
# Channel 1 PTP SOPs na waje da aka watsa: 4
# Channel 1 EOPs na PTP na waje da aka watsa: 4
# Channel 1 na waje MISC SOPs da aka watsa: 128
# Tashoshi 1 na waje MISC EOPs da aka watsa: 128
# Channel 1 SOPs na waje sun karɓi: 132
# Tashar 1 EOPs na waje sun karɓi: 132
# Channel 1 PTP SOPs na waje sun karɓi: 4
# Channel 1 EOPs na PTP na waje sun karɓi: 4
# Channel 1 MISC SOPs na waje sun karɓi: 128
# Tashar 1 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 1: 0
# Channel 1 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# Channel 2 eCPRI SOPs da aka watsa: 300
# Tashar 2 eCPRI EOPs da aka watsa: 300
# Tashoshi 2 eCPRI SOPs sun karɓi: 300
# Tashar 2 eCPRI EOPs sun karɓi: 300
An ruwaito Kuskuren eCPRI Channel 2: 0
# Channel 2 PTP SOPs na waje da aka watsa: 4
# Channel 2 EOPs na PTP na waje da aka watsa: 4
# Channel 2 na waje MISC SOPs da aka watsa: 128
# Tashoshi 2 na waje MISC EOPs da aka watsa: 128
# Channel 2 SOPs na waje sun karɓi: 132
# Tashar 2 EOPs na waje sun karɓi: 132
# Channel 2 PTP SOPs na waje sun karɓi: 4
# Channel 2 EOPs na PTP na waje sun karɓi: 4
# Channel 2 MISC SOPs na waje sun karɓi: 128
# Tashar 2 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 2: 0
# Channel 2 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# Channel 3 eCPRI SOPs da aka watsa: 300
# Tashar 3 eCPRI EOPs da aka watsa: 300
# Tashoshi 3 eCPRI SOPs sun karɓi: 300
# Tashar 3 eCPRI EOPs sun karɓi: 300
An ruwaito Kuskuren eCPRI Channel 3: 0
# Channel 3 PTP SOPs na waje da aka watsa: 4
# Channel 3 EOPs na PTP na waje da aka watsa: 4
# Channel 3 na waje MISC SOPs da aka watsa: 128
# Tashoshi 3 na waje MISC EOPs da aka watsa: 128
# Channel 3 SOPs na waje sun karɓi: 132
# Tashar 3 EOPs na waje sun karɓi: 132
# Channel 3 PTP SOPs na waje sun karɓi: 4
# Channel 3 EOPs na PTP na waje sun karɓi: 4
# Channel 3 MISC SOPs na waje sun karɓi: 128
# Tashar 3 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 3: 0
# Channel 3 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# __________________________________________________________
# BAYANI: GWAJI WUTA
#
# __________________________________________________________

Sampda fitarwa: Mai zuwa sampLe fitarwa yana kwatanta nasarar gwajin simulation na eCPRI IP ƙiraample tare da fasalin IWF da aka kunna tare da Yawan Tashoshi = 4:

# Kunna CPRI TX
# Tashar CPRI 0 L1_CONFIG : 00000001
# Tashar CPRI 0 CPRI_CORE_CM_CONFIG : 00001ed4
# Tashar CPRI 1 L1_CONFIG : 00000001
# Tashar CPRI 1 CPRI_CORE_CM_CONFIG : 00001ed4
# Tashar CPRI 2 L1_CONFIG : 00000001
# Tashar CPRI 2 CPRI_CORE_CM_CONFIG : 00001ed4
# Tashar CPRI 3 L1_CONFIG : 00000001
# Tashar CPRI 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Jiran daidaita RX
# RX deskew a kulle
# Hanyar RX ta kulle
# Jiran share kuskuren hanyar haɗin gwiwa
# Laifin mahaɗin ya bayyana
# MAC Tushen Adireshin 0_0 Channel 0: 33445566
# MAC Tushen Adireshin 0_1 Channel 0: 00007788
# MAC Adireshin Zuwa 0_0 Channel 0: 33445566
# MAC Adireshin Zuwa 0_1 Channel 0: 00007788
# MAC Adireshin Zuwa 1_0 Channel 0: 11223344
# MAC Adireshin Zuwa 1_1 Channel 0: 00005566
# MAC Adireshin Zuwa 2_0 Channel 0: 22334455
# MAC Adireshin Zuwa 2_1 Channel 0: 00006677
# MAC Adireshin Zuwa 3_0 Channel 0: 44556677
# MAC Adireshin Zuwa 3_1 Channel 0: 00008899
# MAC Adireshin Zuwa 4_0 Channel 0: 66778899
# MAC Adireshin Zuwa 4_1 Channel 0: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 0: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 0: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 0: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 0: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 0: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 0: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 0: 00000041
# Kunna katse tashar eCPRI gama gari 0: 00000241
Tashar eCPRI ta 0: 2
# MAC Tushen Adireshin 0_0 Channel 1: 33445566
# MAC Tushen Adireshin 0_1 Channel 1: 00007788
# MAC Adireshin Zuwa 0_0 Channel 1: 33445566
# MAC Adireshin Zuwa 0_1 Channel 1: 00007788
# MAC Adireshin Zuwa 1_0 Channel 1: 11223344
# MAC Adireshin Zuwa 1_1 Channel 1: 00005566
# MAC Adireshin Zuwa 2_0 Channel 1: 22334455
# MAC Adireshin Zuwa 2_1 Channel 1: 00006677
# MAC Adireshin Zuwa 3_0 Channel 1: 44556677
# MAC Adireshin Zuwa 3_1 Channel 1: 00008899
# MAC Adireshin Zuwa 4_0 Channel 1: 66778899
# MAC Adireshin Zuwa 4_1 Channel 1: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 1: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 1: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 1: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 1: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 1: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 1: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 1: 00000041
# Kunna katse tashar eCPRI gama gari 1: 00000241
Tashar eCPRI ta 1: 2
# MAC Tushen Adireshin 0_0 Channel 2: 33445566
# MAC Tushen Adireshin 0_1 Channel 2: 00007788
# MAC Adireshin Zuwa 0_0 Channel 2: 33445566
# MAC Adireshin Zuwa 0_1 Channel 2: 00007788
# MAC Adireshin Zuwa 1_0 Channel 2: 11223344
# MAC Adireshin Zuwa 1_1 Channel 2: 00005566
# MAC Adireshin Zuwa 2_0 Channel 2: 22334455
# MAC Adireshin Zuwa 2_1 Channel 2: 00006677
# MAC Adireshin Zuwa 3_0 Channel 2: 44556677
# MAC Adireshin Zuwa 3_1 Channel 2: 00008899
# MAC Adireshin Zuwa 4_0 Channel 2: 66778899
# MAC Adireshin Zuwa 4_1 Channel 2: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 2: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 2: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 2: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 2: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 2: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 2: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 2: 00000041
# Kunna katse tashar eCPRI gama gari 2: 00000241
Tashar eCPRI ta 2: 2
# MAC Tushen Adireshin 0_0 Channel 3: 33445566
# MAC Tushen Adireshin 0_1 Channel 3: 00007788
# MAC Adireshin Zuwa 0_0 Channel 3: 33445566
# MAC Adireshin Zuwa 0_1 Channel 3: 00007788
# MAC Adireshin Zuwa 1_0 Channel 3: 11223344
# MAC Adireshin Zuwa 1_1 Channel 3: 00005566
# MAC Adireshin Zuwa 2_0 Channel 3: 22334455
# MAC Adireshin Zuwa 2_1 Channel 3: 00006677
# MAC Adireshin Zuwa 3_0 Channel 3: 44556677
# MAC Adireshin Zuwa 3_1 Channel 3: 00008899
# MAC Adireshin Zuwa 4_0 Channel 3: 66778899
# MAC Adireshin Zuwa 4_1 Channel 3: 0000aabb
# MAC Adireshin Zuwa 5_0 Channel 3: 778899aa
# MAC Adireshin Zuwa 5_1 Channel 3: 0000bbc
# MAC Adireshin Zuwa 6_0 Channel 3: 8899aabb
# MAC Adireshin Zuwa 6_1 Channel 3: 0000ccdd
# MAC Adireshin Zuwa 7_0 Channel 3: 99aabbcc
# MAC Adireshin Zuwa 7_1 Channel 3: 0000ddee
# eCPRI Tashar Gudanarwa gama gari 3: 00000041
# Kunna katse tashar eCPRI gama gari 3: 00000241
Tashar eCPRI ta 3: 2
# Jiran CPRI cimma nasarar haɗin HSYNC zuwa jihar
# CPRI Channel 0 HSYNC jihar ta samu
# CPRI Channel 1 HSYNC jihar ta samu
# CPRI Channel 2 HSYNC jihar ta samu
# CPRI Channel 3 HSYNC jihar ta samu
# 11100250000 Rubuta 1 don tattaunawa_bitrate_complete
# 11100650000 Zabe PROT_VER Channel 0
# __________________________________________________________
# 11100850000 Rijistar zabe: a0000010
# __________________________________________________________
# 13105050000 Zabe PROT_VER Channel 1
# __________________________________________________________
# 13105250000 Rijistar zabe: a0800010
# __________________________________________________________
# 13105950000 Zabe PROT_VER Channel 2
# __________________________________________________________
# 13106150000 Rijistar zabe: a1000010
# __________________________________________________________
# 13106850000 Zabe PROT_VER Channel 3
# __________________________________________________________
# 13107050000 Rijistar zabe: a1800010
# __________________________________________________________
# 13107750000 Rubuta 1 don nego_protol_complete
# 13108150000 Zabe CM_STATUS.rx_fast_cm_ptr_ingantacciyar Channel 0
# __________________________________________________________
# 13108350000 Rijistar zabe: a0000020
# __________________________________________________________
# 14272050000 Zabe CM_STATUS.rx_fast_cm_ptr_ingantacciyar Channel 1
# __________________________________________________________
# 14272250000 Rijistar zabe: a0800020
# __________________________________________________________
# 14272950000 Zabe CM_STATUS.rx_fast_cm_ptr_ingantacciyar Channel 2
# __________________________________________________________
# 14273150000 Rijistar zabe: a1000020
# __________________________________________________________
# 14273850000 Zabe CM_STATUS.rx_fast_cm_ptr_ingantacciyar Channel 3
# __________________________________________________________
# 14274050000 Rijistar zabe: a1800020
# __________________________________________________________
# 14274750000 Rubuta 1 don nego_cm_complete
# 14275150000 Rubuta 1 don nego_vss_complete
# Jiran tashar CPRI 0 cimma HSYNC & jerin farawa FSM STATE_F
# Tashar CPRI 0 HSYNC & jerin farawa FSM STATE_F cimma
# Jiran tashar CPRI 1 cimma HSYNC & jerin farawa FSM STATE_F
# Tashar CPRI 1 HSYNC & jerin farawa FSM STATE_F cimma
# Jiran tashar CPRI 2 cimma HSYNC & jerin farawa FSM STATE_F
# Tashar CPRI 2 HSYNC & jerin farawa FSM STATE_F cimma
# Jiran tashar CPRI 3 cimma HSYNC & jerin farawa FSM STATE_F
# Tashar CPRI 3 HSYNC & jerin farawa FSM STATE_F cimma
# __________________________________________________________
# BAYANI: Ban da matsayin sake saiti
# __________________________________________________________
#
#
# Tashar 0 eCPRI TX SOPs ƙidaya: 0
# Tashar 0 eCPRI TX EOPs ƙidaya: 0
# Tashar 0 eCPRI RX SOPs ƙidaya: 0
# Tashar 0 eCPRI RX EOPs ƙidaya: 0
# Channel 0 na waje PTP TX SOPs ƙidaya: 0
# Channel 0 na waje PTP TX EOPs ƙidaya: 0
# Channel 0 Na waje MISC TX SOPs ƙidaya: 0
# Channel 0 na waje MISC TX EOPs ƙidaya: 0
# Channel 0 Na waje RX SOPs ƙidaya: 0
# Tashoshi 0 na waje RX EOPs ƙidaya: 0
# Tashar 1 eCPRI TX SOPs ƙidaya: 0
# Tashar 1 eCPRI TX EOPs ƙidaya: 0
# Tashar 1 eCPRI RX SOPs ƙidaya: 0
# Tashar 1 eCPRI RX EOPs ƙidaya: 0
# Channel 1 na waje PTP TX SOPs ƙidaya: 0
# Channel 1 na waje PTP TX EOPs ƙidaya: 0
# Channel 1 Na waje MISC TX SOPs ƙidaya: 0
# Channel 1 na waje MISC TX EOPs ƙidaya: 0
# Channel 1 Na waje RX SOPs ƙidaya: 0
# Tashoshi 1 na waje RX EOPs ƙidaya: 0
# Tashar 2 eCPRI TX SOPs ƙidaya: 0
# Tashar 2 eCPRI TX EOPs ƙidaya: 0
# Tashar 2 eCPRI RX SOPs ƙidaya: 0
# Tashar 2 eCPRI RX EOPs ƙidaya: 0
# Channel 2 na waje PTP TX SOPs ƙidaya: 0
# Channel 2 na waje PTP TX EOPs ƙidaya: 0
# Channel 2 Na waje MISC TX SOPs ƙidaya: 0
# Channel 2 na waje MISC TX EOPs ƙidaya: 0
# Channel 2 Na waje RX SOPs ƙidaya: 0
# Tashoshi 2 na waje RX EOPs ƙidaya: 0
# Tashar 3 eCPRI TX SOPs ƙidaya: 0
# Tashar 3 eCPRI TX EOPs ƙidaya: 0
# Tashar 3 eCPRI RX SOPs ƙidaya: 0
# Tashar 3 eCPRI RX EOPs ƙidaya: 0
# Channel 3 na waje PTP TX SOPs ƙidaya: 0
# Channel 3 na waje PTP TX EOPs ƙidaya: 0
# Channel 3 Na waje MISC TX SOPs ƙidaya: 0
# Channel 3 na waje MISC TX EOPs ƙidaya: 0
# Channel 3 Na waje RX SOPs ƙidaya: 0
# Tashoshi 3 na waje RX EOPs ƙidaya: 0
# __________________________________________________________
# BAYANI: Fara watsa fakiti
# __________________________________________________________
#
#
# BAYANI: Jiran Canjin 0 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 0 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 0 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 0 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 0 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 0 eCPRI na waje TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Canjin 1 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 1 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 1 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 1 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 1 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 1 eCPRI na waje TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Canjin 2 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 2 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 2 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 2 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 2 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 2 eCPRI na waje TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Canjin 3 eCPRI TX canja wurin zirga-zirga don kammala
# BAYANI: Tashar 3 eCPRI TX an gama canja wurin zirga-zirga
# BAYANI: Jiran Tashar 3 eCPRI na waje TX PTP canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 3 eCPRI na waje TX PTP an kammala canja wurin zirga-zirga
# BAYANI: Jiran Tashar 3 eCPRI na waje TX Misc canja wurin zirga-zirga zuwa
cikakke
# BAYANI: Tashar 3 eCPRI na waje TX an gama canja wurin zirga-zirga
# __________________________________________________________
# BAYANI: Dakatar da fakitin watsawa
# __________________________________________________________
#
#
# __________________________________________________________
# BAYANI: Duba kididdigar fakiti
# __________________________________________________________
#
#
# Channel 0 eCPRI SOPs da aka watsa: 50
# Tashar 0 eCPRI EOPs da aka watsa: 50
# Tashoshi 0 eCPRI SOPs sun karɓi: 50
# Tashar 0 eCPRI EOPs sun karɓi: 50
An ruwaito Kuskuren eCPRI Channel 0: 0
# Channel 0 PTP SOPs na waje da aka watsa: 4
# Channel 0 EOPs na PTP na waje da aka watsa: 4
# Channel 0 na waje MISC SOPs da aka watsa: 128
# Tashoshi 0 na waje MISC EOPs da aka watsa: 128
# Channel 0 SOPs na waje sun karɓi: 132
# Tashar 0 EOPs na waje sun karɓi: 132
# Channel 0 PTP SOPs na waje sun karɓi: 4
# Channel 0 EOPs na PTP na waje sun karɓi: 4
# Channel 0 MISC SOPs na waje sun karɓi: 128
# Tashar 0 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 0: 0
# Channel 0 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# Channel 1 eCPRI SOPs da aka watsa: 50
# Tashar 1 eCPRI EOPs da aka watsa: 50
# Tashoshi 1 eCPRI SOPs sun karɓi: 50
# Tashar 1 eCPRI EOPs sun karɓi: 50
An ruwaito Kuskuren eCPRI Channel 1: 0
# Channel 1 PTP SOPs na waje da aka watsa: 4
# Channel 1 EOPs na PTP na waje da aka watsa: 4
# Channel 1 na waje MISC SOPs da aka watsa: 128
# Tashoshi 1 na waje MISC EOPs da aka watsa: 128
# Channel 1 SOPs na waje sun karɓi: 132
# Tashar 1 EOPs na waje sun karɓi: 132
# Channel 1 PTP SOPs na waje sun karɓi: 4
# Channel 1 EOPs na PTP na waje sun karɓi: 4
# Channel 1 MISC SOPs na waje sun karɓi: 128
# Tashar 1 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 1: 0
# Channel 1 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# Channel 2 eCPRI SOPs da aka watsa: 50
# Tashar 2 eCPRI EOPs da aka watsa: 50
# Tashoshi 2 eCPRI SOPs sun karɓi: 50
# Tashar 2 eCPRI EOPs sun karɓi: 50
An ruwaito Kuskuren eCPRI Channel 2: 0
# Channel 2 PTP SOPs na waje da aka watsa: 4
# Channel 2 EOPs na PTP na waje da aka watsa: 4
# Channel 2 na waje MISC SOPs da aka watsa: 128
# Tashoshi 2 na waje MISC EOPs da aka watsa: 128
# Channel 2 SOPs na waje sun karɓi: 132
# Tashar 2 EOPs na waje sun karɓi: 132
# Channel 2 PTP SOPs na waje sun karɓi: 4
# Channel 2 EOPs na PTP na waje sun karɓi: 4
# Channel 2 MISC SOPs na waje sun karɓi: 128
# Tashar 2 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 2: 0
# Channel 2 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# Channel 3 eCPRI SOPs da aka watsa: 50
# Tashar 3 eCPRI EOPs da aka watsa: 50
# Tashoshi 3 eCPRI SOPs sun karɓi: 50
# Tashar 3 eCPRI EOPs sun karɓi: 50
An ruwaito Kuskuren eCPRI Channel 3: 0
# Channel 3 PTP SOPs na waje da aka watsa: 4
# Channel 3 EOPs na PTP na waje da aka watsa: 4
# Channel 3 na waje MISC SOPs da aka watsa: 128
# Tashoshi 3 na waje MISC EOPs da aka watsa: 128
# Channel 3 SOPs na waje sun karɓi: 132
# Tashar 3 EOPs na waje sun karɓi: 132
# Channel 3 PTP SOPs na waje sun karɓi: 4
# Channel 3 EOPs na PTP na waje sun karɓi: 4
# Channel 3 MISC SOPs na waje sun karɓi: 128
# Tashar 3 MISC EOPs na waje sun karɓi: 128
An ruwaito Kuskuren Waje na Channel 3: 0
# Channel 3 Lokacin Wajeamp An ruwaito Kuskuren Sawun yatsa: 0
# __________________________________________________________
# BAYANI: GWAJI WUTA
#
# __________________________________________________________

1.4.1. Ƙaddamar da Maimaituwar Canji zuwa Ethernet IP
Ta hanyar tsoho, an kashe ƙarfin sake daidaitawa a cikin ƙirar IP na eCPRIample kuma yana aiki ne kawai ga Intel Stratix 10 (E-tile da H-tile) da kuma ƙirar Intel Agilex 7 (E-tile) ex.amples.

  1. Nemo layi na gaba a cikin test_wrapper.sv daga <design_example_dir>/simulation/littafin gwaji: siga ETHERNET_DR_EN = 0
  2. Canja darajar daga 0 zuwa 1: siga ETHERNET_DR_EN = 1
  3. Sake kunna simulation ta amfani da wannan exampda zane directory.

1.5. Ƙirƙirar Aikin Tari-Kawai
Don haɗa tarin-kawai exampdon aikin, bi waɗannan matakan:

  1. Tabbatar da ƙirar ƙira example tsara ya cika.
  2. A cikin software na Intel Quartus Prime Pro Edition, buɗe aikin Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. A cikin menu na sarrafawa, danna Fara Tari.
  4. Bayan nasarar tattarawa, ana samun rahotannin lokaci da kuma amfani da albarkatu a cikin zaman ku na Intel Quartus Prime Pro Edition. Je zuwa Gudanarwa ➤ Rahoton Haɗa zuwa view cikakken rahoton kan hadawa.
    Bayanai masu alaƙa
    Toshe-Tsarin Ƙirar Ƙira

1.6. Ƙirƙirar da Ƙaddamar da Zane Exampa cikin Hardware
Don haɗa kayan ƙirar kayan aiki example kuma saita shi akan na'urar Intel, bi waɗannan matakan:

  1. Tabbatar da ƙirar hardware example tsara ya cika.
  2. A cikin software na Intel Quartus Prime Pro Edition, buɗe aikin Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. A cikin menu na sarrafawa, danna Fara Tari.
  4. Bayan nasarar hadawa, a .sof file yana samuwa a cikiample_dir>/ synthesis/quartus/output_files directory. Bi waɗannan matakan don tsara kayan ƙirar kayan aikin exampa kan na'urar Intel FPGA:
    a. Haɗa Kit ɗin haɓakawa zuwa kwamfutar mai ɗaukar nauyi.
    b. Kaddamar da aikace-aikacen Gudanar da Agogo, wanda wani ɓangare ne na kayan haɓakawa, kuma saita sabbin mitoci don ƙirar ƙira.ample. A ƙasa akwai saitunan mitar a cikin aikace-aikacen Ikon Agogo:
    • Idan kuna nufin ƙirar ku akan Intel Stratix 10 GX SI Development Kit:
    - U5, OUT8- 100 MHz
    - U6, OUT3- 322.265625 MHz
    - U6, OUT4 da OUT5- 307.2 MHz
    • Idan kuna nufin ƙirar ku akan Intel Stratix 10 TX SI Development Kit:
    - U1, CLK4-322.265625 MHz (Don ƙimar bayanan 25G)
    - U6-156.25 MHz (Don ƙimar bayanai na 10G)
    - U3, OUT3- 100 MHz
    - U3, OUT8- 153.6 MHz
    • Idan kuna nufin ƙirar ku akan Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    - U37, CLK1A- 100 MHz
    - U34, CLK0P- 156.25 MHz
    - U38, OUT2_P- 153.6 MHz
    • Idan kuna nufin ƙirar ku akan Intel Arria 10 GX SI Development Kit:
    - U52, CLK0- 156.25 MHz
    - U52, CLK1- 250 MHz
    - U52, CLK3- 125 MHz
    - Y5- 307.2 MHz
    - Y6- 322.265625 MHz
    c. A cikin Tools menu, danna Programmer.
    d. A cikin Programmer, danna Saitin Hardware.
    e. Zaɓi na'urar shirye-shirye.
    f. Zaɓi kuma ƙara Kit ɗin Haɓakawa wanda zaman ku na Intel Quartus Prime Pro zai iya haɗawa.
    g. Tabbatar cewa an saita Yanayin zuwa JTAG.
    h. Zaɓi na'urar kuma danna Ƙara Na'ura. Mai Shirya shirye-shirye yana nuna zanen toshewar haɗin kai tsakanin na'urorin da ke kan allo.
    i. Loda .sofi file zuwa na'urar Intel FPGA ku.
    j. Load da tsarin aiwatarwa da haɗin kai (.elf) file zuwa Intel Stratix 10 ko
    Na'urar Intel Agilex 7 idan kuna shirin aiwatar da sake daidaitawa mai ƙarfi (DR) don canza ƙimar bayanai tsakanin 25G da 10G. Bi umarni daga Ƙirƙirar da Zazzage Tsarin Tsarin Gudanarwa da Haɗin kai (.elf). File a shafi na 38 don samar da .elf file.
    k. A cikin jere tare da .sof ɗinku, duba akwatin Shirin/Sanya don .sof file.
    l. Danna Fara.

Bayanai masu alaƙa

  • Tsare-Tsaren Toshe
  • Jagorar Mai Amfani da Intel Quartus Prime Programmer
  • Nazari da Gyara Zane-zane tare da Console System
  • Intel Agilex 7 F-Series Transceiver-SoC Jagoran Mai Amfani da Kit ɗin Ci gaban
  • Intel Stratix 10 GX Mai Rarraba Siginar Mutuncin Haɓaka Kit ɗin Jagorar Mai Amfani
  • Intel Stratix 10 TX Mai Rarraba Siginar Ingantacciyar Haɓaka Jagorar Mai Amfani
  • Intel Arria 10 GX Mai Rarraba Siginar Mutunci Haɓaka Kit ɗin Jagorar Mai Amfani

1.7. Gwajin eCPRI Intel FPGA IP Design Example
Bayan kun tattara eCPRI Intel FPGA IP core design exampda kuma saita shi akan na'urar Intel FPGA, zaku iya amfani da System Console don tsara ainihin IP da maƙallan Native PHY IP core rajista.
Don kunna System Console da gwada ƙirar kayan masarufi exampko, bi waɗannan matakan:

  1. Bayan hardware zane example an saita a kan na'urar Intel, a cikin Intel Quartus Prime Pro Edition software, akan menu na Kayan aiki, danna Kayan aikin Debugging System ➤ System Console.
  2. A cikin rukunin Tcl Console, canza shugabanci zuwa <design_example_dir>/ synthesis/quartus/hardware_test kuma rubuta umarni mai zuwa don buɗe haɗi zuwa J.TAG master kuma fara gwajin:
    • tushen ecpri_agilex.tcl don ƙirar Intel Agilex 7
    • tushen ecpri_s10.tcl don ƙirar Intel Stratix 10
    • tushen ecpri_a10.tcl don ƙirar Intel Arria 10
  3. Don bambance-bambancen na'urar Intel Stratix 10 ko Intel Agilex 7 E-tile, dole ne ku aiwatar da umarnin dawo da baya na ciki ko na waje sau ɗaya bayan kun tsara .sof. file:
    a. Gyara TEST_MODE m a cikin kwarara.c file don zaɓar yanayin madauki:
    TEST_MODE Aiki
    0 Serial loopback yana kunna simulation kawai
    1 Serial loopback yana kunna don hardware kawai
    2 Serial loopback da calibration
    3 Calibration kawai

    Dole ne ku sake tarawa da sabunta software na NIOS II a duk lokacin da kuka canza kwarara.c file.
    b. Sake haɓaka .kai file da kuma shirye-shiryen zuwa hukumar sau ɗaya kuma sake tsara .sof file.

  4. Gwada aikin ƙira ta hanyar umarnin da aka goyan baya a cikin rubutun na'ura mai kwakwalwa. Rubutun wasan bidiyo na tsarin yana ba da umarni masu amfani don ƙididdige ƙididdiga da fasalulluka waɗanda ke ba da damar ƙira.

Tebur 4. Umarnin Rubutun Na'ura mai kwakwalwa

Umurni Bayani
madauki Yana ba da damar TX zuwa RX dawo da serial na ciki. Yi amfani don Intel Stratix 10 H-tile da Intel Arria 10 na'urorin kawai.
madauki Yana kashe TX zuwa RX na ciki serial loopback. Yi amfani don Intel Stratix 10 H-tile da Intel Arria 10 na'urorin kawai.
hanyar haɗi _ init _ int _1pbk Yana ba da damar TX zuwa RX na ciki serial loopback a cikin transceiver kuma yana aiwatar da kwararar daidaitawar transceiver. Ya dace da Intel Stratix 10 E-tile da Intel Agilex 7 E-tile ƙira kawai.
hanyar haɗi _ init _ ext _1pbk Yana kunna madauki na waje TX zuwa RX kuma yana aiwatar da kwararar daidaitawar transceiver. Ya dace da Intel Stratix 10 E-tile da Intel Agilex 7 E-tile ƙira kawai.
hana zirga-zirga Yana kashe janareta da abin dubawa.
chkmac stats Nuna ƙididdiga don Ethernet MAC.
read_ test_ kididdiga Nuna ƙididdiga na kuskure don janareta na zirga-zirga da masu dubawa.
ext _ ci gaba _ yanayin _en Yana sake saita tsarin ƙira gabaɗaya, kuma yana ba da damar janareta na zirga-zirga don samar da fakitin zirga-zirgar ci gaba.
dr _ 25g _ zuwa _ log _etile Yana canza ƙimar bayanan Ethernet MAC daga 25G zuwa 10G. Yi amfani don Intel Stratix 10 E-tile da Intel Agilex 7 E-tile na'urorin kawai.
dr_25g_zuwa_10g_htile Yana canza ƙimar bayanan Ethernet MAC daga 25G zuwa 10G. Yi amfani da na'urorin H-tile kawai
dr_10g_zuwa_25g_etile Yana canza ƙimar bayanan Ethernet MAC daga 10G zuwa 25G. Yi amfani don Intel Stratix 10 E-tile da Intel Agilex 7 E-tile na'urorin kawai.
dr _25g_zuwa_log_htile Yana canza ƙimar bayanan Ethernet MAC daga 10G zuwa 25G. Yi amfani da na'urorin H-tile kawai.

Mai zuwa sample fitarwa yana kwatanta nasarar gwajin gwagwarmaya:
Buga na'urar Console System (Yawan Tashoshi = 1)
Tashar 0 EXT PTP TX SOP ƙidaya: 256
Tashar 0 EXT PTP TX EOP ƙidaya: 256
Tashar 0 EXT MISC TX SOP ƙidaya: 36328972
Tashar 0 EXT MISC TX EOP ƙidaya: 36369511
Tashar 0 EXT RX SOP ƙidaya: 36410364
Tashar 0 EXT RX EOP ƙidaya: 36449971
Kurakurai na Tashar 0 EXT: 0
Tashar 0 EXT Kuskuren Dubawa Yana ƙidaya: 0
Tashar 0 EXT PTP Kurakurai na Sawun yatsa: 0
Tashar 0 EXT PTP Kuskuren Rubutun yatsa ƙirga: 0
Tashar 0 TX SOP ƙidaya: 1337760
Tashar 0 TX EOP ƙidaya: 1339229
Tashar 0 RX SOP ƙidaya: 1340728
Tashar 0 RX EOP ƙidaya: 1342555
Kurakurai na Channel 0: 0
Kuskuren Mai duba Channel 0 yana ƙidaya: 0

===================================================
==========
KIdiddigan ETHERNET MAC NA Channel 0 (Rx)

===================================================
==========
Rarraba Frames: 0
Frames na Jabbered: 0
Girman Dama tare da FCS Err Frames: 0
Multicast data Kuskure Frames: 0
Bayanan Watsawa Kuskure Frames: 0
Bayanan Unicast Kuskure Frames: 0
64 Firam ɗin Byte: 3641342
65 - 127 Frames Byte: 0
128 - 255 Frames Byte: 37404809
256 - 511 Frames Byte: 29128650
512 - 1023 Frames Byte: 0
1024 - 1518 Frames Byte: 0
1519 - MAX Firam ɗin Byte: 0
> MAX Byte Frames: 0
Multicast data Ok Frame: 70174801
Bayanan watsa shirye-shirye OK Frame: 0
Bayanan Unicast Ok Frames: 0
Multicast Control Frames: 0
Frames Control Watsa shirye-shirye: 0
Frames Sarrafa Unicast: 0
Dakatar da Firam ɗin sarrafawa: 0
Farashin Octets Ok: 11505935812
Frame Octets OK: 12918701444
Rx Matsakaicin Tsawon Tsawon Tsarin: 1518
Kowane Girma tare da FCS Err Frame: 0
Tsarin Kuskuren Multicast Control: 0
Kuskuren Tsarin Watsa shirye-shirye: 0
Kuskuren Ikon Unicast: 0
Dakatar da Kuskuren Frames: 0
Rx Frame yana farawa: 70174801

Mai zuwa shine sampfitarwa don gwajin gwajin 25G zuwa 10G DR:
Buga na'ura mai ba da hanya tsakanin hanyoyin sadarwa (25G zuwa 10G DR E-tile)

Ƙaddamar da Ƙaddamarwa Mai Sauƙi don Ethernet 25G -> 10G
DR Nasara 25G -> 10G
Rijistar RX PHY: Duba Mitar Agogo (KHz)
TXCLK: 16114 (KHZ)
RXCLK: 16113 (KHZ)
Zaɓen Matsayin RX PHY
Matsayin Kulle Mitar Rx 0x0000000f
Mac Clock a Yanayin Ok? 0x00000001
Kuskuren Frame Rx? 0x00000000
An Daidaita Rx PHY? 0x00000001
Tashar RX PHY Polling 0
RX PHY Channel 0 yana aiki kuma yana gudana!

Buga na'ura mai ba da hanya tsakanin hanyoyin sadarwa (25G zuwa 10G DR H-tile)
Ƙaddamar da Ƙaddamarwa Mai Sauƙi don Ethernet 25G -> 10G
DR Nasara 25G -> 10G
Rijistar RX PHY: Duba Mitar Agogo (KHz)
TXCLK: 15625 (KHZ)
RXCLK: 15625 (KHZ)
Zaɓen Matsayin RX PHY
Matsayin Kulle Mitar Rx 0x00000001
Mac Clock a Yanayin Ok? 0x00000007
Kuskuren Frame Rx? 0x00000000
An Daidaita Rx PHY? 0x00000001
Tashar RX PHY Polling 0
RX PHY Channel 0 yana aiki kuma yana gudana!

Buga na'ura mai ba da hanya tsakanin hanyoyin sadarwa (10G zuwa 25G DR E-tile)
Ƙaddamar da Ƙaddamarwa Mai Sauƙi don Ethernet 10G -> 25G
DR Nasara 10G -> 25G
Rijistar RX PHY: Duba Mitar Agogo (KHz)
TXCLK: 40283 (KHZ)
RXCLK: 40283 (KHZ)
Zaɓen Matsayin RX PHY
Matsayin Kulle Mitar Rx 0x0000000f
Mac Clock a Yanayin Ok? 0x00000001
Kuskuren Frame Rx? 0x00000000
An Daidaita Rx PHY? 0x00000001
Tashar RX PHY Polling 0
RX PHY Channel 0 yana aiki kuma yana gudana!

Buga na'ura mai ba da hanya tsakanin hanyoyin sadarwa (10G zuwa 25G DR H-tile)
Ƙaddamar da Ƙaddamarwa Mai Sauƙi don Ethernet 10G -> 25G
DR Nasara 10G -> 25G
Rijistar RX PHY: Duba Mitar Agogo (KHz)
TXCLK: 39061 (KHZ)
RXCLK: 39063 (KHZ)
Zaɓen Matsayin RX PHY
Matsayin Kulle Mitar Rx 0x00000001
Mac Clock a Yanayin Ok? 0x00000007
Kuskuren Frame Rx? 0x00000000
An Daidaita Rx PHY? 0x00000001
Tashar RX PHY Polling 0
RX PHY Channel 0 yana aiki kuma yana gudana!

Zane Example Bayanin

Zane example yana nuna ainihin aikin eCPRI IP core. Kuna iya samar da zane daga ExampLe Design shafin a cikin eCPRI IP editan siga.

2.1. Features

  • Yanayin TX na ciki da RX serial loopback yanayin
  • Yana haifar da ƙayyadaddun fakiti masu girman kai ta atomatik
  • Asalin damar duba fakiti
  • Ikon amfani da Console System don gwada ƙira da sake saita ƙira don sake gwadawa

2.2. Tsarin Hardware Example
Hoto 5. Toshe zane don Intel Agilex 7 F-tile DesignseCPRI Intel FPGA IP Design - Hoto 5

Kamfanin Intel. An kiyaye duk haƙƙoƙi. Intel, tambarin Intel, da sauran alamun Intel alamun kasuwanci ne na Kamfanin Intel Corporation ko rassan sa. Intel yana ba da garantin aiwatar da samfuran FPGA da semiconductor zuwa ƙayyadaddun bayanai na yanzu daidai da daidaitaccen garanti na Intel, amma yana da haƙƙin yin canje-canje ga kowane samfuri da sabis a kowane lokaci ba tare da sanarwa ba. Intel ba ya ɗaukar wani nauyi ko alhaki da ya taso daga aikace-aikacen ko amfani da kowane bayani, samfur, ko sabis da aka kwatanta a nan sai dai kamar yadda Intel ya yarda da shi a rubuce. An shawarci abokan cinikin Intel su sami sabon sigar ƙayyadaddun na'urar kafin su dogara ga kowane bayanan da aka buga kuma kafin sanya oda don samfur ko ayyuka. *Wasu sunaye da tambura ana iya da'awarsu azaman mallakar wasu.

Hoto 6. Toshe zane don Intel Agilex 7 E-tile DesignseCPRI Intel FPGA IP Design - Hoto 6Hoto 7. Toshe zane don Intel Stratix 10 DesignseCPRI Intel FPGA IP Design - Hoto 7

Hoto 8. Toshe zane don Intel Arria 10 DesignseCPRI Intel FPGA IP Design - Hoto 8eCPRI Intel FPGA IP core ƙirar ƙirar kayan aikin example ya ƙunshi abubuwa masu zuwa:
eCPRI Intel FPGA IP
Yana karɓar bayanai daga masu samar da zirga-zirgar ababen hawa da ke nan take a cikin naɗin gwajin kuma yana ba da fifikon bayanai don watsawa zuwa Ethernet IP.

Ethernet IP

  • F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-tile zane)
  • E-tile Hard IP don Ethernet (Intel Stratix 10 ko Intel Agilex 7 E-tile zane)
  • 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-tile zane)
  • Low Latency Ethernet 10G MAC IP da 1G/10GbE da 10GBASE-KR PHY IP (Intel Arria 10 ƙira)

Daidaitaccen Lokaci Protocol (PTP) IO PLL
Don ƙirar Intel Stratix 10 H-tile - An ƙaddamar da shi don samar da agogon shigar da ma'aunin latency don Ethernet IP da sampagogon ling don Time of Day (TOD) subsystem. Don 25G Ethernet Intel Stratix 10 FPGA IP tare da fasalin IEEE 1588v2, Intel yana ba ku shawarar saita mitar wannan agogo zuwa 156.25 MHz. Koma zuwa 25G Ethernet Intel Stratix 10 FPGA IP Jagorar mai amfani da Intel Stratix 10 H-tile Transceiver PHY Jagorar mai amfani don ƙarin bayani. PTP IOPLL kuma yana haifar da agogon tunani don eCPRI IO PLL a cikin hanyar cascading.
Don ƙirar Intel Arria 10-An ƙaddamar da shi don samar da abubuwan shigar agogo na 312.5 MHz da 156.25 MHz don ƙananan Latency Ethernet 10G MAC IP da 1G/10GbE, 10GBASE-KR PHY IP, da eCPRI IP .

eCPRI IO PLL
Yana haifar da babban agogo na 390.625 MHz don hanyar TX da RX na eCPRI IP, da abubuwan zirga-zirga.
Lura: Wannan toshe yana nan kawai a cikin zane example wanda aka samar don Intel Stratix 10 da Intel Agilex 7 na'urorin.

Lura: Sigar na yanzu na eCPRI Intel FPGA IP kawai yana goyan bayan nau'in IWF 0. Don Intel Agilex 7 F-tile na'urorin, ƙirar ex.ampba a tallafawa ba tare da fasalin IWF ba.
Lokacin da ka samar da zane exampTare da Ayyukan Haɗin kai (IWF) an kashe siginar tallafi, fakitin zirga-zirgar zirga-zirgar zirga-zirgar zirga-zirgar fakiti yana gudana kai tsaye daga tsarin kundi na gwaji zuwa tushen tushen Avalon-ST / nutsewa da keɓaɓɓiyar tushen tushen / nutsewar waje na eCPRI IP.
Lokacin da ka samar da zane examptare da Ayyukan Haɗin kai (IWF) an kunna siginar tallafi, fakitin zirga-zirgar zirga-zirgar ababen hawa yana gudana zuwa IWF Avalon-ST sink dubawa daga samfurin kundi na gwaji da farko, kuma yana fitowa daga tushen tushen IWF Avalon-ST zuwa tushen eCPRI Avalon-ST / nutse. dubawa.
Farashin MAC
Yana ba da ɓangaren CPRI na Layer 1 da cikakkun ka'idojin Layer 2 don canja wurin jirgin sama mai amfani, C&M, da bayanin aiki tare tsakanin REC da RE da kuma tsakanin RE guda biyu,
Farashin CPRI PHY
Yana ba da ragowar ɓangaren CPRI Layer 1 yarjejeniya don lambar layi, gyara kuskure / ganowa, da sauransu.

Lura: CPRI MAC da CPRI PHY IP sun kasance cikin wannan ƙiraampLe an saita su don yin aiki akan ƙimar layin CPRI guda 9.8 Gbps kawai. Zane example baya goyan bayan shawarwarin kai tsaye na ƙimar layi a cikin sakin na yanzu.

Gwajin Wrapper
Ya ƙunshi masu samar da zirga-zirgar ababen hawa da masu dubawa waɗanda ke haifar da fakiti daban-daban na fakitin bayanai zuwa mussoshin Avalon Streaming (Avalon-ST) na eCPRI IP kamar yadda ke ƙasa:

  • fakitin eCPRI zuwa tushen Avalon-ST / mahaɗar nutsewa (an kashe fasalin IWF):
    - Kawai yana goyan bayan nau'in saƙo na 2.
    - Juyawa yanayin baya-baya tare da haɓaka yanayin ƙirar ƙira da girman nauyin kaya na 72 bytes ga kowane fakiti.
    - Ana iya daidaitawa ta hanyar CSR don gudana cikin ko dai mara ci gaba ko yanayin ci gaba.
    - Matsayin kididdigar fakiti TX/RX akwai don samun dama ta hanyar CSR.
  • fakitin eCPRI zuwa tushen Avalon-ST / mahaɗar nutsewa (an kunna fasalin IWF):
    - Kawai yana goyan bayan nau'in saƙon 0 a cikin sakin yanzu.
    - Ƙirƙirar yanayin ƙirar ƙira tare da tsara tazarar fakiti da girman kaya na bytes 240 ga kowane fakiti.
    - Ana iya daidaitawa ta hanyar CSR don gudana cikin ko dai mara ci gaba ko yanayin ci gaba.
    - Matsayin kididdigar fakiti TX/RX akwai don samun dama ta hanyar CSR.
  • Fakitin Daidaitaccen Lokaci (1588 PTP) fakiti da fakiti daban-daban wadanda ba na PTP ba zuwa hanyoyin musaya na tushen waje/ nutsewa:
    - Ƙwararrun madaidaicin Ethernet tare da sigogi da aka riga aka ƙayyade: Ethertype0x88F7, nau'in saƙo- Opcode 0 (Sync), da sigar PTP-0.
    - Ƙirƙirar yanayin ƙirar da aka riga aka ƙayyade tare da tazarar fakiti na zagaye 2 da girman nauyin kaya na bytes 57 ga kowane fakiti.
    - Ana samar da fakiti 128 a cikin kowane daƙiƙa ɗaya.
    - Ana iya daidaitawa ta hanyar CSR don gudana cikin ko dai mara ci gaba ko yanayin ci gaba.
    - Matsayin kididdigar fakiti TX/RX akwai don samun dama ta hanyar CSR.
  • Fakiti iri-iri marasa na PTP na waje:
    - Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararru, Ethertype-0x8100 (ba PTP).
    - Ƙirƙirar yanayin ƙirar PRBS tare da tazarar fakiti na kewayon 2 da girman nauyin kaya na bytes 128 ga kowane fakiti.
    - Ana iya daidaitawa ta hanyar CSR don gudana cikin ko dai mara ci gaba ko yanayin ci gaba.
    - Matsayin kididdigar fakiti TX/RX akwai don samun dama ta hanyar CSR.

Time of Day (TOD) subsystem
Ya ƙunshi nau'ikan IEEE 1588 TOD guda biyu don duka TX da RX, da IEEE 1588 TOD Synchronizer guda ɗaya wanda Intel Quartus Prime software ya samar.
Nios® II Subsystem
Ya ƙunshi gadar Avalon-MM wacce ke ba da damar daidaita bayanan Avalon-MM tsakanin mai sarrafa Nios II, na'urar gwaji, da tubalan adireshin Avalon® -MM.
Nios II ne ke da alhakin aiwatar da canjin ƙimar bayanai dangane da fitarwa daga ƙimar rijistar kuɗaɗen kundi. Wannan toshe yana tsara rajistar da ake buƙata da zarar ya karɓi umarni daga nadin gwajin.

Lura: Wannan toshe baya cikin zane exampLe wanda aka samar don Intel Arria 10 da Intel Agilex 7 F-tile na'urorin.
Tsarin Console
Yana ba da ƙa'idodin abokantaka mai amfani don ku don yin gyara matakin farko da saka idanu akan matsayin IP, da janareta na zirga-zirga da masu dubawa.
Ikon Demo
Wannan tsarin ya ƙunshi na'urori na sake saiti na aiki tare, da In-system Source and Probe (ISSP) kayayyaki don ƙira tsarin gyara kurakurai da tsarin farawa.

Bayanai masu alaƙa

  • 25G Ethernet Intel Stratix 10 FPGA IP Jagorar Mai amfani
  • E-tile Hard IP Jagorar mai amfani
  • eCPRI Intel FPGA IP Jagorar Mai amfani
  • 25G Ethernet Intel Stratix 10 FPGA IP Design ExampJagorar Mai Amfani
  • E-tile Hard IP don Intel Stratix 10 Design ExampJagorar Mai Amfani
  • Intel Stratix 10 L- da H-Tile Transceiver PHY Jagoran Mai Amfani
  • E-Tile Transceiver PHY Jagoran Mai Amfani
  • Intel Stratix 10 10GBASE-KR PHY IP Jagorar Mai Amfani
  • E-tile Hard IP Intel Agilex Design ExampJagorar Mai Amfani

2.3. Tsarin Simulators Example
Tsarin eCPRI example yana haifar da simulation testbench da simulation files wanda ke kunna eCPRI Intel FPGA IP core lokacin da kuka zaɓi zaɓin Simulation ko Synthesis & Simulation.

Hoto 9. eCPRI Intel FPGA IP Simulation Block zaneeCPRI Intel FPGA IP Design - Hoto 9

Lura: Toshewar Nios II Subsystem baya kasancewa a cikin ƙira exampLe wanda aka samar don Intel Arria 10 da Intel Agilex 7 F-tile na'urorin.
A cikin wannan zane example, simulation testbench yana ba da ayyuka na asali kamar farawa da jira kulle, watsawa da karɓar fakiti.

Gudun gwajin nasara yana nuna fitarwa mai tabbatar da halaye masu zuwa:

  1. Dabarar abokin ciniki tana sake saita ainihin IP.
  2. Hankalin abokin ciniki yana jiran daidaita hanyoyin bayanan RX.
  3. Ma'anar abokin ciniki yana watsa fakiti akan haɗin Avalon-ST.
  4. Karɓa da bincika abun ciki da daidaiton fakitin.
  5. Nuna saƙon "GWAJI WUCE".

2.4. Siginonin Sadarwa
Tebur 5. Zane Exampda Alamar Interface

Sigina Hanyar Bayani
clk_ref Shigarwa Agogon magana don Ethernet MAC.
• Don Intel Stratix 10 E-tile, Intel Agilex 7 E-tile da ƙirar F-tile, shigarwar agogo 156.25 MHz don E-tile Ethernet Hard IP core ko F-tile Ethernet Hard IP core. Haɗa zuwa i_clk_ref[0] a cikin Ethernet Hard IP.
• Don ƙirar Intel Stratix 10 H-tile, shigarwar agogo 322.2625 MHz don Transceiver ATX PLL da 25G Ethernet IP. Haɗa zuwa pll_refclk0[0] a cikin Transceiver ATX PLL da clk_ref[0] a cikin 25G Ethernet IP.
• Don ƙirar Intel Arria 10, shigarwar agogo 322.265625 MHz don Transceiver ATX PLL da 1G/ 10GbE da 10GBase-KR PHY IP. Haɗa zuwa pll_refclk0[0] a cikin Transceiver ATX PLL da rx_cdr_ref_clk_10g[0] a cikin 1G/ 10GbE da 10G BASE-KR PHY IP.
tod_sync_sampling_clk Shigarwa Don ƙirar Intel Arria 10, shigarwar agogo 250 MHz don tsarin TOD.
klk100 Shigarwa Agogon gudanarwa. Ana amfani da wannan agogon don samar da latency_clk don PTP. Tuba a 100 MHz.
mgmt_reset_n Shigarwa Sake saitin sigina don tsarin Nios II.
tx_serial Fitowa bayanan serial TX. Yana goyan bayan har zuwa tashoshi 4.
rx_serial Shigarwa RX bayanan serial. Yana goyan bayan har zuwa tashoshi 4.
iwf_cpri_ehip_ref_clk Shigarwa E-tile CPRI PHY shigarwar agogon tunani. Wannan agogon yana cikin Intel Stratix 10 E-tile da Intel kawai
Agilex 7 E-tile kayayyaki. Fita a 153.6 MHz don ƙimar layin CPRI 9.8 Gbps.
iwf_cpri_pll_refclk0 Fitowa CPRI TX PLL agogon tunani.
• Don ƙirar Intel Stratix 10 H-tile: Tuba a 307.2 MHz don ƙimar bayanan CPRI 9.8 Gbps.
• Don Intel Stratix 10 E-tile da Intel Agilex 7 E-tile ƙira: Tuba a 156.25 MHz don ƙimar bayanan CPRI 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Fitowa CDR mai karɓar CPRI agogon tunani. Wannan agogon yana cikin ƙirar Intel Stratix 10 H-tile kawai.
Fita a 307.2 MHz don ƙimar layin CPRI 9.8 Gbps.
iwf_cpri_xcvr_txdataout Fitowa CPRI tana watsa bayanan serial. Yana goyan bayan har zuwa tashoshi 4.
iwf_cpri_xcvr_rxdatain Fitowa Serial bayanan mai karɓar CPRI. Yana goyan bayan har zuwa tashoshi 4.
cpri_gmii_clk Shigarwa Agogon shigarwar CPRI GMII 125 MHz.

Bayanai masu alaƙa
PHY Interface Signals
Yana jera siginonin mu'amalar PHY na 25G Ethernet Intel FPGA IP.

2.5. Zane Exampda Rajista taswira
A ƙasa akwai taswirar rajista don eCPRI IP core design exampda:
Tebur 6. eCPRI Intel FPGA IP Design Exampda Rajista taswira

Adireshi  Yi rijista
0x20100000 – 0x201FFFFF(2) IOPLL Rijistar Sake daidaitawa.
0x20200000 - 0x203FFFF Ethernet MAC Avalon-MM Rajista
0x20400000 - 0x205FFFF Ethernet MAC Native PHY Avalon-MM Rajista
0x20600000 – 0x207FFFFF(2) PHY RS-FEC Avalon-MM Rajista.
0x40000000 - 0x5FFFFFF eCPRI IP Avalon-MM Rajista
0x80000000 - 0x9FFFFFF Ethernet Design Test Generator/Verifier Avalon-MM Rajista

Tebur 7. Nios II Taswirar Rijistar
Rijistar da ke ƙasan tebur suna samuwa ne kawai a cikin ƙirar ƙiraample wanda aka samar don Intel Stratix 10 ko Intel Agilex 7 E-tile na'urorin.

Adireshi  Yi rijista
0x00100000 - 0x001FFFF IOPLL Rijistar Sake daidaitawa
0x00200000 - 0x003FFFF Ethernet MAC Avalon-MM Rajista
0x00400000 - 0x005FFFF Ethernet MAC Native PHY Avalon-MM Rajista
0x00600000 - 0x007FFFF PHY RS-FEC Avalon-MM Rajista

Lura: Kuna iya samun damar adireshin MAC na Ethernet da Ethernet MAC Native PHY AVMM rajista ta amfani da soket na kalma maimakon kashe byte.
Don cikakkun bayanai akan Ethernet MAC, Ethernet MAC Native PHY, da eCPRI IP core maps rajista, koma zuwa jagororin masu amfani daban-daban.

(2)Sai dai a cikin zane example wanda aka samar don Intel Stratix 10 da Intel Agilex 7 E-tile na'urorin.

Tebur 8. eCPRI Intel FPGA IP Hardware Design Exampda Rajista taswira

Kashe Magana  Nau'in Rijista  Default Value  Nau'in Samun shiga
0 x0 Fara Aika Bayanai:
• Bit 1: PTP, wanda ba na PTP ba
• Bit 0: nau'in eCPRI
0 x0 RW
0 x1 Kunna Fakitin Ci gaba 0 x0 RW
0 x2 Share Kuskure 0 x0 RW
0x3 (3) Canjin Rate:
• Bit [7] - Yana nuna tayal:
- 1'b0: H-tile
- 1'b1: E-tile
• Bit [6:4] - Yana nuna canjin ƙimar bayanan Ethernet:
- 3'b000: 25G zuwa 10G
- 3'b001: 10G zuwa 25G
• Bit [0] - Canja ƙima. Ana buƙatar saita wannan bit 0 da jefa ƙuri'a har sai bit 0 ya bayyana don canjin ƙimar.
Lura: Babu wannan rijistar don Intel Agilex 7 F-tile da Intel Arria 10 ƙira.
• E-tile: 0x80
• H-tile: 0x0
RW
0x4 (3) Ana Canja Ƙimar:
• Bit [1] yana nuna canjin ƙimar da aka yi.
0 x0 RO
0x5 (4) Matsayin Kanfigareshan Tsari:
• Bit [31]: A shirye tsarin
• Bit [30]: IWF_EN
• Bit [29]: STARTUP_SEQ_EN
• Bit [28:4]: Ajiye
• Bit [3]: EXT_PACKET_EN
• Bit [2:0]: Ajiye
0 x0 RO
0x6 (4) Tattaunawar CPRI ta cika:
• Bit [3:0]: Ƙimar Bit cikakke
• Bit [19:16]: Cikakkun yarjejeniya
0 x0 RW
0x7 (4) Tattaunawar CPRI ta cika:
• Bit [3:0]: Mai sauri C&M cikakke
• Bit [19:16]: Mai sauri VSS cikakke
0 x0 RW
0x8 - 0x1F Ajiye
0 x20 An Katse Kuskuren eCPRI:
• Bit [0] yana nuna katsewa.
0 x0 RO
0 x21 Kuskuren Fakiti na Waje 0 x0 RO
0 x22 Fakitin PTP na waje TX ƙidaya Fara Fakiti (SOP). 0 x0 RO
0 x23 Ƙididdigar Ƙarshen Fakitin PTP TX na waje (EOP). 0 x0 RO
0 x24 Abubuwan Fakiti na Waje na TX SOP ƙidaya 0 x0 RO
0 x25 Abubuwan Fakiti na Waje TX EOP ƙidaya 0 x0 RO
0 x26 Fakitin RX na waje SOP ƙidaya 0 x0 RO
0 x27 Fakitin RX na waje na EOP 0 x0 RO
0 x28 Ƙididdiga Kuskuren Fakiti na Waje 0 x0 RO
0x29-0C ku Ajiye
0 x2d Lokacin PTP na wajeamp Ƙididdiga Kuskuren Sawun yatsa 0 x0 RO
0x2E Lokacin PTP na wajeamp Kuskuren Sawun yatsa 0 x0 RO
0x2F ku Matsayin Kuskuren Rx na waje 0 x0 RO
0x30 - 0x47 Ajiye
0 x48 Kuskuren fakiti na eCPRI RO
0 x49 Ƙididdigar eCPRI TX SOP RO
0x4A Ƙididdigar eCPRI TX EOP RO
0x4B Ƙididdigar eCPRI RX SOP RO
0x4c ku Ƙididdigar eCPRI RX EOP RO
0 x4d Ƙididdigar Kuskuren Fakiti na eCPRI RO

Bayanai masu alaƙa

  • Bayanin Gudanarwa, Matsayi, da Bayanan Lissafi
    Yi rijista bayanai don 25G Ethernet Stratix 10 FPGA IP
  • Sake saitawa da Rajista Status
    Bayanin Yi rijista bayanai don E-tile Hard IP don Ethernet
  • Masu yin rijista
    Yi rijista bayanai don eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Design ExampRukunin Rubutun Jagorar Mai Amfani

Don sabbin juzu'ai da na baya na wannan jagorar mai amfani, koma zuwa eCPRI Intel FPGA IP Design Exampsigar HTML Jagorar Mai amfani. Zaɓi nau'in kuma danna Zazzagewa. Idan ba a jera sigar IP ko software ba, jagorar mai amfani na IP ɗin da ta gabata ko sigar software ta shafi.

Tarihin Bita na Takardu don eCPRI Intel FPGA IP Design ExampJagorar Mai Amfani

Sigar Takardu Intel Quartus
Babban Sigar
Sigar IP Canje-canje
2023.05.19 23.1 2.0.3 • An sabunta Simulating da Zane ExampLe Testbench sashe a cikin Quick Start Guide babin.
• An sabunta sunan dangin samfurin zuwa "Intel Agilex 7".
2022.11.15 22.3 2.0.1 An sabunta umarnin don na'urar kwaikwayo ta VCS a cikin sashe: Yin kwaikwayon Zane Exampda Testbench.
2022.07.01 22.1 1.4.1 • Ƙara ƙirar kayan masarufi exampgoyan bayan Intel Agilex 7 F-tile bambancin na'urar.
• Ƙarin tallafi don abubuwan haɓakawa masu zuwa:
- Intel Agilex 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
• Ƙara tallafi don na'urar kwaikwayo ta QuestaSim.
• Cire goyan bayan ModelSim* SE na'urar kwaikwayo.
2021.10.01 21.2 1.3.1 • Ƙara tallafi don na'urorin tayal F-tile na Intel Agilex 7.
• Ƙara tallafi don ƙirar tashoshi da yawa.
• Teburin da aka sabunta: eCPRI Intel FPGA IP Hardware Design Exampda Rajista taswira.
• Cire tallafi don na'urar kwaikwayo ta NCSim.
2021.02.26 20.4 1.3.0 • Ƙara goyon baya ga Intel Agilex 7 E-tile na'urorin.
2021.01.08 20.3 1.2.0 • Canza taken daftarin aiki daga eCPRI Intel Stratix 10 FPGA IP Design ExampJagorar Mai Amfani zuwa
eCPRI Intel FPGA IP Design ExampJagorar Mai Amfani.
• Ƙara tallafi don ƙirar Intel Arria 10.
• Ƙirar IP na eCPRI exampLe yana samuwa yanzu tare da aikin haɗin kai (IWF) goyon bayan fasalin.
• Ƙara bayanin kula don fayyace cewa ƙirar eCPRI exampLe tare da fasalin IWF yana samuwa kawai don 9.8 Gbps CPRI
layin bit kudi.
• Ƙara yanayi a sashe Samar da Zane lokacin samar da ƙira exampda da
Ayyukan Haɗin kai (IWF) an kunna siginar tallafi.
• Ƙara sampLe simulation test run fitarwa tare da kunna fasalin IWF a cikin sashin Simulating da Zane
Exampda Testbench.
• Ƙara sabon sashe Yana ba da damar sake daidaitawa mai ƙarfi zuwa Ethernet IP.
• Gwajin kayan aikin da aka sabunta sample fitarwa a cikin sashe
Gwajin eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • Ƙara tallafi don ƙimar bayanai na 10G.
• kwarara.c file yana samuwa yanzu tare da zane examptsara don zaɓar yanayin madauki.
• Gyara sample fitarwa don gudanar da gwajin simulation a sashe Yin kwaikwayon Zane Exampda Testbench.
• Ƙara ƙimar mitar don gudanar da ƙirar ƙimar bayanai na 10G a cikin sashin Haɗawa da Haɓakawa
Zane Exampa cikin Hardware.
• Anyi canje-canje masu biyowa a sashe Gwajin eCPRI Intel FPGA IP Design Exampda:
- Ƙara umarni don canza ƙimar bayanai tsakanin 10G da 25G
- An kara sample fitarwa don canja wurin adadin bayanai
- Ƙara bayanin m TEST_MODE don zaɓar madauki a cikin bambance-bambancen na'urar E-tile.
• Ingantaccen eCPRI Intel FPGA IP Hardware Design Examples Tsarin Toshe Babban Matsayi don haɗa sababbi
tubalan.
Tebur da aka sabunta: Zane ExampSiginan Intanet don haɗa sabon sigina.
• Sabunta Zane ExampSashen Taswirar Rajista.
• Ƙara sabon sashin shafi: Ƙirƙirar da Zazzage Tsarin Tsarin Gudanarwa da Haɗa (.elf) Shirye-shiryen File .
2020.04.13 19.4 1.1.0 Sakin farko.

A. Ƙirƙirar da Zazzage Tsarin Tsarin Gudanarwa da Haɗa (.elf) Shirye-shiryen File

Wannan sashe yana bayanin yadda ake samarwa da zazzage .elf file zuwa allon:

  1. Canja shugabanci zuwa <design_example_dir>/synthesis/quatus.
  2. A cikin Intel Quartus Prime Pro Edition software, danna Buɗe Project kuma buɗe <design_example_dir>/synthesis/quartus/epri_ed.qpf. Yanzu zaɓi Kayan aikin ➤ Nios II Kayan aikin Gina Software don Eclipse.
    Hoto 10. Nios II Kayan aikin Gina Software don EclipseeCPRI Intel FPGA IP Design - Hoto 10
  3. Tagan Launcher na Aiki yana bayyana. A cikin Wurin Aiki saka hanyar azaman <design_example_dir>/synthesis/quatus don adana aikin Eclipse na ku. Sabuwar Nios II – taga kusufi ya bayyana.
    Hoto 11. Tagar Launcher SpaceeCPRI Intel FPGA IP Design - Hoto 11
  4. A cikin taga Nios II – Eclipse, danna-dama a ƙarƙashin Project Explorer tab, kuma zaɓi Sabuwar Kunshin Tallafi na Board Nios II. Sabuwar taga yana bayyana.
    Hoto 12. Project Explorer TabeCPRI Intel FPGA IP Design - Hoto 12
  5. A cikin Tagar Kunshin Tallafi na Hukumar Nios II:
    • A cikin sigar sunan aikin, saka sunan aikin da kuke so.
    • A cikin Bayanin SOPC File sigar suna, bincika zuwa wurin <design_example_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Danna Ƙarshe.
    Hoto 13. Nios II Tagar Kunshin TallafieCPRI Intel FPGA IP Design - Hoto 13
  6. Sabon aikin da aka ƙirƙira yana bayyana ƙarƙashin shafin Project Explorer a cikin taga Nios II Eclipse. Danna-dama a ƙarƙashin Project Explorer shafin, kuma zaɓi Nios II ➤ Nios II Command Shell.
    Hoto 14. Project Explorer- Nios II Command ShelleCPRI Intel FPGA IP Design - Hoto 14
  7. A cikin Shell na Nios II, rubuta umarni uku masu zuwa: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile -app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. The .kai file An ƙirƙira shi a wuri mai zuwa: <design_example_dir>/ synthesis/ip_components/software//app.
  9. Buga umarni mai zuwa a cikin Nios II Command Shell don saukar da .elf zuwa allon:
    • Don Intel Stratix 10: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
    • Don Intel Agilex 7: nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

Intel logoeCPRI Intel FPGA IP Design - icon Online Version
eCPRI Intel FPGA IP Design - icon 1 Aika da martani
Saukewa: UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design ExampJagorar Mai Amfani

Takardu / Albarkatu

Intel eCPRI Intel FPGA IP Design [pdf] Jagorar mai amfani
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

Magana

Bar sharhi

Ba za a buga adireshin imel ɗin ku ba. Ana yiwa filayen da ake buƙata alama *