eCPRI Intel® FPGA IP រចនា
Exampសៀវភៅណែនាំអ្នកប្រើប្រាស់
បានធ្វើបច្ចុប្បន្នភាពសម្រាប់ Intel®
Quartus®
Prime Design Suite៖ ២២.៣
កំណែ IP៖ 2.0.3
មគ្គុទ្ទេសក៍ចាប់ផ្តើមរហ័ស
ចំណុចប្រទាក់វិទ្យុសាធារណៈទូទៅដែលបានធ្វើឱ្យប្រសើរឡើង (eCPRI) Intel® FPGA IP core អនុវត្តការបញ្ជាក់ eCPRI កំណែ 2.0 ។ eCPRI Intel FPGA IP ផ្តល់នូវការធ្វើតេស្តសាកល្បង និងការរចនាផ្នែករឹងample ដែលគាំទ្រការចងក្រង និងការធ្វើតេស្តផ្នែករឹង។ នៅពេលអ្នកបង្កើតការរចនា exampដូច្នេះ កម្មវិធីនិពន្ធប៉ារ៉ាម៉ែត្របង្កើតដោយស្វ័យប្រវត្តិ fileចាំបាច់ដើម្បីក្លែងធ្វើ ចងក្រង និងសាកល្បងការរចនា exampលេនៅក្នុងផ្នែករឹង។
ការរចនាផ្នែករឹងដែលបានចងក្រង ឧampឡេរត់លើ៖
- Intel Agilex™ 7 I-Series FPGA Development Kit
- កញ្ចប់អភិវឌ្ឍន៍ Intel Agilex 7 I-Series Transceiver-SoC
- កញ្ចប់អភិវឌ្ឍន៍ Intel Agilex 7 F-Series Transceiver-SoC
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit សម្រាប់ការរចនា H-tile examples
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit សម្រាប់ការរចនា E-tile examples
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
Intel ផ្តល់នូវការចងក្រងសម្រាប់តែឧampគម្រោង le ដែលអ្នកអាចប្រើដើម្បីប៉ាន់ប្រមាណតំបន់ស្នូល IP និងពេលវេលាបានយ៉ាងរហ័ស។
កៅអីសាកល្បង និងការរចនា ឧample គាំទ្រអត្រាទិន្នន័យ 25G និង 10G សម្រាប់ Intel Stratix 10 H-tile ឬ E-tile និងបំរែបំរួលឧបករណ៍ Intel Agilex 7 E-tile ឬ F-tile នៃ eCPRI IP ។
ចំណាំ៖ ការរចនា eCPRI IP ឧample with interworking function (IWF) គឺអាចប្រើបានសម្រាប់តែ 9.8 Gbps CPRI line bit rate នៅក្នុងការចេញផ្សាយបច្ចុប្បន្ន។
ចំណាំ៖ ការរចនា eCPRI IP ឧample មិនគាំទ្រការកំណត់រចនាសម្ព័ន្ធថាមវន្តសម្រាប់អត្រាទិន្នន័យ 10G នៅក្នុងការរចនា Intel Arria 10 ទេ។
ការរចនាស្នូល eCPRI Intel FPGA IP ឧample គាំទ្រមុខងារដូចខាងក្រោមៈ
- ខាងក្នុង TX ទៅ RX ស៊េរីរង្វិលជុំឡើងវិញ
- ម៉ាស៊ីនភ្លើងចរាចរណ៍ និងឧបករណ៍ត្រួតពិនិត្យ
- សមត្ថភាពត្រួតពិនិត្យកញ្ចប់ព័ត៌មានមូលដ្ឋាន
- សមត្ថភាពក្នុងការប្រើប្រាស់ System Console ដើម្បីដំណើរការការរចនា និងកំណត់ការរចនាឡើងវិញសម្រាប់គោលបំណងសាកល្បងឡើងវិញ
សាជីវកម្ម Intel ។ រក្សារសិទ្ធគ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។
ISO 9001:2015 បានចុះឈ្មោះ
រូបភាពទី 1 ។ ជំហានអភិវឌ្ឍន៍សម្រាប់ការរចនា Example
ព័ត៌មានពាក់ព័ន្ធ
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ eCPRI Intel FPGA IP
- eCPRI Intel FPGA IP កំណត់ចំណាំចេញផ្សាយ
១.១. តម្រូវការផ្នែករឹង និងកម្មវិធី
ដើម្បីសាកល្បងអតីតample design ប្រើ hardware និង software ខាងក្រោម៖
- កម្មវិធី Intel Quartus® Prime Pro Edition កំណែ 23.1
- កុងសូលប្រព័ន្ធ
- កម្មវិធីត្រាប់តាមដែលគាំទ្រ៖
- Siemens* EDA QuestaSim*
- Synopsys* VCS*
- Synopsys VCS MX
— Aldec* Riviera-PRO*
- Cadence * Xcelium * - កញ្ចប់អភិវឌ្ឍន៍៖
- កញ្ចប់អភិវឌ្ឍន៍ FPGA របស់ Intel Agilex 7 I-Series
- កញ្ចប់អភិវឌ្ឍន៍ Intel Agilex 7 I-Series Transceiver-SoC
- កញ្ចប់អភិវឌ្ឍន៍ Intel Agilex 7 F-Series Transceiver-SoC
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit សម្រាប់ការរចនាបំរែបំរួលឧបករណ៍ H-tile ឧ។ample
- Intel Stratix 10 TX Transceiver Signal Integrity Development សម្រាប់ការរចនាបំរែបំរួលឧបករណ៍ E-tile ឧ។ample
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit
ព័ត៌មានពាក់ព័ន្ធ
- ការណែនាំអ្នកប្រើប្រាស់ Intel Agilex 7 I-Series FPGA Development Kit
- ការណែនាំអ្នកប្រើប្រាស់ Intel Agilex 7 I-Series Transceiver-SoC Development Kit
- ការណែនាំអ្នកប្រើប្រាស់ Intel Agilex 7 F-Series Transceiver-SoC Development Kit
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit ការណែនាំអ្នកប្រើប្រាស់
- ការណែនាំអ្នកប្រើប្រាស់ Intel Stratix 10 TX Transceiver Signal Integrity Development Kit
- ការណែនាំអ្នកប្រើប្រាស់ Intel Arria 10 GX Transceiver Signal Integrity Development Kit
១.២. ការបង្កើតការរចនា
តម្រូវការជាមុន៖ នៅពេលដែលអ្នកទទួលបាន eCPRI web-core IP រក្សាទុក webកម្មវិធីដំឡើងស្នូលទៅតំបន់មូលដ្ឋាន។ ដំណើរការកម្មវិធីដំឡើងជាមួយ Windows/Linux ។ នៅពេលត្រូវបានសួរសូមដំឡើង webស្នូលទៅទីតាំងដូចគ្នានឹងថត Intel Quartus Prime ។
eCPRI Intel FPGA IP ឥឡូវនេះបង្ហាញនៅក្នុង IP Catalog ។
ប្រសិនបើអ្នកមិនទាន់មានគម្រោង Intel Quartus Prime Pro Edition ក្នុងការរួមបញ្ចូល eCPRI Intel FPGA IP core របស់អ្នកទេ អ្នកត្រូវតែបង្កើតវាមួយ។
- នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition សូមចុច File ➤ អ្នកជំនួយគម្រោងថ្មី ដើម្បីបង្កើតគម្រោង Intel Quartus Prime ថ្មី ឬចុច File ➤ បើកគម្រោងដើម្បីបើកគម្រោង Intel Quartus Prime ដែលមានស្រាប់។ អ្នកជំនួយការរំលឹកអ្នកឱ្យបញ្ជាក់ឧបករណ៍។
- បញ្ជាក់គ្រួសារឧបករណ៍ និងឧបករណ៍ដែលបំពេញតាមតម្រូវការថ្នាក់ល្បឿន។
- ចុច Finish ។
- នៅក្នុងកាតាឡុក IP កំណត់ទីតាំង និងចុចពីរដង eCPRI Intel FPGA IP ។ បង្អួចវ៉ារ្យ៉ង់ IP ថ្មីលេចឡើង។
អនុវត្តតាមជំហានទាំងនេះដើម្បីបង្កើតការរចនាផ្នែករឹង IP eCPRI ឧample និង testbench:
- នៅក្នុងកាតាឡុក IP កំណត់ទីតាំង និងចុចពីរដង eCPRI Intel FPGA IP ។ បង្អួចវ៉ារ្យ៉ង់ IP ថ្មីលេចឡើង។
- ចុចយល់ព្រម។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រលេចឡើង។
រូបភាពទី 2 ។ Example Design Tab នៅក្នុង eCPRI Intel FPGA IP Parameter Editor
- បញ្ជាក់ឈ្មោះកម្រិតកំពូល សម្រាប់បំរែបំរួល IP ផ្ទាល់ខ្លួនរបស់អ្នក។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្ររក្សាទុកការកំណត់បំរែបំរួល IP នៅក្នុង a file មានឈ្មោះ .ip
- ចុចយល់ព្រម។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រលេចឡើង។
- នៅលើផ្ទាំងទូទៅ បញ្ជាក់ប៉ារ៉ាម៉ែត្រសម្រាប់បំរែបំរួលស្នូល IP របស់អ្នក។
ចំណាំ៖ • អ្នកត្រូវតែបើកប៉ារ៉ាម៉ែត្រស្ទ្រីមនៅក្នុងកម្មវិធីនិពន្ធប៉ារ៉ាម៉ែត្រ eCPRI IP នៅពេលអ្នកបង្កើត ex designample ជាមួយប៉ារ៉ាម៉ែត្រគាំទ្រមុខងារអន្តរកម្ម (IWF) ត្រូវបានបើក,
• អ្នកត្រូវតែកំណត់ CPRI Line Bit Rate (Gbit/s) ទៅអ្នកដទៃ នៅពេលបង្កើត ex designample ជាមួយប៉ារ៉ាម៉ែត្រគាំទ្រមុខងារអន្តរកម្ម (IWF) ត្រូវបានបើក។ - នៅលើ Example ផ្ទាំងរចនា ជ្រើសរើសជម្រើសក្លែងធ្វើដើម្បីបង្កើត testbench ជ្រើសរើសជម្រើសសំយោគដើម្បីបង្កើត hardware example រចនា ហើយជ្រើសរើសជម្រើសសំយោគ និងក្លែងធ្វើដើម្បីបង្កើតទាំង testbench និង hardware design exampលេ
- សម្រាប់ភាសាសម្រាប់ការក្លែងធ្វើកម្រិតកំពូល fileជ្រើសរើស Verilog ឬ VHDL ។
ចំណាំ៖ ជម្រើសនេះអាចប្រើបានលុះត្រាតែអ្នកជ្រើសរើសជម្រើស Simulation សម្រាប់អតីតរបស់អ្នក។ampការរចនាឡេ។ - សម្រាប់ភាសាសម្រាប់ការសំយោគកម្រិតកំពូល fileជ្រើសរើស Verilog ឬ VHDL ។
ចំណាំ៖ ជម្រើសនេះអាចប្រើបានតែនៅពេលដែលអ្នកជ្រើសរើសជម្រើសសំយោគសម្រាប់អតីតរបស់អ្នក។ampការរចនាឡេ។ - សម្រាប់ចំនួនប៉ុស្តិ៍ អ្នកអាចបញ្ចូលចំនួនប៉ុស្តិ៍ (1 ដល់ 4) ដែលមានបំណងសម្រាប់ការរចនារបស់អ្នក។ តម្លៃលំនាំដើមគឺ 1 ។
- ចុចបង្កើត Exampឡេ រចនា។ The Select Exampបង្អួចបញ្ជីឈ្មោះរចនាលេចឡើង។
- ប្រសិនបើអ្នកចង់កែប្រែការរចនា example directory path ឬឈ្មោះពីលំនាំដើមដែលបង្ហាញ (ecpri_0_testbench) រកមើលផ្លូវថ្មី ហើយវាយ ex design ថ្មីampឈ្មោះថត។
- ចុចយល់ព្រម។
ព័ត៌មានពាក់ព័ន្ធ
មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ eCPRI Intel FPGA IP
១.៣. រចនាសម្ព័ន្ធថត
ការរចនាស្នូល eCPRI IP ឧample file ថតមានដូចខាងក្រោមដែលបានបង្កើត files សម្រាប់ការរចនា exampលេ
រូបភាពទី 3 ។ រចនាសម្ព័នថតឯកសារដែលបានបង្កើត Example រចនា
ចំណាំ៖
- មានវត្តមានតែនៅក្នុង Intel Arria 10 IP design exampការប្រែប្រួល។
- មានវត្តមានតែនៅក្នុង Intel Stratix 10 (H-tile ឬ E-tile) IP design exampការប្រែប្រួល។
- មានវត្តមានតែនៅក្នុង Intel Agilex E-tile IP design exampការប្រែប្រួល។
តារាង 1. eCPRI Intel FPGA IP Core Testbench File ការពិពណ៌នា
| File ឈ្មោះ | ការពិពណ៌នា |
| Key Testbench និងការក្លែងធ្វើ Files | |
| <design_example_dir>/simulation/testbench/ ecpri_tb.sv | កៅអីសាកល្បងកម្រិតកំពូល file. testbench ធ្វើអោយ DUT wrapper ភ្លាមៗ ហើយដំណើរការ Verilog HDL ដើម្បីបង្កើត និងទទួលយកកញ្ចប់។ |
| <design_example_dir>/simulation/testbench/ecpri_ed.sv | រុំ DUT ដែលធ្វើអោយ DUT និងសមាសធាតុ testbench ផ្សេងទៀតភ្លាមៗ។ |
| <design_example_dir>/simulation/ed_fw/flow.c | ប្រភព C-code file. |
| ស្គ្រីប Testbench | |
| <design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | ស្គ្រីប Siemens EDA QuestaSim ដើម្បីដំណើរការ testbench ។ |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | ស្គ្រីប Synopsys VCS ដើម្បីដំណើរការ testbench ។ |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | ស្គ្រីប Synopsys VCS MX (រួមបញ្ចូលគ្នារវាង Verilog HDL និង SystemVerilog ជាមួយ VHDL) ដើម្បីដំណើរការ testbench ។ |
| <design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | ស្គ្រីប Aldec* Riviera-PRO ដើម្បីដំណើរការកៅអីសាកល្បង។ |
| <design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | ស្គ្រីប Cadence* Xcelium ដើម្បីដំណើរការ testbench ។ |
តារាង 2. eCPRI Intel FPGA IP Core Design Hardware Example File ការពិពណ៌នា
| File ឈ្មោះ | ការពិពណ៌នា |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qpf | គម្រោង Intel Quartus Prime file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qsf | ការកំណត់គម្រោង Intel Quartus Prime file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Synopsys Design Constraints fileស. អ្នកអាចចម្លង និងកែប្រែទាំងនេះ files សម្រាប់ការរចនា Intel Stratix 10 ផ្ទាល់ខ្លួនរបស់អ្នក។ |
| <design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | កម្រិតកំពូលនៃការរចនា Verilog HDL example file. |
| <design_example_dir>/synthesis/testbench/ecpri_ed.sv | រុំ DUT ដែលធ្វើអោយ DUT និងសមាសធាតុ testbench ផ្សេងទៀតភ្លាមៗ។ |
| <design_example_dir>/synthesis/quartus/ecpri_s10.tcl | មេ file សម្រាប់ការចូលប្រើ System Console (មាននៅក្នុងការរចនា Intel Stratix 10 H-tile និង E-tile)។ |
| <design_example_dir>/synthesis/quartus/ecpri_a10.tcl | មេ file សម្រាប់ការចូលប្រើ System Console (មាននៅក្នុង Intel Arria 10 designs)។ |
| <design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | មេ file សម្រាប់ការចូលប្រើ System Console (មាននៅក្នុង Intel Agilex 7 designs)។ |
១.៤. ការក្លែងធ្វើការរចនា Exampនៅ Testbench
រូបភាពទី 4. នីតិវិធី
សូមអនុវត្តតាមជំហានទាំងនេះ ដើម្បីក្លែងធ្វើតុល្យការ៖
- នៅប្រអប់បញ្ចូលពាក្យបញ្ជា ប្តូរទៅថតសាកល្បងសាកល្បងample_dir>/simulation/setup_scripts។
- សម្រាប់បំរែបំរួលឧបករណ៍ Intel Agilex F-tile សូមអនុវត្តតាមជំហានទាំងនេះ៖
ក. រុករកទៅample_dir>/simulation/quartus directory ហើយដំណើរការពាក្យបញ្ជាទាំងពីរខាងក្រោម៖ quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
ម៉្យាងទៀត អ្នកអាចបើកគម្រោង ecpri_ed.qpf នៅក្នុង Intel Quartus Prime Pro Edition ហើយធ្វើការចងក្រងរហូតដល់ Support Logic Generation stage.
ខ. រុករកទៅample_dir>/simulation/setup_scripts directory ។
គ. ដំណើរការពាក្យបញ្ជាខាងក្រោម៖ ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - ដំណើរការស្គ្រីបក្លែងធ្វើសម្រាប់កម្មវិធីក្លែងធ្វើដែលបានគាំទ្រតាមជម្រើសរបស់អ្នក។ ស្គ្រីបចងក្រង និងដំណើរការ testbench នៅក្នុងម៉ាស៊ីនក្លែងធ្វើ។ សូមមើលតារាង ជំហានដើម្បីក្លែងធ្វើ Testbench ។
ចំណាំ៖ ការគាំទ្រភាសា VHDL សម្រាប់ការក្លែងធ្វើគឺអាចប្រើបានជាមួយ QuestaSim និង VCS MX simulators ប៉ុណ្ណោះ។ ការគាំទ្រភាសា Verilog សម្រាប់ការក្លែងធ្វើគឺអាចរកបានសម្រាប់កម្មវិធីក្លែងធ្វើទាំងអស់ដែលបានរាយក្នុងតារាង៖ ជំហានដើម្បីក្លែងធ្វើ Testbench ។ - វិភាគលទ្ធផល។ Testbench ជោគជ័យផ្ញើ និងទទួលកញ្ចប់ព័ត៌មាន ហើយបង្ហាញ “PASSED”។
តារាង 3. ជំហានដើម្បីក្លែងធ្វើ Testbench
| ក្លែងធ្វើ | សេចក្តីណែនាំ |
| QuestaSim | នៅក្នុងបន្ទាត់ពាក្យបញ្ជា វាយ vsim -do run_vsim.do ប្រសិនបើអ្នកចូលចិត្តក្លែងធ្វើដោយមិនបង្ហាញ QuestaSim GUI វាយ vsim -c -do run_vsim.do |
| វីស៊ីអេស | • នៅក្នុងបន្ទាត់ពាក្យបញ្ជា វាយ sh run_vcs.sh • រុករកទៅample_dir>/simulation/setup_scripts/ synopsys/vcs ហើយដំណើរការពាក្យបញ្ជាខាងក្រោម៖ sh run_vcs.sh |
| VCS MX | នៅក្នុងបន្ទាត់ពាក្យបញ្ជាវាយ sh run_vcsmx.sh |
| Riviera-PRO | នៅក្នុងបន្ទាត់ពាក្យបញ្ជាវាយ vsim -c -do run_rivierapro.tcl ចំណាំ៖ គាំទ្រតែនៅក្នុងបំរែបំរួលនៃការរចនា Intel Stratix 10 H-tile ប៉ុណ្ណោះ។ |
| Xcelium (1) | នៅក្នុងបន្ទាត់ពាក្យបញ្ជាវាយ sh run_xcelium.sh |
- ម៉ាស៊ីនក្លែងធ្វើនេះមិនត្រូវបានគាំទ្រសម្រាប់ eCPRI Intel FPGA IP design exampបង្កើតដោយបើកមុខងារ IWF ។
Sample លទ្ធផល៖ សampលទ្ធផល le បង្ហាញពីការដំណើរការសាកល្បងដោយជោគជ័យនៃ eCPRI IP design example ដោយគ្មានលក្ខណៈពិសេស IWF ត្រូវបានបើកជាមួយនឹងចំនួនឆានែល = 4:
# កំពុងរង់ចាំការតម្រឹម RX
# RX deskew ត្រូវបានចាក់សោ
# តម្រឹមផ្លូវ RX ត្រូវបានចាក់សោ
# រង់ចាំមើលកំហុសតំណច្បាស់លាស់
# កំហុសតំណភ្ជាប់ច្បាស់លាស់
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 0: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 0: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 0: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 0: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 0: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 0: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 0: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 0: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 0: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 0: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 0: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 0:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 0: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 0:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 0:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 0:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 0: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 0:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 0: 00000041
# បើកការរំខាន eCPRI Common Control Channel 0: 00000241
# eCPRI កំណែ ឆានែល 0: 2
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 1: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 1: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 1: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 1: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 1: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 1: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 1: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 1: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 1: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 1: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 1: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 1:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 1: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 1:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 1:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 1:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 1: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 1:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 1: 00000041
# បើកការរំខាន eCPRI Common Control Channel 1: 00000241
# eCPRI កំណែ ឆានែល 1: 2
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 2: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 2: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 2: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 2: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 2: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 2: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 2: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 2: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 2: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 2: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 2: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 2:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 2: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 2:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 2:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 2:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 2: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 2:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 2: 00000041
# បើកការរំខាន eCPRI Common Control Channel 2: 00000241
# eCPRI កំណែ ឆានែល 2: 2
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 3: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 3: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 3: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 3: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 3: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 3: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 3: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 3: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 3: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 3: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 3: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 3:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 3: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 3:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 3:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 3:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 3: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 3:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 3: 00000041
# បើកការរំខាន eCPRI Common Control Channel 3: 00000241
# eCPRI កំណែ ឆានែល 3: 2
# __________________________________________________________
# ព័ត៌មាន៖ ចេញពីស្ថានភាពកំណត់ឡើងវិញ
# __________________________________________________________
#
#
# ឆានែល 0 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 0 eCPRI TX EOPs រាប់ : 0
# ឆានែល 0 eCPRI RX SOPs រាប់ : 0
# ឆានែល 0 eCPRI RX EOPs រាប់ : 0
# ឆានែល 0 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 0 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 0 External MISC TX SOPs រាប់៖ 0
# Channel 0 External MISC TX EOPs រាប់៖ 0
# Channel 0 External RX SOPs រាប់ : 0
# Channel 0 External RX EOPs រាប់ : 0
# ឆានែល 1 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 1 eCPRI TX EOPs រាប់ : 0
# ឆានែល 1 eCPRI RX SOPs រាប់ : 0
# ឆានែល 1 eCPRI RX EOPs រាប់ : 0
# ឆានែល 1 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 1 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 1 External MISC TX SOPs រាប់៖ 0
# Channel 1 External MISC TX EOPs រាប់៖ 0
# Channel 1 External RX SOPs រាប់ : 0
# Channel 1 External RX EOPs រាប់ : 0
# ឆានែល 2 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 2 eCPRI TX EOPs រាប់ : 0
# ឆានែល 2 eCPRI RX SOPs រាប់ : 0
# ឆានែល 2 eCPRI RX EOPs រាប់ : 0
# ឆានែល 2 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 2 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 2 External MISC TX SOPs រាប់៖ 0
# Channel 2 External MISC TX EOPs រាប់៖ 0
# Channel 2 External RX SOPs រាប់ : 0
# Channel 2 External RX EOPs រាប់ : 0
# ឆានែល 3 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 3 eCPRI TX EOPs រាប់ : 0
# ឆានែល 3 eCPRI RX SOPs រាប់ : 0
# ឆានែល 3 eCPRI RX EOPs រាប់ : 0
# ឆានែល 3 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 3 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 3 External MISC TX SOPs រាប់៖ 0
# Channel 3 External MISC TX EOPs រាប់៖ 0
# Channel 3 External RX SOPs រាប់ : 0
# Channel 3 External RX EOPs រាប់ : 0
# __________________________________________________________
# ព័ត៌មាន៖ ចាប់ផ្តើមបញ្ជូនកញ្ចប់ព័ត៌មាន
# __________________________________________________________
#
#
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 0 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 0 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 0 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 0 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 0 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 0 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 1 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 1 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 1 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 1 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 1 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 1 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 2 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 2 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 2 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 2 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 2 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 2 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 3 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 3 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 3 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 3 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 3 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 3 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# __________________________________________________________
# ព័ត៌មាន៖ បញ្ឈប់ការបញ្ជូនកញ្ចប់ព័ត៌មាន
# __________________________________________________________
#
#
# __________________________________________________________
# ព័ត៌មាន៖ កំពុងពិនិត្យស្ថិតិកញ្ចប់ព័ត៌មាន
# __________________________________________________________
#
#
# ឆានែល 0 eCPRI SOPs បានបញ្ជូន: 300
# ឆានែល 0 eCPRI EOPs បានបញ្ជូន: 300
# ឆានែល 0 eCPRI SOPs បានទទួល: 300
# ឆានែល 0 eCPRI EOPs បានទទួល: 300
# ឆានែល 0 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 0 External PTP SOPs បញ្ជូន៖ ៤
# Channel 0 External PTP EOPs បញ្ជូន៖ ៤
# Channel 0 External MISC SOPs transmitted: 128
# Channel 0 External MISC EOPs transmitted: 128
# Channel 0 External SOPs បានទទួល៖ 132
# Channel 0 External EOPs ទទួលបាន៖ ១៣២
# Channel 0 External PTP SOPs បានទទួល៖ ៤
# Channel 0 External PTP EOPs បានទទួល៖ ៤
# Channel 0 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 0 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 0 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 0 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# ឆានែល 1 eCPRI SOPs បានបញ្ជូន: 300
# ឆានែល 1 eCPRI EOPs បានបញ្ជូន: 300
# ឆានែល 1 eCPRI SOPs បានទទួល: 300
# ឆានែល 1 eCPRI EOPs បានទទួល: 300
# ឆានែល 1 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 1 External PTP SOPs បញ្ជូន៖ ៤
# Channel 1 External PTP EOPs បញ្ជូន៖ ៤
# Channel 1 External MISC SOPs transmitted: 128
# Channel 1 External MISC EOPs transmitted: 128
# Channel 1 External SOPs បានទទួល៖ 132
# Channel 1 External EOPs ទទួលបាន៖ ១៣២
# Channel 1 External PTP SOPs បានទទួល៖ ៤
# Channel 1 External PTP EOPs បានទទួល៖ ៤
# Channel 1 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 1 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 1 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 1 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# ឆានែល 2 eCPRI SOPs បានបញ្ជូន: 300
# ឆានែល 2 eCPRI EOPs បានបញ្ជូន: 300
# ឆានែល 2 eCPRI SOPs បានទទួល: 300
# ឆានែល 2 eCPRI EOPs បានទទួល: 300
# ឆានែល 2 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 2 External PTP SOPs បញ្ជូន៖ ៤
# Channel 2 External PTP EOPs បញ្ជូន៖ ៤
# Channel 2 External MISC SOPs transmitted: 128
# Channel 2 External MISC EOPs transmitted: 128
# Channel 2 External SOPs បានទទួល៖ 132
# Channel 2 External EOPs ទទួលបាន៖ ១៣២
# Channel 2 External PTP SOPs បានទទួល៖ ៤
# Channel 2 External PTP EOPs បានទទួល៖ ៤
# Channel 2 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 2 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 2 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 2 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# ឆានែល 3 eCPRI SOPs បានបញ្ជូន: 300
# ឆានែល 3 eCPRI EOPs បានបញ្ជូន: 300
# ឆានែល 3 eCPRI SOPs បានទទួល: 300
# ឆានែល 3 eCPRI EOPs បានទទួល: 300
# ឆានែល 3 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 3 External PTP SOPs បញ្ជូន៖ ៤
# Channel 3 External PTP EOPs បញ្ជូន៖ ៤
# Channel 3 External MISC SOPs transmitted: 128
# Channel 3 External MISC EOPs transmitted: 128
# Channel 3 External SOPs បានទទួល៖ 132
# Channel 3 External EOPs ទទួលបាន៖ ១៣២
# Channel 3 External PTP SOPs បានទទួល៖ ៤
# Channel 3 External PTP EOPs បានទទួល៖ ៤
# Channel 3 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 3 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 3 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 3 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# __________________________________________________________
# ព័ត៌មាន៖ តេស្តបានឆ្លងកាត់
#
# __________________________________________________________
Sample លទ្ធផល៖ សampលទ្ធផល le បង្ហាញពីការដំណើរការសាកល្បងដោយជោគជ័យនៃ eCPRI IP design example ជាមួយមុខងារ IWF ត្រូវបានបើកជាមួយនឹងចំនួនប៉ុស្តិ៍ = 4:
# បើកដំណើរការ CPRI TX
# CPRI Channel 0 L1_CONFIG : 00000001
# CPRI Channel 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 1 L1_CONFIG : 00000001
# CPRI Channel 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 2 L1_CONFIG : 00000001
# CPRI Channel 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 3 L1_CONFIG : 00000001
# CPRI Channel 3 CPRI_CORE_CM_CONFIG : 00001ed4
# កំពុងរង់ចាំការតម្រឹម RX
# RX deskew ត្រូវបានចាក់សោ
# តម្រឹមផ្លូវ RX ត្រូវបានចាក់សោ
# រង់ចាំមើលកំហុសតំណច្បាស់លាស់
# កំហុសតំណភ្ជាប់ច្បាស់លាស់
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 0: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 0: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 0: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 0: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 0: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 0: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 0: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 0: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 0: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 0: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 0: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 0:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 0: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 0:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 0:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 0:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 0: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 0:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 0: 00000041
# បើកការរំខាន eCPRI Common Control Channel 0: 00000241
# eCPRI កំណែ ឆានែល 0: 2
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 1: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 1: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 1: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 1: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 1: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 1: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 1: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 1: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 1: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 1: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 1: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 1:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 1: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 1:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 1:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 1:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 1: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 1:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 1: 00000041
# បើកការរំខាន eCPRI Common Control Channel 1: 00000241
# eCPRI កំណែ ឆានែល 1: 2
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 2: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 2: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 2: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 2: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 2: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 2: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 2: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 2: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 2: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 2: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 2: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 2:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 2: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 2:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 2:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 2:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 2: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 2:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 2: 00000041
# បើកការរំខាន eCPRI Common Control Channel 2: 00000241
# eCPRI កំណែ ឆានែល 2: 2
# អាសយដ្ឋានប្រភព MAC 0_0 Channel 3: 33445566
# អាសយដ្ឋានប្រភព MAC 0_1 Channel 3: 00007788
# អាសយដ្ឋាន MAC Destination 0_0 Channel 3: 33445566
# អាសយដ្ឋាន MAC Destination 0_1 Channel 3: 00007788
# អាសយដ្ឋាន MAC Destination 1_0 Channel 3: 11223344
# អាសយដ្ឋាន MAC Destination 1_1 Channel 3: 00005566
# អាសយដ្ឋាន MAC Destination 2_0 Channel 3: 22334455
# អាសយដ្ឋាន MAC Destination 2_1 Channel 3: 00006677
# អាសយដ្ឋាន MAC Destination 3_0 Channel 3: 44556677
# អាសយដ្ឋាន MAC Destination 3_1 Channel 3: 00008899
# អាសយដ្ឋាន MAC Destination 4_0 Channel 3: 66778899
# អាសយដ្ឋាន MAC Destination 4_1 Channel 3:0000aabb
# អាសយដ្ឋាន MAC Destination 5_0 Channel 3: 778899aa
# អាសយដ្ឋាន MAC Destination 5_1 Channel 3:0000bbcc
# អាសយដ្ឋាន MAC Destination 6_0 Channel 3:8899aabb
# អាសយដ្ឋាន MAC Destination 6_1 Channel 3:0000ccdd
# អាសយដ្ឋាន MAC Destination 7_0 Channel 3: 99aabbcc
# អាសយដ្ឋាន MAC Destination 7_1 Channel 3:0000ddee
# eCPRI ឆានែលត្រួតពិនិត្យទូទៅ 3: 00000041
# បើកការរំខាន eCPRI Common Control Channel 3: 00000241
# eCPRI កំណែ ឆានែល 3: 2
# កំពុងរង់ចាំ CPRI សម្រេចបាននូវស្ថានភាពភ្ជាប់ HSYNC
# ប៉ុស្តិ៍ CPRI 0 រដ្ឋ HSYNC បានសម្រេច
# ប៉ុស្តិ៍ CPRI 1 រដ្ឋ HSYNC បានសម្រេច
# ប៉ុស្តិ៍ CPRI 2 រដ្ឋ HSYNC បានសម្រេច
# ប៉ុស្តិ៍ CPRI 3 រដ្ឋ HSYNC បានសម្រេច
# 11100250000 សរសេរ 1 ទៅ nego_bitrate_complete
# 11100650000 ការបោះឆ្នោត PROT_VER ឆានែល 0
# __________________________________________________________
#11100850000 ចុះឈ្មោះបោះឆ្នោត៖ a0000010
# __________________________________________________________
# 13105050000 ការបោះឆ្នោត PROT_VER ឆានែល 1
# __________________________________________________________
#13105250000 ចុះឈ្មោះបោះឆ្នោត៖ a0800010
# __________________________________________________________
# 13105950000 ការបោះឆ្នោត PROT_VER ឆានែល 2
# __________________________________________________________
#13106150000 ចុះឈ្មោះបោះឆ្នោត៖ a1000010
# __________________________________________________________
# 13106850000 ការបោះឆ្នោត PROT_VER ឆានែល 3
# __________________________________________________________
#13107050000 ចុះឈ្មោះបោះឆ្នោត៖ a1800010
# __________________________________________________________
#13107750000 សរសេរ 1 ទៅ nego_protol_complete
#13108150000 ការបោះឆ្នោត CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# __________________________________________________________
#13108350000 ចុះឈ្មោះបោះឆ្នោត៖ a0000020
# __________________________________________________________
#14272050000 ការបោះឆ្នោត CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# __________________________________________________________
#14272250000 ចុះឈ្មោះបោះឆ្នោត៖ a0800020
# __________________________________________________________
#14272950000 ការបោះឆ្នោត CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# __________________________________________________________
#14273150000 ចុះឈ្មោះបោះឆ្នោត៖ a1000020
# __________________________________________________________
#14273850000 ការបោះឆ្នោត CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# __________________________________________________________
#14274050000 ចុះឈ្មោះបោះឆ្នោត៖ a1800020
# __________________________________________________________
#14274750000 សរសេរ 1 ទៅ nego_cm_complete
#14275150000 សរសេរ 1 ទៅ nego_vss_complete
# កំពុងរង់ចាំ CPRI Channel 0 សម្រេចបាន HSYNC & startup sequence FSM STATE_F
# CPRI Channel 0 HSYNC & startup sequence FSM STATE_F បានសម្រេច
# កំពុងរង់ចាំ CPRI Channel 1 សម្រេចបាន HSYNC & startup sequence FSM STATE_F
# CPRI Channel 1 HSYNC & startup sequence FSM STATE_F បានសម្រេច
# កំពុងរង់ចាំ CPRI Channel 2 សម្រេចបាន HSYNC & startup sequence FSM STATE_F
# CPRI Channel 2 HSYNC & startup sequence FSM STATE_F បានសម្រេច
# កំពុងរង់ចាំ CPRI Channel 3 សម្រេចបាន HSYNC & startup sequence FSM STATE_F
# CPRI Channel 3 HSYNC & startup sequence FSM STATE_F បានសម្រេច
# __________________________________________________________
# ព័ត៌មាន៖ ចេញពីស្ថានភាពកំណត់ឡើងវិញ
# __________________________________________________________
#
#
# ឆានែល 0 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 0 eCPRI TX EOPs រាប់ : 0
# ឆានែល 0 eCPRI RX SOPs រាប់ : 0
# ឆានែល 0 eCPRI RX EOPs រាប់ : 0
# ឆានែល 0 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 0 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 0 External MISC TX SOPs រាប់៖ 0
# Channel 0 External MISC TX EOPs រាប់៖ 0
# Channel 0 External RX SOPs រាប់ : 0
# Channel 0 External RX EOPs រាប់ : 0
# ឆានែល 1 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 1 eCPRI TX EOPs រាប់ : 0
# ឆានែល 1 eCPRI RX SOPs រាប់ : 0
# ឆានែល 1 eCPRI RX EOPs រាប់ : 0
# ឆានែល 1 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 1 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 1 External MISC TX SOPs រាប់៖ 0
# Channel 1 External MISC TX EOPs រាប់៖ 0
# Channel 1 External RX SOPs រាប់ : 0
# Channel 1 External RX EOPs រាប់ : 0
# ឆានែល 2 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 2 eCPRI TX EOPs រាប់ : 0
# ឆានែល 2 eCPRI RX SOPs រាប់ : 0
# ឆានែល 2 eCPRI RX EOPs រាប់ : 0
# ឆានែល 2 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 2 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 2 External MISC TX SOPs រាប់៖ 0
# Channel 2 External MISC TX EOPs រាប់៖ 0
# Channel 2 External RX SOPs រាប់ : 0
# Channel 2 External RX EOPs រាប់ : 0
# ឆានែល 3 eCPRI TX SOPs រាប់៖ 0
# ឆានែល 3 eCPRI TX EOPs រាប់ : 0
# ឆានែល 3 eCPRI RX SOPs រាប់ : 0
# ឆានែល 3 eCPRI RX EOPs រាប់ : 0
# ឆានែល 3 ខាងក្រៅ PTP TX SOPs រាប់ : 0
# ឆានែល 3 ខាងក្រៅ PTP TX EOPs រាប់៖ 0
# Channel 3 External MISC TX SOPs រាប់៖ 0
# Channel 3 External MISC TX EOPs រាប់៖ 0
# Channel 3 External RX SOPs រាប់ : 0
# Channel 3 External RX EOPs រាប់ : 0
# __________________________________________________________
# ព័ត៌មាន៖ ចាប់ផ្តើមបញ្ជូនកញ្ចប់ព័ត៌មាន
# __________________________________________________________
#
#
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 0 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 0 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 0 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 0 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 0 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 0 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 1 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 1 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 1 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 1 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 1 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 1 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 2 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 2 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 2 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 2 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 2 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 2 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# ព័ត៌មាន៖ រង់ចាំការផ្ទេរចរាចរណ៍ឆានែល 3 eCPRI TX ដើម្បីបញ្ចប់
# ព័ត៌មាន៖ ការផ្ទេរចរាចរណ៍ឆានែល 3 eCPRI TX បានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំការផ្ទេរចរាចរណ៍ 3 eCPRI ខាងក្រៅ TX PTP ទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 3 eCPRI ការផ្ទេរចរាចរណ៍ TX PTP ខាងក្រៅបានបញ្ចប់
# ព័ត៌មាន៖ កំពុងរង់ចាំ Channel 3 eCPRI External TX Misc traffic ផ្ទេរទៅ
ពេញលេញ
# ព័ត៌មាន៖ ប៉ុស្តិ៍ 3 eCPRI External TX Misc ការផ្ទេរចរាចរណ៍ផ្សេងៗបានបញ្ចប់
# __________________________________________________________
# ព័ត៌មាន៖ បញ្ឈប់ការបញ្ជូនកញ្ចប់ព័ត៌មាន
# __________________________________________________________
#
#
# __________________________________________________________
# ព័ត៌មាន៖ កំពុងពិនិត្យស្ថិតិកញ្ចប់ព័ត៌មាន
# __________________________________________________________
#
#
# ឆានែល 0 eCPRI SOPs បានបញ្ជូន: 50
# ឆានែល 0 eCPRI EOPs បានបញ្ជូន: 50
# ឆានែល 0 eCPRI SOPs បានទទួល: 50
# ឆានែល 0 eCPRI EOPs បានទទួល: 50
# ឆានែល 0 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 0 External PTP SOPs បញ្ជូន៖ ៤
# Channel 0 External PTP EOPs បញ្ជូន៖ ៤
# Channel 0 External MISC SOPs transmitted: 128
# Channel 0 External MISC EOPs transmitted: 128
# Channel 0 External SOPs បានទទួល៖ 132
# Channel 0 External EOPs ទទួលបាន៖ ១៣២
# Channel 0 External PTP SOPs បានទទួល៖ ៤
# Channel 0 External PTP EOPs បានទទួល៖ ៤
# Channel 0 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 0 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 0 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 0 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# ឆានែល 1 eCPRI SOPs បានបញ្ជូន: 50
# ឆានែល 1 eCPRI EOPs បានបញ្ជូន: 50
# ឆានែល 1 eCPRI SOPs បានទទួល: 50
# ឆានែល 1 eCPRI EOPs បានទទួល: 50
# ឆានែល 1 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 1 External PTP SOPs បញ្ជូន៖ ៤
# Channel 1 External PTP EOPs បញ្ជូន៖ ៤
# Channel 1 External MISC SOPs transmitted: 128
# Channel 1 External MISC EOPs transmitted: 128
# Channel 1 External SOPs បានទទួល៖ 132
# Channel 1 External EOPs ទទួលបាន៖ ១៣២
# Channel 1 External PTP SOPs បានទទួល៖ ៤
# Channel 1 External PTP EOPs បានទទួល៖ ៤
# Channel 1 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 1 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 1 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 1 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# ឆានែល 2 eCPRI SOPs បានបញ្ជូន: 50
# ឆានែល 2 eCPRI EOPs បានបញ្ជូន: 50
# ឆានែល 2 eCPRI SOPs បានទទួល: 50
# ឆានែល 2 eCPRI EOPs បានទទួល: 50
# ឆានែល 2 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 2 External PTP SOPs បញ្ជូន៖ ៤
# Channel 2 External PTP EOPs បញ្ជូន៖ ៤
# Channel 2 External MISC SOPs transmitted: 128
# Channel 2 External MISC EOPs transmitted: 128
# Channel 2 External SOPs បានទទួល៖ 132
# Channel 2 External EOPs ទទួលបាន៖ ១៣២
# Channel 2 External PTP SOPs បានទទួល៖ ៤
# Channel 2 External PTP EOPs បានទទួល៖ ៤
# Channel 2 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 2 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 2 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 2 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# ឆានែល 3 eCPRI SOPs បានបញ្ជូន: 50
# ឆានែល 3 eCPRI EOPs បានបញ្ជូន: 50
# ឆានែល 3 eCPRI SOPs បានទទួល: 50
# ឆានែល 3 eCPRI EOPs បានទទួល: 50
# ឆានែល 3 eCPRI បានរាយការណ៍កំហុស៖ 0
# Channel 3 External PTP SOPs បញ្ជូន៖ ៤
# Channel 3 External PTP EOPs បញ្ជូន៖ ៤
# Channel 3 External MISC SOPs transmitted: 128
# Channel 3 External MISC EOPs transmitted: 128
# Channel 3 External SOPs បានទទួល៖ 132
# Channel 3 External EOPs ទទួលបាន៖ ១៣២
# Channel 3 External PTP SOPs បានទទួល៖ ៤
# Channel 3 External PTP EOPs បានទទួល៖ ៤
# Channel 3 External MISC SOPs ទទួលបាន៖ ១២៨
# Channel 3 External MISC EOPs ទទួលបាន៖ ១២៨
# ប៉ុស្តិ៍ 3 កំហុសខាងក្រៅបានរាយការណ៍៖ 0
# ឆានែល 3 ពេលវេលាខាងក្រៅamp កំហុសស្នាមម្រាមដៃបានរាយការណ៍៖ ០
# __________________________________________________________
# ព័ត៌មាន៖ តេស្តបានឆ្លងកាត់
#
# __________________________________________________________
១.៤.១. បើកដំណើរការការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តទៅ IP អ៊ីសឺរណិត
តាមលំនាំដើម ការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តត្រូវបានបិទនៅក្នុងការរចនា eCPRI IP ឧample ហើយវាអាចអនុវត្តបានតែចំពោះ Intel Stratix 10 (E-tile និង H-tile) និង Intel Agilex 7 (E-tile) design examples ។
- រកមើលបន្ទាត់ខាងក្រោមនៅក្នុង test_wrapper.sv ពីដែលបានបង្កើតample_dir>/simulation/testbench directory: ប៉ារ៉ាម៉ែត្រ ETHERNET_DR_EN = 0
- ផ្លាស់ប្តូរតម្លៃពី 0 ទៅ 1៖ ប៉ារ៉ាម៉ែត្រ ETHERNET_DR_EN = 1
- ដំណើរការការក្លែងធ្វើម្តងទៀតដោយប្រើ ex ដែលបានបង្កើតដូចគ្នា។ampបញ្ជីឈ្មោះរចនា។
១.៥. ការចងក្រងគម្រោងការចងក្រងតែប៉ុណ្ណោះ
ដើម្បីចងក្រងការចងក្រង - តែឧample គម្រោង សូមអនុវត្តតាមជំហានទាំងនេះ៖
- ធានាការរចនាការចងក្រង ឧampជំនាន់នេះបានបញ្ចប់។
- នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition សូមបើកគម្រោង Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf ។
- នៅលើម៉ឺនុយដំណើរការសូមចុចចាប់ផ្តើមការចងក្រង។
- បន្ទាប់ពីការចងក្រងដោយជោគជ័យ របាយការណ៍សម្រាប់ពេលវេលា និងសម្រាប់ការប្រើប្រាស់ធនធានមាននៅក្នុងវគ្គ Intel Quartus Prime Pro Edition របស់អ្នក។ ចូលទៅកាន់ដំណើរការ ➤ របាយការណ៍ចងក្រងទៅ view របាយការណ៍លម្អិតស្តីពីការចងក្រង។
ព័ត៌មានពាក់ព័ន្ធ
លំហូរការរចនាផ្អែកលើប្លុក
១.៦. ការចងក្រង និងកំណត់រចនាសម្ព័ន្ធ Example ក្នុង Hardware
ដើម្បីចងក្រងការរចនាផ្នែករឹង ឧample ហើយកំណត់រចនាសម្ព័ន្ធវានៅលើឧបករណ៍ Intel របស់អ្នក សូមអនុវត្តតាមជំហានទាំងនេះ៖
- ធានាការរចនាផ្នែករឹង ឧampជំនាន់នេះបានបញ្ចប់។
- នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition សូមបើកគម្រោង Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf ។
- នៅលើម៉ឺនុយដំណើរការសូមចុចចាប់ផ្តើមការចងក្រង។
- បន្ទាប់ពីការចងក្រងដោយជោគជ័យ a .sof file មាននៅក្នុងample_dir>/ synthesis/quartus/output_files ថត។ អនុវត្តតាមជំហានទាំងនេះ ដើម្បីរៀបចំកម្មវិធីរចនាផ្នែករឹង ឧample នៅលើឧបករណ៍ Intel FPGA៖
ក. ភ្ជាប់ឧបករណ៍អភិវឌ្ឍន៍ទៅកុំព្យូទ័រម៉ាស៊ីន។
ខ. បើកដំណើរការកម្មវិធី Clock Control ដែលជាផ្នែកមួយនៃឧបករណ៍អភិវឌ្ឍន៍ ហើយកំណត់ប្រេកង់ថ្មីសម្រាប់ការរចនា exampលេ ខាងក្រោមនេះគឺជាការកំណត់ប្រេកង់នៅក្នុងកម្មវិធី Clock Control៖
• ប្រសិនបើអ្នកកំពុងផ្តោតលើការរចនារបស់អ្នកនៅលើ Intel Stratix 10 GX SI Development Kit៖
- U5, OUT8- 100 MHz
- U6, OUT3- 322.265625 MHz
- U6, OUT4 និង OUT5- 307.2 MHz
• ប្រសិនបើអ្នកកំពុងកំណត់គោលដៅលើការរចនារបស់អ្នកនៅលើ Intel Stratix 10 TX SI Development Kit៖
- U1, CLK4- 322.265625 MHz (សម្រាប់អត្រាទិន្នន័យ 25G)
U6- 156.25 MHz (សម្រាប់អត្រាទិន្នន័យ 10G)
- U3, OUT3- 100 MHz
- U3, OUT8- 153.6 MHz
• ប្រសិនបើអ្នកកំពុងផ្តោតលើការរចនារបស់អ្នកនៅលើ Intel Agilex 7 F-Series Transceiver-SoC Development Kit៖
- U37, CLK1A- 100 MHz
- U34, CLK0P- 156.25 MHz
- U38, OUT2_P- 153.6 MHz
• ប្រសិនបើអ្នកកំពុងកំណត់គោលដៅលើការរចនារបស់អ្នកនៅលើ Intel Arria 10 GX SI Development Kit៖
- U52, CLK0- 156.25 MHz
- U52, CLK1- 250 MHz
- U52, CLK3- 125 MHz
- Y5- 307.2 MHz
- Y6- 322.265625 MHz
គ. នៅលើ Tools menu ចុច Programmer ។
ឃ. នៅក្នុង Programmer ចុច Hardware Setup។
អ៊ី ជ្រើសរើសឧបករណ៍សរសេរកម្មវិធី។
f. ជ្រើសរើស និងបន្ថែមឧបករណ៍អភិវឌ្ឍន៍ដែលសម័យ Intel Quartus Prime Pro Edition របស់អ្នកអាចភ្ជាប់បាន។
g. ត្រូវប្រាកដថារបៀបត្រូវបានកំណត់ទៅ JTAG.
h ជ្រើសរើសឧបករណ៍ ហើយចុច បន្ថែមឧបករណ៍។ អ្នកសរសេរកម្មវិធីបង្ហាញដ្យាក្រាមប្លុកនៃការតភ្ជាប់រវាងឧបករណ៍នៅលើក្តាររបស់អ្នក។
ខ្ញុំ ផ្ទុក .sof file ទៅកាន់ឧបករណ៍ Intel FPGA របស់អ្នក។
j. ផ្ទុកទម្រង់ដែលអាចប្រតិបត្តិបាន និងភ្ជាប់ (.elf) file ទៅ Intel Stratix 10 របស់អ្នក ឬ
ឧបករណ៍ Intel Agilex 7 ប្រសិនបើអ្នកមានគម្រោងអនុវត្តការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្ត (DR) ដើម្បីប្តូរអត្រាទិន្នន័យរវាង 25G និង 10G ។ អនុវត្តតាមការណែនាំពីការបង្កើត និងទាញយកទម្រង់ដែលអាចប្រតិបត្តិបាន និងភ្ជាប់កម្មវិធី (.elf) File នៅលើទំព័រទី 38 ដើម្បីបង្កើត .elf file.
k នៅក្នុងជួរជាមួយ .sof របស់អ្នក សូមធីកប្រអប់ Program/Configure សម្រាប់ .sof file.
លីត្រ ចុចចាប់ផ្តើម។
ព័ត៌មានពាក់ព័ន្ធ
- ការរចនាផ្អែកលើប្លុក
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ Intel Quartus Prime Programmer
- ការវិភាគ និងបំបាត់កំហុសការរចនាជាមួយ System Console
- ការណែនាំអ្នកប្រើប្រាស់ Intel Agilex 7 F-Series Transceiver-SoC Development Kit
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit ការណែនាំអ្នកប្រើប្រាស់
- ការណែនាំអ្នកប្រើប្រាស់ Intel Stratix 10 TX Transceiver Signal Integrity Development Kit
- ការណែនាំអ្នកប្រើប្រាស់ Intel Arria 10 GX Transceiver Signal Integrity Development Kit
១.៧. សាកល្បង eCPRI Intel FPGA IP Design Example
បន្ទាប់ពីអ្នកចងក្រង eCPRI Intel FPGA IP core design example និងកំណត់រចនាសម្ព័ន្ធវានៅលើឧបករណ៍ Intel FPGA របស់អ្នក អ្នកអាចប្រើ System Console ដើម្បីសរសេរកម្មវិធី IP core និងការចុះឈ្មោះស្នូល PHY IP ស្នូលដែលបានបង្កប់របស់វា។
ដើម្បីបើក System Console និងសាកល្បងការរចនាផ្នែករឹង exampដូច្នេះ សូមអនុវត្តតាមជំហានទាំងនេះ៖
- បន្ទាប់ពីការរចនាផ្នែករឹង ឧample ត្រូវបានកំណត់រចនាសម្ព័ន្ធនៅលើឧបករណ៍ Intel នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition នៅលើ Tools menu ចុច System Debugging Tools ➤ System Console ។
- នៅក្នុងផ្ទាំង Tcl Console ប្តូរថតទៅample_dir>/ synthesis/quartus/hardware_test ហើយវាយពាក្យបញ្ជាខាងក្រោមដើម្បីបើកការភ្ជាប់ទៅ JTAG មេហើយចាប់ផ្តើមការសាកល្បង៖
• ប្រភព ecpri_agilex.tcl សម្រាប់ការរចនា Intel Agilex 7
• ប្រភព ecpri_s10.tcl សម្រាប់ការរចនា Intel Stratix 10
• ប្រភព ecpri_a10.tcl សម្រាប់ Intel Arria 10 designs - សម្រាប់បំរែបំរួលឧបករណ៍ Intel Stratix 10 ឬ Intel Agilex 7 E-tile របស់អ្នក អ្នកត្រូវតែអនុវត្តពាក្យបញ្ជារង្វិលជុំខាងក្នុង ឬខាងក្រៅម្តង បន្ទាប់ពីអ្នកសរសេរកម្មវិធី .sof file:
ក. កែប្រែអថេរ TEST_MODE នៅក្នុង flow.c file ដើម្បីជ្រើសរើសរបៀបរង្វិលជុំ៖របៀបធ្វើតេស្ត សកម្មភាព 0 Serial loopback បើកសម្រាប់ការក្លែងធ្វើតែប៉ុណ្ណោះ 1 Serial loopback បើកសម្រាប់តែផ្នែករឹងប៉ុណ្ណោះ។ 2 រង្វិលជុំស៊េរី និងការក្រិតតាមខ្នាត 3 ការក្រិតតាមខ្នាតតែប៉ុណ្ណោះ អ្នកត្រូវតែចងក្រង និងបង្កើតកម្មវិធី NIOS II ឡើងវិញនៅពេលណាដែលអ្នកផ្លាស់ប្តូរ flow.c file.
ខ. បង្កើតឡើងវិញ .elf file ហើយកម្មវិធីទៅក្រុមប្រឹក្សាភិបាលម្តងទៀត ហើយរៀបចំកម្មវិធី .sof file. - សាកល្បងប្រតិបត្តិការរចនាតាមរយៈពាក្យបញ្ជាដែលបានគាំទ្រនៅក្នុងស្គ្រីបកុងសូលប្រព័ន្ធ។ ស្គ្រីបកុងសូលប្រព័ន្ធផ្តល់នូវពាក្យបញ្ជាដែលមានប្រយោជន៍សម្រាប់ការអានស្ថិតិ និងមុខងារដែលអនុញ្ញាតក្នុងការរចនា។
តារាង 4. ពាក្យបញ្ជាស្គ្រីបកុងសូលប្រព័ន្ធ
| បញ្ជា | ការពិពណ៌នា |
| loop_on | បើកដំណើរការ TX ទៅ RX រង្វិលជុំសៀរៀលខាងក្នុង។ ប្រើសម្រាប់តែឧបករណ៍ Intel Stratix 10 H-tile និង Intel Arria 10 ប៉ុណ្ណោះ។ |
| loop_off | បិទ TX ទៅ RX រង្វិលជុំសៀរៀលខាងក្នុង។ ប្រើសម្រាប់តែឧបករណ៍ Intel Stratix 10 H-tile និង Intel Arria 10 ប៉ុណ្ណោះ។ |
| តំណភ្ជាប់ _ init _ int _1pbk | បើកដំណើរការ TX ទៅ RX រង្វិលជុំសៀរៀលខាងក្នុងនៅក្នុងឧបករណ៍បញ្ជូន និងដំណើរការលំហូរក្រិតឧបករណ៍បញ្ជូន។ អាចអនុវត្តបានចំពោះការរចនាក្បឿង Intel Stratix 10 E-tile និង Intel Agilex 7 E-tile ប៉ុណ្ណោះ។ |
| តំណភ្ជាប់ _ init _ ext _1pbk | បើកដំណើរការ TX ទៅ RX រង្វិលជុំខាងក្រៅ និងអនុវត្តលំហូរក្រិតឧបករណ៍បញ្ជូន។ អាចអនុវត្តបានចំពោះការរចនាក្បឿង Intel Stratix 10 E-tile និង Intel Agilex 7 E-tile ប៉ុណ្ណោះ។ |
| gen ចរាចរណ៍បិទ | បិទដំណើរការម៉ាស៊ីនភ្លើងចរាចរណ៍ និងឧបករណ៍ពិនិត្យ។ |
| ស្ថិតិ chkmac | បង្ហាញស្ថិតិសម្រាប់ Ethernet MAC ។ |
| read_test_ស្ថិតិ | បង្ហាញស្ថិតិកំហុសសម្រាប់ម៉ាស៊ីនភ្លើងចរាចរណ៍ និងអ្នកត្រួតពិនិត្យ។ |
| ext _ បន្ត _ របៀប _en | កំណត់ប្រព័ន្ធរចនាទាំងមូលឡើងវិញ និងបើកដំណើរការម៉ាស៊ីនភ្លើងចរាចរដើម្បីបង្កើតកញ្ចប់ចរាចរណ៍បន្ត។ |
| dr _ 25g _ ទៅ _ lOg _etile | ប្តូរអត្រាទិន្នន័យរបស់ Ethernet MAC ពី 25G ទៅ 10G។ ប្រើសម្រាប់តែឧបករណ៍ Intel Stratix 10 E-tile និង Intel Agilex 7 E-tile ប៉ុណ្ណោះ។ |
| dr_25g_to_10g_htile | ប្តូរអត្រាទិន្នន័យរបស់ Ethernet MAC ពី 25G ទៅ 10G។ ប្រើសម្រាប់តែឧបករណ៍ H-tile ប៉ុណ្ណោះ។ |
| dr_10g_to_25g_etile | ប្តូរអត្រាទិន្នន័យរបស់ Ethernet MAC ពី 10G ទៅ 25G។ ប្រើសម្រាប់តែឧបករណ៍ Intel Stratix 10 E-tile និង Intel Agilex 7 E-tile ប៉ុណ្ណោះ។ |
| dr _ 25g _ ទៅ _ lOg _htile | ប្តូរអត្រាទិន្នន័យរបស់ Ethernet MAC ពី 10G ទៅ 25G។ ប្រើសម្រាប់តែឧបករណ៍ H-tile ប៉ុណ្ណោះ។ |
សample output បង្ហាញពីដំណើរការសាកល្បងជោគជ័យ៖
ការបោះពុម្ពកុងសូលប្រព័ន្ធ (ចំនួនឆានែល = 1)
ឆានែល 0 EXT PTP TX SOP ចំនួន: 256
ឆានែល 0 EXT PTP TX EOP ចំនួន: 256
ឆានែល 0 EXT MISC TX SOP ចំនួន: 36328972
ឆានែល 0 EXT MISC TX EOP ចំនួន: 36369511
ឆានែល 0 EXT RX SOP ចំនួន: 36410364
ឆានែល 0 EXT RX EOP ចំនួន: 36449971
កំហុសកម្មវិធីពិនិត្យឆានែល 0 EXT៖ 0
Channel 0 EXT Checker Error Counts: 0
កំហុសស្នាមម្រាមដៃរបស់ប៉ុស្តិ៍ 0 EXT PTP៖ 0
Channel 0 EXT PTP Fingerprint Error Counts: 0
ឆានែល 0 TX SOP ចំនួន: 1337760
ឆានែល 0 TX EOP ចំនួន: 1339229
ឆានែល 0 RX SOP ចំនួន: 1340728
ឆានែល 0 RX EOP ចំនួន: 1342555
កំហុសកម្មវិធីពិនិត្យឆានែល 0៖ 0
Channel 0 Checker Error Counts: 0
======================================================================= =================================
=============
ETHERNET MAC ស្ថិតិសម្រាប់ឆានែល 0 (Rx)
======================================================================= =================================
=============
ស៊ុមដែលបានបំបែក: 0
ស៊ុម Jabbered: 0
ទំហំត្រឹមត្រូវជាមួយ FCS Err Frames៖ 0
ទិន្នន័យ Multicast Err Frames៖ 0
ទិន្នន័យផ្សាយកំហុស ស៊ុម៖ ០
ទិន្នន័យ Unicast Err Frames៖ ០
ស៊ុម 64 បៃ : 3641342
65 – 127 Byte Frames : 0
128 – 255 Byte Frames : 37404809
256 – 511 Byte Frames : 29128650
512 – 1023 Byte Frames : 0
1024 – 1518 Byte Frames : 0
1519 – ស៊ុមបៃ MAX : 0
> MAX Byte Frames : 0
ទិន្នន័យ Multicast OK Frame៖ 70174801
ទិន្នន័យផ្សាយ OK Frame៖ 0
ទិន្នន័យ Unicast OK Frames៖ 0
ស៊ុមវត្ថុបញ្ជាច្រើន៖ ០
ស៊ុមត្រួតពិនិត្យការផ្សាយ៖ ០
ស៊ុមត្រួតពិនិត្យ Unicast៖ 0
ផ្អាកស៊ុមគ្រប់គ្រង៖ ០
Payload Octets OK : 11505935812
ស៊ុម Octets យល់ព្រម: 12918701444
Rx ប្រវែងស៊ុមអតិបរមា៖ ១៥១៨
ទំហំណាមួយជាមួយ FCS Err Frame: 0
Multicast control Err Frame៖ 0
ការគ្រប់គ្រងការផ្សាយកំហុស ស៊ុម៖ ០
Unicast control Err Frames៖ ០
ផ្អាកការគ្រប់គ្រងស៊ុមកំហុស៖ ០
Rx Frame ចាប់ផ្តើម៖ 70174801
ខាងក្រោមនេះគឺជា sample លទ្ធផលសម្រាប់ដំណើរការសាកល្បង 25G ទៅ 10G DR៖
ការបោះពុម្ពកុងសូលប្រព័ន្ធ (25G ទៅ 10G DR E-tile)
ចាប់ផ្តើមការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តសម្រាប់អ៊ីសឺរណិត 25G -> 10G
DR ជោគជ័យ 25G -> 10G
RX PHY ចុះឈ្មោះចូលប្រើ៖ កំពុងពិនិត្យមើលប្រេកង់នាឡិកា (KHz)
TXCLK : 16114 (KHZ)
RXCLK : ១៦១១៣ (KHZ)
ការស្ទង់មតិស្ថានភាព RX PHY
ស្ថានភាពចាក់សោប្រេកង់ Rx 0x0000000f
នាឡិកាម៉ាក Mac ក្នុងស្ថានភាពយល់ព្រម? 0x00000001
កំហុសស៊ុម Rx? 0x00000000
Rx PHY តម្រឹមយ៉ាងពេញលេញ? 0x00000001
ការបោះឆ្នោត RX PHY Channel ០
RX PHY Channel 0 ចេញហើយ!
ការបោះពុម្ពកុងសូលប្រព័ន្ធ (25G ទៅ 10G DR H-tile)
ចាប់ផ្តើមការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តសម្រាប់អ៊ីសឺរណិត 25G -> 10G
DR ជោគជ័យ 25G -> 10G
RX PHY ចុះឈ្មោះចូលប្រើ៖ កំពុងពិនិត្យមើលប្រេកង់នាឡិកា (KHz)
TXCLK : 15625 (KHZ)
RXCLK : ១៦១១៣ (KHZ)
ការស្ទង់មតិស្ថានភាព RX PHY
ស្ថានភាពចាក់សោប្រេកង់ Rx 0x00000001
នាឡិកាម៉ាក Mac ក្នុងស្ថានភាពយល់ព្រម? 0x00000007
កំហុសស៊ុម Rx? 0x00000000
Rx PHY តម្រឹមយ៉ាងពេញលេញ? 0x00000001
ការបោះឆ្នោត RX PHY Channel ០
RX PHY Channel 0 ចេញហើយ!
ការបោះពុម្ពកុងសូលប្រព័ន្ធ (10G ទៅ 25G DR E-tile)
ចាប់ផ្តើមការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តសម្រាប់អ៊ីសឺរណិត 10G -> 25G
DR ជោគជ័យ 10G -> 25G
RX PHY ចុះឈ្មោះចូលប្រើ៖ កំពុងពិនិត្យមើលប្រេកង់នាឡិកា (KHz)
TXCLK : 40283 (KHZ)
RXCLK : ១៦១១៣ (KHZ)
ការស្ទង់មតិស្ថានភាព RX PHY
ស្ថានភាពចាក់សោប្រេកង់ Rx 0x0000000f
នាឡិកាម៉ាក Mac ក្នុងស្ថានភាពយល់ព្រម? 0x00000001
កំហុសស៊ុម Rx? 0x00000000
Rx PHY តម្រឹមយ៉ាងពេញលេញ? 0x00000001
ការបោះឆ្នោត RX PHY Channel ០
RX PHY Channel 0 ចេញហើយ!
ការបោះពុម្ពកុងសូលប្រព័ន្ធ (10G ទៅ 25G DR H-tile)
ចាប់ផ្តើមការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តសម្រាប់អ៊ីសឺរណិត 10G -> 25G
DR ជោគជ័យ 10G -> 25G
RX PHY ចុះឈ្មោះចូលប្រើ៖ កំពុងពិនិត្យមើលប្រេកង់នាឡិកា (KHz)
TXCLK : 39061 (KHZ)
RXCLK : ១៦១១៣ (KHZ)
ការស្ទង់មតិស្ថានភាព RX PHY
ស្ថានភាពចាក់សោប្រេកង់ Rx 0x00000001
នាឡិកាម៉ាក Mac ក្នុងស្ថានភាពយល់ព្រម? 0x00000007
កំហុសស៊ុម Rx? 0x00000000
Rx PHY តម្រឹមយ៉ាងពេញលេញ? 0x00000001
ការបោះឆ្នោត RX PHY Channel ០
RX PHY Channel 0 ចេញហើយ!
រចនា Exampការពិពណ៌នា
ការរចនា example បង្ហាញពីមុខងារជាមូលដ្ឋាននៃស្នូល eCPRI IP ។ អ្នកអាចបង្កើតការរចនាពី Exampផ្ទាំងរចនានៅក្នុងកម្មវិធីនិពន្ធប៉ារ៉ាម៉ែត្រ IP eCPRI ។
2.1. លក្ខណៈពិសេស
- របៀបរង្វិលជុំស៊េរីនៃ TX និង RX ខាងក្នុង
- បង្កើតកញ្ចប់ទំហំថេរដោយស្វ័យប្រវត្តិ
- សមត្ថភាពត្រួតពិនិត្យកញ្ចប់ព័ត៌មានមូលដ្ឋាន
- សមត្ថភាពក្នុងការប្រើប្រាស់ System Console ដើម្បីសាកល្បងការរចនា និងកំណត់ការរចនាឡើងវិញសម្រាប់គោលបំណងសាកល្បងឡើងវិញ
២.២. ការរចនាផ្នែករឹង Example
រូបភាពទី 5. Block Diagram សម្រាប់ Intel Agilex 7 F-tile Designs
សាជីវកម្ម Intel ។ រក្សារសិទ្ធគ្រប់យ៉ាង។ Intel, និមិត្តសញ្ញា Intel និងសញ្ញា Intel ផ្សេងទៀតគឺជាពាណិជ្ជសញ្ញារបស់ Intel Corporation ឬក្រុមហ៊ុនបុត្រសម្ព័ន្ធរបស់ខ្លួន។ Intel ធានាការអនុវត្តផលិតផល FPGA និង semiconductor របស់ខ្លួនទៅនឹងលក្ខណៈបច្ចេកទេសបច្ចុប្បន្នស្របតាមការធានាស្តង់ដាររបស់ Intel ប៉ុន្តែរក្សាសិទ្ធិក្នុងការផ្លាស់ប្តូរផលិតផល និងសេវាកម្មណាមួយនៅពេលណាមួយដោយមិនមានការជូនដំណឹងជាមុន។ Intel សន្មត់ថាគ្មានទំនួលខុសត្រូវ ឬការទទួលខុសត្រូវដែលកើតចេញពីកម្មវិធី ឬការប្រើប្រាស់ព័ត៌មាន ផលិតផល ឬសេវាកម្មណាមួយដែលបានពិពណ៌នានៅទីនេះ លើកលែងតែមានការយល់ព្រមជាលាយលក្ខណ៍អក្សរដោយ Intel ។ អតិថិជនរបស់ Intel ត្រូវបានណែនាំឱ្យទទួលបានកំណែចុងក្រោយបំផុតនៃការបញ្ជាក់ឧបករណ៍ មុនពេលពឹងផ្អែកលើព័ត៌មានដែលបានបោះពុម្ពផ្សាយណាមួយ និងមុនពេលធ្វើការបញ្ជាទិញផលិតផល ឬសេវាកម្ម។ * ឈ្មោះ និងម៉ាកផ្សេងទៀតអាចត្រូវបានទាមទារជាកម្មសិទ្ធិរបស់អ្នកដទៃ។
រូបភាពទី 6. Block Diagram សម្រាប់ Intel Agilex 7 E-tile Designs
រូបភាពទី 7. Block Diagram សម្រាប់ Intel Stratix 10 Designs
រូបភាពទី 8. Block Diagram សម្រាប់ Intel Arria 10 Designs
ការរចនាផ្នែករឹងរបស់ eCPRI Intel FPGA IP ឧampឡេរួមបញ្ចូលសមាសធាតុដូចខាងក្រោមៈ
eCPRI Intel FPGA IP
ទទួលយកទិន្នន័យពីម៉ាស៊ីនបង្កើតចរាចរណ៍ភ្លាមៗនៅក្នុងកញ្ចប់សាកល្បង និងផ្តល់អាទិភាពទិន្នន័យសម្រាប់ការបញ្ជូនទៅអ៊ីសឺរណិត IP ។
អ៊ីសឺរណិត IP
- F-tile Ethernet Intel FPGA Hard IP (រចនា Intel Agilex 7 F-tile)
- E-tile Hard IP សម្រាប់ Ethernet (Intel Stratix 10 ឬ Intel Agilex 7 E-tile designs)
- 25G Ethernet Intel Stratix 10 IP (រចនា Intel Stratix 10 H-tile)
- Low Latency Ethernet 10G MAC IP និង 1G/10GbE និង 10GBASE-KR PHY IP (រចនា Intel Arria 10)
ពិធីការពេលវេលាច្បាស់លាស់ (PTP) IO PLL
សម្រាប់ការរចនាក្បឿង Intel Stratix 10 H-Instanttiated ដើម្បីបង្កើតនាឡិកាបញ្ចូលការវាស់វែងភាពយឺតយ៉ាវសម្រាប់ Ethernet IP និង sampling clock សម្រាប់ប្រព័ន្ធរង Time of Day (TOD) ។ សម្រាប់ 25G Ethernet Intel Stratix 10 FPGA IP ដែលមានមុខងារ IEEE 1588v2 ក្រុមហ៊ុន Intel ណែនាំអ្នកឱ្យកំណត់ប្រេកង់នាឡិកានេះទៅ 156.25 MHz ។ សូមមើល 25G Ethernet Intel Stratix 10 FPGA IP User Guide និង Intel Stratix 10 H-tile Transceiver PHY User Guide សម្រាប់ព័ត៌មានបន្ថែម។ PTP IOPLL ក៏បង្កើតនាឡិកាយោងសម្រាប់ eCPRI IO PLL ក្នុងលក្ខណៈល្បាក់។
សម្រាប់ការរចនា Intel Arria 10-Instantiated ដើម្បីបង្កើតការបញ្ចូលនាឡិកា 312.5 MHz និង 156.25 MHz សម្រាប់ Low Latency Ethernet 10G MAC IP និង 1G/10GbE, 10GBASE-KR PHY IP និង eCPRI IP ។
eCPRI IO PLL
បង្កើតទិន្នផលនាឡិកាស្នូល 390.625 MHz សម្រាប់ផ្លូវ TX និង RX នៃ eCPRI IP និងសមាសធាតុចរាចរណ៍។
ចំណាំ៖ ប្លុកនេះមានវត្តមានតែនៅក្នុង ex design ប៉ុណ្ណោះ។ample បានបង្កើតសម្រាប់ឧបករណ៍ Intel Stratix 10 និង Intel Agilex 7 ។
ចំណាំ៖ កំណែបច្ចុប្បន្ននៃ eCPRI Intel FPGA IP គាំទ្រតែប្រភេទ IWF 0។ សម្រាប់ឧបករណ៍ Intel Agilex 7 F-tile ការរចនា example បានបើកជាមួយនឹងមុខងារ IWF មិនត្រូវបានគាំទ្រទេ។
នៅពេលអ្នកបង្កើតការរចនា example ជាមួយប៉ារ៉ាម៉ែត្រគាំទ្រមុខងារអន្តរកម្ម (IWF) ត្រូវបានបិទ ចរាចរកញ្ចប់ព័ត៌មានហូរដោយផ្ទាល់ពីម៉ូឌុលរុំសាកល្បងទៅកាន់ចំណុចប្រទាក់ប្រភព/លិច Avalon-ST និងចំណុចប្រទាក់ប្រភព/លិចខាងក្រៅនៃ eCPRI IP ។
នៅពេលអ្នកបង្កើតការរចនា example ជាមួយប៉ារ៉ាម៉ែត្រជំនួយមុខងារអន្តរកម្ម (IWF) ត្រូវបានបើក ចរាចរកញ្ចប់ព័ត៌មានហូរទៅចំណុចប្រទាក់ IWF Avalon-ST sink ពីម៉ូឌុលរុំសាកល្បងជាមុនសិន ហើយចេញមកពីចំណុចប្រទាក់ប្រភព IWF Avalon-ST ទៅប្រភព/លិច eCPRI Avalon-ST ចំណុចប្រទាក់។
CPRI MAC
ផ្តល់ផ្នែក CPRI នៃស្រទាប់ទី 1 និងពិធីការពេញលេញនៃស្រទាប់ 2 សម្រាប់ការផ្ទេរយន្តហោះអ្នកប្រើប្រាស់ C&M និងព័ត៌មានការធ្វើសមកាលកម្មរវាង REC និង RE ក៏ដូចជារវាង RE ពីរ។
CPRI PHY
ផ្តល់ផ្នែកដែលនៅសល់នៃពិធីការ CPRI ស្រទាប់ទី 1 សម្រាប់ការសរសេរកូដបន្ទាត់ ការកែកំហុសប៊ីត/ការរកឃើញ និងល។
ចំណាំ៖ CPRI MAC និង CPRI PHY IP ភ្លាមៗនៅក្នុងការរចនានេះ example ត្រូវបានកំណត់រចនាសម្ព័ន្ធឱ្យដំណើរការក្នុងអត្រាបន្ទាត់ CPRI តែមួយ 9.8 Gbps ប៉ុណ្ណោះ។ ការរចនា ឧample មិនគាំទ្រការចរចាដោយស្វ័យប្រវត្តិអត្រាបន្ទាត់ក្នុងការចេញផ្សាយបច្ចុប្បន្នទេ។
តេស្តរុំ
មានម៉ាស៊ីនបង្កើតចរាចរណ៍ និងឧបករណ៍ពិនិត្យដែលបង្កើតសំណុំទិន្នន័យផ្សេងៗគ្នាទៅកាន់ចំណុចប្រទាក់ Avalon Streaming (Avalon-ST) នៃ eCPRI IP ដូចខាងក្រោម៖
- កញ្ចប់ព័ត៌មាន eCPRI ទៅកាន់ចំណុចប្រទាក់ប្រភព/លិច Avalon-ST (មុខងារ IWF ត្រូវបានបិទ):
- គាំទ្រតែសារប្រភេទ 2 ប៉ុណ្ណោះ។
- ការបង្កើតរបៀប Back-to-back ជាមួយនឹងការបង្កើតទម្រង់លំនាំបន្ថែម និងទំហំផ្ទុក 72 បៃសម្រាប់កញ្ចប់នីមួយៗ។
- កំណត់រចនាសម្ព័ន្ធតាមរយៈ CSR ដើម្បីដំណើរការទាំងរបៀបមិនបន្ត ឬបន្ត។
- ស្ថានភាពស្ថិតិកញ្ចប់ព័ត៌មាន TX/RX អាចរកបានតាមរយៈ CSR ។ - កញ្ចប់ព័ត៌មាន eCPRI ទៅកាន់ចំណុចប្រទាក់ប្រភព/លិច Avalon-ST (មុខងារ IWF ត្រូវបានបើក)៖
- គាំទ្រតែសារប្រភេទ 0 ក្នុងការចេញផ្សាយបច្ចុប្បន្ន។
- ការបង្កើតទម្រង់គំរូបន្ថែមជាមួយនឹងការបង្កើតគម្លាតអន្តរកញ្ចប់ និងទំហំផ្ទុក 240 បៃសម្រាប់កញ្ចប់នីមួយៗ។
- កំណត់រចនាសម្ព័ន្ធតាមរយៈ CSR ដើម្បីដំណើរការទាំងរបៀបមិនបន្ត ឬបន្ត។
- ស្ថានភាពស្ថិតិកញ្ចប់ព័ត៌មាន TX/RX អាចរកបានតាមរយៈ CSR ។ - កញ្ចប់ព័ត៌មានពេលវេលាជាក់លាក់ (1588 PTP) និងកញ្ចប់ព័ត៌មានផ្សេងៗដែលមិនមែនជា PTP ទៅកាន់ចំណុចប្រទាក់ប្រភពខាងក្រៅ/លិច៖
- ការបង្កើតបឋមកថាអ៊ីសឺរណិតឋិតិវន្តជាមួយនឹងប៉ារ៉ាម៉ែត្រដែលបានកំណត់ជាមុន៖ Ethertype0x88F7, ប្រភេទសារ- Opcode 0 (សមកាលកម្ម) និង PTP កំណែ-0 ។
- ការបង្កើតទម្រង់លំនាំដែលបានកំណត់ជាមុនជាមួយនឹងគម្លាតអន្តរកញ្ចប់នៃ 2 វដ្ត និងទំហំផ្ទុកនៃ 57 បៃសម្រាប់កញ្ចប់នីមួយៗ។
- 128 កញ្ចប់ត្រូវបានបង្កើតក្នុងរយៈពេល XNUMX វិនាទី។
- កំណត់រចនាសម្ព័ន្ធតាមរយៈ CSR ដើម្បីដំណើរការទាំងរបៀបមិនបន្ត ឬបន្ត។
- ស្ថានភាពស្ថិតិកញ្ចប់ព័ត៌មាន TX/RX អាចរកបានតាមរយៈ CSR ។ - កញ្ចប់ផ្សេងៗដែលមិនមែនជា PTP ខាងក្រៅ៖
- ការបង្កើតបឋមកថាអ៊ីសឺរណិតឋិតិវន្តជាមួយនឹងប៉ារ៉ាម៉ែត្រដែលបានកំណត់ជាមុន Ethertype- 0x8100 (មិនមែន PTP) ។
- ការបង្កើតទម្រង់គំរូ PRBS ជាមួយនឹងគម្លាតអន្តរកញ្ចប់នៃ 2 វដ្ត និងទំហំផ្ទុកនៃ 128 បៃសម្រាប់កញ្ចប់នីមួយៗ។
- កំណត់រចនាសម្ព័ន្ធតាមរយៈ CSR ដើម្បីដំណើរការទាំងរបៀបមិនបន្ត ឬបន្ត។
- ស្ថានភាពស្ថិតិកញ្ចប់ព័ត៌មាន TX/RX អាចរកបានតាមរយៈ CSR ។
ប្រព័ន្ធរងពេលវេលានៃថ្ងៃ (TOD)
មានម៉ូឌុល IEEE 1588 TOD ចំនួនពីរសម្រាប់ទាំង TX និង RX និងម៉ូឌុល IEEE 1588 TOD Synchronizer ដែលបង្កើតដោយកម្មវិធី Intel Quartus Prime ។
ប្រព័ន្ធរង Nios® II
មានស្ពាន Avalon-MM ដែលអនុញ្ញាតឱ្យមានអាជ្ញាកណ្តាលទិន្នន័យ Avalon-MM រវាងប្រព័ន្ធដំណើរការ Nios II, ឧបករណ៍បំប្លែងសាកល្បង និងប្លុកឌិកូដអាសយដ្ឋាន Avalon® -MM ។
Nios II មានទំនួលខុសត្រូវក្នុងការអនុវត្តការប្តូរអត្រាទិន្នន័យដោយផ្អែកលើលទ្ធផលពីតម្លៃចុះឈ្មោះ rate_switch របស់ test wrapper ។ ប្លុកនេះកម្មវិធីចុះឈ្មោះចាំបាច់នៅពេលដែលវាទទួលបានពាក្យបញ្ជាពីកញ្ចប់សាកល្បង។
ចំណាំ៖ ប្លុកនេះមិនមាននៅក្នុង ex design ទេ។ample បានបង្កើតសម្រាប់ឧបករណ៍ Intel Arria 10 និង Intel Agilex 7 F-tile ។
កុងសូលប្រព័ន្ធ
ផ្តល់ចំណុចប្រទាក់ដែលងាយស្រួលប្រើសម្រាប់អ្នកដើម្បីធ្វើការកែកំហុសកម្រិតដំបូង និងតាមដានស្ថានភាព IP និងម៉ាស៊ីនបង្កើតចរាចរណ៍ និងឧបករណ៍ពិនិត្យ។
ការត្រួតពិនិត្យការបង្ហាញ
ម៉ូឌុលនេះមានម៉ូឌុលធ្វើសមកាលកម្មកំណត់ឡើងវិញ និងម៉ូឌុលប្រភព និងការស៊ើបអង្កេត (ISSP) សម្រាប់ការកែកំហុសប្រព័ន្ធ និងដំណើរការចាប់ផ្តើម។
ព័ត៌មានពាក់ព័ន្ធ
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ 25G Ethernet Intel Stratix 10 FPGA IP
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ Hard IP របស់ E-tile
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ eCPRI Intel FPGA IP
- 25G Ethernet Intel Stratix 10 FPGA IP Design Exampសៀវភៅណែនាំអ្នកប្រើប្រាស់
- E-tile Hard IP សម្រាប់ Intel Stratix 10 Design Examples មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់
- ការណែនាំអ្នកប្រើប្រាស់ Intel Stratix 10 L- និង H-Tile Transceiver PHY
- E-Tile Transceiver PHY មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ IP របស់ Intel Stratix 10 10GBASE-KR PHY
- E-tile Hard IP Intel Agilex Design Exampសៀវភៅណែនាំអ្នកប្រើប្រាស់
២.៣. ការរចនាក្លែងធ្វើ Example
ការរចនា eCPRI ឧample បង្កើត testbench និងការក្លែងធ្វើ files ដែលធ្វើអោយ eCPRI Intel FPGA IP core ភ្លាមៗនៅពេលអ្នកជ្រើសរើសជម្រើស Simulation ឬ Synthesis & Simulation។
រូបភាពទី 9. eCPRI Intel FPGA IP Simulation Block Diagram
ចំណាំ៖ ប្លុកប្រព័ន្ធរង Nios II មិនមានវត្តមាននៅក្នុងការរចនា example បានបង្កើតសម្រាប់ឧបករណ៍ Intel Arria 10 និង Intel Agilex 7 F-tile ។
នៅក្នុងការរចនានេះ ឧample, the simulation testbench ផ្តល់នូវមុខងារជាមូលដ្ឋានដូចជាការចាប់ផ្តើម និងរង់ចាំសម្រាប់ចាក់សោ បញ្ជូន និងទទួលកញ្ចប់។
ដំណើរការសាកល្បងជោគជ័យបង្ហាញលទ្ធផលដែលបញ្ជាក់ពីឥរិយាបថខាងក្រោម៖
- តក្កវិជ្ជាអតិថិជនកំណត់ស្នូល IP ឡើងវិញ។
- តក្កវិជ្ជាអតិថិជនរង់ចាំការតម្រឹមផ្លូវទិន្នន័យ RX ។
- តក្កវិជ្ជាអតិថិជនបញ្ជូនកញ្ចប់ព័ត៌មាននៅលើចំណុចប្រទាក់ Avalon-ST ។
- ទទួល និងពិនិត្យមើលខ្លឹមសារ និងភាពត្រឹមត្រូវនៃកញ្ចប់ព័ត៌មាន។
- បង្ហាញសារ “Test PassED”។
២.៣. សញ្ញាចំណុចប្រទាក់
តារាង 5. Design Example សញ្ញាចំណុចប្រទាក់
| សញ្ញា | ទិសដៅ | ការពិពណ៌នា |
| clk_ref | បញ្ចូល | នាឡិកាយោងសម្រាប់ Ethernet MAC ។ • សម្រាប់ Intel Stratix 10 E-tile, Intel Agilex 7 E-tile and F-tile designs, 156.25 MHz clock input for the E-tile Ethernet Hard IP core ឬ F-tile Ethernet Hard IP core។ ភ្ជាប់ទៅ i_clk_ref[0] នៅក្នុង Ethernet Hard IP ។ • សម្រាប់ការរចនា Intel Stratix 10 H-tile ការបញ្ចូលនាឡិកា 322.2625 MHz សម្រាប់ Transceiver ATX PLL និង 25G Ethernet IP ។ ភ្ជាប់ទៅ pll_refclk0[0] នៅក្នុង Transceiver ATX PLL និង clk_ref[0] ក្នុង 25G Ethernet IP ។ • សម្រាប់ការរចនា Intel Arria 10 ការបញ្ចូលនាឡិកា 322.265625 MHz សម្រាប់ Transceiver ATX PLL និង 1G/ 10GbE និង 10GBase-KR PHY IP ។ ភ្ជាប់ទៅ pll_refclk0[0] នៅក្នុង Transceiver ATX PLL និង rx_cdr_ref_clk_10g[0] ក្នុង 1G/ 10GbE និង 10G BASE-KR PHY IP ។ |
| tod_sync_sampling_clk | បញ្ចូល | សម្រាប់ការរចនា Intel Arria 10 ការបញ្ចូលនាឡិកា 250 MHz សម្រាប់ប្រព័ន្ធរង TOD ។ |
| clk100 | បញ្ចូល | នាឡិកាគ្រប់គ្រង។ នាឡិកានេះត្រូវបានប្រើដើម្បីបង្កើត latency_clk សម្រាប់ PTP ។ បើកបរនៅ 100 MHz ។ |
| mgmt_reset_n | បញ្ចូល | កំណត់សញ្ញាឡើងវិញសម្រាប់ប្រព័ន្ធ Nios II ។ |
| tx_serial | ទិន្នផល | ទិន្នន័យស៊េរី TX ។ គាំទ្ររហូតដល់ 4 ប៉ុស្តិ៍។ |
| rx_serial | បញ្ចូល | សំណុំទិន្នន័យ RX គាំទ្ររហូតដល់ 4 ប៉ុស្តិ៍។ |
| iwf_cpri_ehip_ref_clk | បញ្ចូល | ការបញ្ចូលនាឡិកាយោង CPRI PHY ក្បឿងអ៊ី។ នាឡិកានេះមានវត្តមានតែនៅក្នុង Intel Stratix 10 E-tile និង Intel ប៉ុណ្ណោះ។ ការរចនាក្បឿង Agilex 7 អ៊ី។ បើកបរនៅ 153.6 MHz សម្រាប់អត្រាបន្ទាត់ CPRI 9.8 Gbps ។ |
| iwf_cpri_pll_refclk0 | ទិន្នផល | នាឡិកាយោង CPRI TX PLL ។ • សម្រាប់ការរចនា Intel Stratix 10 H-tile៖ បើកបរនៅ 307.2 MHz សម្រាប់អត្រាទិន្នន័យ CPRI 9.8 Gbps ។ • សម្រាប់ការរចនាក្បឿង Intel Stratix 10 E-tile និង Intel Agilex 7 E- រចនា៖ បើកបរនៅល្បឿន 156.25 MHz សម្រាប់អត្រាទិន្នន័យ CPRI 9.8 Gbps ។ |
| iwf_cpri_xcvr_cdr_refclk | ទិន្នផល | នាឡិកាយោង CDR អ្នកទទួល CPRI ។ នាឡិកានេះមានវត្តមានតែនៅក្នុងការរចនា Intel Stratix 10 H-tile ប៉ុណ្ណោះ។ បើកបរនៅ 307.2 MHz សម្រាប់អត្រាបន្ទាត់ CPRI 9.8 Gbps ។ |
| iwf_cpri_xcvr_txdataout | ទិន្នផល | CPRI បញ្ជូនទិន្នន័យសៀរៀល។ គាំទ្ររហូតដល់ 4 ប៉ុស្តិ៍។ |
| iwf_cpri_xcvr_rxdatain | ទិន្នផល | ទិន្នន័យសៀរៀលអ្នកទទួល CPRI ។ គាំទ្ររហូតដល់ 4 ប៉ុស្តិ៍។ |
| cpri_gmii_clk | បញ្ចូល | នាឡិកាបញ្ចូល CPRI GMII 125 MHz ។ |
ព័ត៌មានពាក់ព័ន្ធ
សញ្ញាចំណុចប្រទាក់ PHY
រាយសញ្ញាចំណុចប្រទាក់ PHY នៃ 25G Ethernet Intel FPGA IP ។
២.៥. រចនា Example ចុះឈ្មោះផែនទី
ខាងក្រោមនេះគឺជាផែនទីចុះឈ្មោះសម្រាប់ eCPRI IP core design exampលេ៖
តារាង 6. eCPRI Intel FPGA IP Design Example ចុះឈ្មោះផែនទី
| អាស័យដ្ឋាន | ចុះឈ្មោះ |
| 0x20100000 – 0x201FFFFF(2) | ការចុះឈ្មោះកំណត់រចនាសម្ព័ន្ធឡើងវិញ IOPLL ។ |
| 0x20200000 – 0x203FFFF | ការចុះឈ្មោះ Ethernet MAC Avalon-MM |
| 0x20400000 – 0x205FFFF | Ethernet MAC Native PHY Avalon-MM ចុះឈ្មោះ |
| 0x20600000 – 0x207FFFFF(2) | ការចុះឈ្មោះដើម PHY RS-FEC Avalon-MM ។ |
| 0x40000000 – 0x5FFFFFF | eCPRI IP Avalon-MM ចុះឈ្មោះ |
| 0x80000000 – 0x9FFFFFF | ម៉ាស៊ីនភ្លើងសាកល្បងការរចនាអ៊ីសឺរណិត/អ្នកផ្ទៀងផ្ទាត់ Avalon-MM ចុះឈ្មោះ |
តារាង 7. Nios II ចុះឈ្មោះផែនទី
ការចុះឈ្មោះក្នុងតារាងខាងក្រោមគឺមានតែនៅក្នុងការរចនា example បានបង្កើតសម្រាប់ឧបករណ៍ Intel Stratix 10 ឬ Intel Agilex 7 E-tile ។
| អាស័យដ្ឋាន | ចុះឈ្មោះ |
| 0x00100000 – 0x001FFFF | ការចុះឈ្មោះកំណត់រចនាសម្ព័ន្ធឡើងវិញ IOPLL |
| 0x00200000 – 0x003FFFF | ការចុះឈ្មោះ Ethernet MAC Avalon-MM |
| 0x00400000 – 0x005FFFF | Ethernet MAC Native PHY Avalon-MM ចុះឈ្មោះ |
| 0x00600000 – 0x007FFFF | ការចុះឈ្មោះដើម PHY RS-FEC Avalon-MM |
ចំណាំ៖ អ្នកអាចចូលប្រើ Ethernet MAC និង Ethernet MAC Native PHY AVMM ចុះឈ្មោះដោយប្រើ word offset ជំនួសឱ្យ byte offset។
សម្រាប់ព័ត៌មានលម្អិតអំពីផែនទី Ethernet MAC, Ethernet MAC Native PHY, និង eCPRI IP core register maps សូមមើលការណែនាំអ្នកប្រើប្រាស់រៀងៗខ្លួន។
(2) មានតែនៅក្នុងការរចនា example បានបង្កើតសម្រាប់ឧបករណ៍ Intel Stratix 10 និង Intel Agilex 7 E-tile ។
តារាង 8. eCPRI Intel FPGA IP Hardware Design Example ចុះឈ្មោះផែនទី
| ពាក្យអុហ្វសិត | ប្រភេទចុះឈ្មោះ | តម្លៃលំនាំដើម | ប្រភេទចូលប្រើ |
| 0x0 | ចាប់ផ្តើមផ្ញើទិន្នន័យ៖ • ប៊ីតទី 1៖ PTP ប្រភេទមិនមែន PTP • ប៊ីត 0៖ ប្រភេទ eCPRI |
0x0 | RW |
| 0x1 | កញ្ចប់បន្តបើកដំណើរការ | 0x0 | RW |
| 0x2 | ជម្រះកំហុស | 0x0 | RW |
| ៣០ គុណ ៥ (២) | ការប្តូរអត្រា៖ • ប៊ីត [7]- បង្ហាញក្រឡា៖ - 1'b0: H-tile — 1'b1: អ៊ី-ក្បឿង • ប៊ីត [6:4]- បង្ហាញពីការផ្លាស់ប្តូរអត្រាទិន្នន័យអ៊ីសឺរណិត៖ - 3'b000: 25G ទៅ 10G - 3'b001: 10G ទៅ 25G • ប៊ីត [0]- បើកអត្រាប្ដូរ។ វាត្រូវបានទាមទារដើម្បីកំណត់ប៊ីតនេះ 0 ហើយស្ទង់រហូតដល់ប៊ីត 0 ច្បាស់លាស់សម្រាប់ការប្តូរអត្រា។ ចំណាំ៖ ការចុះឈ្មោះនេះមិនមានសម្រាប់ការរចនា Intel Agilex 7 F-tile និង Intel Arria 10 ទេ។ |
• E-tile: 0x80 • ក្បឿង H៖ 0x0 |
RW |
| ៣០ គុណ ៥ (២) | ការប្តូរអត្រាបានបញ្ចប់៖ • ប៊ីត [1] បង្ហាញពីការផ្លាស់ប្តូរអត្រាដែលបានធ្វើរួច។ |
0x0 | RO |
| 0x5 (4) | ស្ថានភាពកំណត់រចនាសម្ព័ន្ធប្រព័ន្ធ៖ • ប៊ីត [31]៖ ប្រព័ន្ធរួចរាល់ • ប៊ីត [30]៖ IWF_EN • ប៊ីត [29]៖ STARTUP_SEQ_EN • ប៊ីត [28:4]៖ កក់ទុក • ប៊ីត [3]៖ EXT_PACKET_EN • ប៊ីត [2:0]៖ កក់ទុក |
0x0 | RO |
| 0x6 (4) | ការចរចា CPRI បានបញ្ចប់៖ • ប៊ីត [3:0]៖ អត្រាប៊ីតបានបញ្ចប់ • ប៊ីត [19:16]៖ ពិធីការបានបញ្ចប់ |
0x0 | RW |
| 0x7 (4) | ការចរចា CPRI បានបញ្ចប់៖ • ប៊ីត [3:0]៖ បញ្ចប់ C&M លឿន • ប៊ីត [19:16]៖ លឿន VSS បញ្ចប់ |
0x0 | RW |
| 0x8 – 0x1F | កក់ទុក។ | ||
| 0x20 | កំហុស eCPRI រំខាន៖ • ប៊ីត [0] បង្ហាញពីការរំខាន។ |
0x0 | RO |
| 0x21 | កំហុសកញ្ចប់ខាងក្រៅ | 0x0 | RO |
| 0x22 | កញ្ចប់ PTP ខាងក្រៅ TX ចាប់ផ្តើមនៃកញ្ចប់ព័ត៌មាន (SOP) ចំនួន | 0x0 | RO |
| 0x23 | កញ្ចប់ PTP ខាងក្រៅ TX End of Packet (EOP) រាប់ | 0x0 | RO |
| 0x24 | កញ្ចប់ផ្សេងៗខាងក្រៅចំនួន TX SOP | 0x0 | RO |
| 0x25 | កញ្ចប់ផ្សេងៗខាងក្រៅចំនួន TX EOP | 0x0 | RO |
| 0x26 | កញ្ចប់ RX ខាងក្រៅចំនួន SOP | 0x0 | RO |
| 0x27 | កញ្ចប់ RX ខាងក្រៅចំនួន EOP | 0x0 | RO |
| 0x28 | ចំនួនកំហុសកញ្ចប់ខាងក្រៅ | 0x0 | RO |
| 0x29 - 0x2C | កក់ទុក។ | ||
| 0x2D | ពេលវេលា PTP ខាងក្រៅamp ចំនួនកំហុសស្នាមម្រាមដៃ | 0x0 | RO |
| 0x2 អ៊ី | ពេលវេលា PTP ខាងក្រៅamp កំហុសស្នាមម្រាមដៃ | 0x0 | RO |
| 0x2F | ស្ថានភាពកំហុស Rx ខាងក្រៅ | 0x0 | RO |
| 0x30 - 0x47 | កក់ទុក។ | ||
| 0x48 | កំហុសកញ្ចប់ eCPRI | RO | |
| 0x49 | eCPRI TX SOP ចំនួន | RO | |
| 0x4A | eCPRI TX EOP ចំនួន | RO | |
| ០x១ ប៊ី | eCPRI RX SOP ចំនួន | RO | |
| ០x៤ ស៊ី | eCPRI RX EOP ចំនួន | RO | |
| 0x4D | ចំនួនកំហុសកញ្ចប់ eCPRI | RO | |
ព័ត៌មានពាក់ព័ន្ធ
- ការត្រួតពិនិត្យ ស្ថានភាព និងស្ថិតិចុះឈ្មោះការពិពណ៌នា
ចុះឈ្មោះព័ត៌មានសម្រាប់ 25G Ethernet Stratix 10 FPGA IP - ការកំណត់រចនាសម្ព័ន្ធឡើងវិញ និងការចុះឈ្មោះស្ថានភាព
ការពិពណ៌នា ចុះឈ្មោះព័ត៌មានសម្រាប់ E-tile Hard IP សម្រាប់ Ethernet - ចុះឈ្មោះ
ចុះឈ្មោះព័ត៌មានសម្រាប់ eCPRI Intel FPGA IP
eCPRI Intel FPGA IP Design Example បណ្ណសារមគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់
សម្រាប់កំណែចុងក្រោយបំផុត និងមុននៃការណែនាំអ្នកប្រើប្រាស់នេះ សូមមើល eCPRI Intel FPGA IP Design Exampកំណែ HTML មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់។ ជ្រើសរើសកំណែហើយចុចទាញយក។ ប្រសិនបើ IP ឬកំណែកម្មវិធីមិនត្រូវបានរាយបញ្ជី ការណែនាំអ្នកប្រើប្រាស់សម្រាប់ IP ឬកំណែកម្មវិធីពីមុនត្រូវបានអនុវត្ត។
ប្រវត្តិកែប្រែឯកសារសម្រាប់ eCPRI Intel FPGA IP Design Exampសៀវភៅណែនាំអ្នកប្រើប្រាស់
| កំណែឯកសារ | ក្រុមហ៊ុន Intel Quartus កំណែបឋម |
កំណែ IP | ការផ្លាស់ប្តូរ |
| 2023.05.19 | 23.1 | 2.0.3 | • បានធ្វើបច្ចុប្បន្នភាពការក្លែងធ្វើការរចនា Exampពីផ្នែក Testbench នៅក្នុងជំពូកការណែនាំអំពីការចាប់ផ្តើមរហ័ស។ • បានធ្វើបច្ចុប្បន្នភាពឈ្មោះគ្រួសារផលិតផលទៅជា “Intel Agilex 7”។ |
| 2022.11.15 | 22.3 | 2.0.1 | ការណែនាំដែលបានធ្វើបច្ចុប្បន្នភាពសម្រាប់ម៉ាស៊ីនក្លែងធ្វើ VCS នៅក្នុងផ្នែក៖ ការក្លែងធ្វើការរចនា Exampនៅ Testbench ។ |
| 2022.07.01 | 22.1 | 1.4.1 | • បានបន្ថែមការរចនាផ្នែករឹង ឧampគាំទ្រសម្រាប់បំរែបំរួលឧបករណ៍ Intel Agilex 7 F-tile ។ • បានបន្ថែមការគាំទ្រសម្រាប់ឧបករណ៍អភិវឌ្ឍន៍ខាងក្រោម៖ - កញ្ចប់អភិវឌ្ឍន៍ FPGA របស់ Intel Agilex 7 I-Series - កញ្ចប់អភិវឌ្ឍន៍ Intel Agilex 7 I-Series Transceiver-SoC • បានបន្ថែមការគាំទ្រសម្រាប់កម្មវិធីក្លែងធ្វើ QuestaSim ។ • ការគាំទ្រត្រូវបានដកចេញសម្រាប់ការក្លែងធ្វើ ModelSim* SE។ |
| 2021.10.01 | 21.2 | 1.3.1 | • បានបន្ថែមការគាំទ្រសម្រាប់ឧបករណ៍ Intel Agilex 7 F-tile ។ • បានបន្ថែមការគាំទ្រសម្រាប់ការរចនាពហុឆានែល។ • តារាងដែលបានធ្វើបច្ចុប្បន្នភាព៖ eCPRI Intel FPGA IP Hardware Design Example ចុះឈ្មោះផែនទី។ • បានដកការគាំទ្រសម្រាប់ NCSim simulator។ |
| 2021.02.26 | 20.4 | 1.3.0 | • បានបន្ថែមការគាំទ្រសម្រាប់ឧបករណ៍ Intel Agilex 7 E-tile ។ |
| 2021.01.08 | 20.3 | 1.2.0 | • បានផ្លាស់ប្តូរចំណងជើងឯកសារពី eCPRI Intel Stratix 10 FPGA IP Design Exampការណែនាំអំពីអ្នកប្រើប្រាស់ eCPRI Intel FPGA IP Design Exampសៀវភៅណែនាំអ្នកប្រើប្រាស់។ • បានបន្ថែមការគាំទ្រសម្រាប់ការរចនា Intel Arria 10 ។ • ការរចនា eCPRI IP ឧampឥឡូវនេះ le អាចប្រើបានជាមួយមុខងារអន្តរការងារ (IWF) គាំទ្រមុខងារ។ • បានបន្ថែមកំណត់ចំណាំដើម្បីបញ្ជាក់ឱ្យច្បាស់ថា eCPRI design example ជាមួយមុខងារ IWF គឺអាចប្រើបានសម្រាប់តែ 9.8 Gbps CPRI ប៉ុណ្ណោះ។ អត្រាប៊ីតបន្ទាត់។ • លក្ខខណ្ឌបន្ថែមនៅក្នុងផ្នែក បង្កើតការរចនា នៅពេលបង្កើតការរចនា ឧampឡេជាមួយ បានបើកប៉ារ៉ាម៉ែត្រគាំទ្រមុខងារអន្តរកម្ម (IWF)។ • បានបន្ថែម sampការធ្វើតេស្តសាកល្បង le ដំណើរការលទ្ធផលជាមួយនឹងមុខងារ IWF ត្រូវបានបើកនៅក្នុងផ្នែក ក្លែងធ្វើការរចនា Exampនៅ Testbench ។ • បានបន្ថែមផ្នែកថ្មី បើកការកំណត់រចនាសម្ព័ន្ធឡើងវិញថាមវន្តទៅ IP អ៊ីសឺរណិត។ • បានធ្វើបច្ចុប្បន្នភាពការធ្វើតេស្តផ្នែករឹងampលទ្ធផលនៅក្នុងផ្នែក សាកល្បង eCPRI Intel FPGA IP Design Exampលេ |
| 2020.06.15 | 20.1 | 1.1.0 | • បានបន្ថែមការគាំទ្រសម្រាប់អត្រាទិន្នន័យ 10G ។ • flow.c file ឥឡូវនេះមានជាមួយការរចនា exampជំនាន់ដើម្បីជ្រើសរើសរបៀបរង្វិលជុំ។ • កែប្រែ សample លទ្ធផលសម្រាប់ការធ្វើតេស្តសាកល្បងដំណើរការនៅក្នុងផ្នែក ក្លែងធ្វើការរចនា Exampនៅ Testbench ។ • បន្ថែមតម្លៃប្រេកង់សម្រាប់ដំណើរការការរចនាអត្រាទិន្នន័យ 10G នៅក្នុងផ្នែក ចងក្រង និងកំណត់រចនាសម្ព័ន្ធ រចនា Example ក្នុង Hardware ។ • បានធ្វើការផ្លាស់ប្តូរដូចខាងក្រោមនៅក្នុងផ្នែក ការធ្វើតេស្ត eCPRI Intel FPGA IP Design Exampលេ៖ - បានបន្ថែមពាក្យបញ្ជាដើម្បីប្តូរអត្រាទិន្នន័យរវាង 10G និង 25G - បានបន្ថែម សampទិន្នផលសម្រាប់ការផ្លាស់ប្តូរអត្រាទិន្នន័យ - បានបន្ថែមព័ត៌មានអថេរ TEST_MODE ដើម្បីជ្រើសរើសរង្វិលជុំត្រឡប់មកវិញនៅក្នុងបំរែបំរួលឧបករណ៍អេឡិចត្រូនិក។ • បានកែប្រែ eCPRI Intel FPGA IP Hardware Design Examples High Level Block Diagram ដើម្បីរួមបញ្ចូលថ្មី។ ប្លុក។ • តារាងដែលបានធ្វើបច្ចុប្បន្នភាព៖ Design Example Interface Signals ដើម្បីរួមបញ្ចូលសញ្ញាថ្មី។ • ធ្វើបច្ចុប្បន្នភាពការរចនា Example ផ្នែកចុះឈ្មោះផែនទី។ • បានបន្ថែមផ្នែកបន្ថែមថ្មី៖ ការបង្កើត និងទាញយកទម្រង់ដែលអាចប្រតិបត្តិបាន និងភ្ជាប់ (.elf) កម្មវិធី File . |
| 2020.04.13 | 19.4 | 1.1.0 | ការចេញផ្សាយដំបូង។ |
ក. ការបង្កើត និងទាញយកទម្រង់ដែលអាចប្រតិបត្តិបាន និងភ្ជាប់ (.elf) កម្មវិធី File
ផ្នែកនេះពិពណ៌នាអំពីរបៀបបង្កើត និងទាញយក .elf file ទៅក្រុមប្រឹក្សាភិបាល៖
- ផ្លាស់ប្តូរថតឯកសារទៅample_dir>/synthesis/quatus.
- នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition សូមចុច Open Project ហើយបើកample_dir>/synthesis/quartus/epri_ed.qpf ។ ឥឡូវជ្រើសរើស Tools ➤ Nios II Software Build Tools for Eclipse។
រូបភាពទី 10. Nios II Software Build Tools for Eclipse
- ប្រអប់បញ្ចូលបង្អួច Workspace Launcher លេចឡើង។ នៅក្នុង Workspace បញ្ជាក់ផ្លូវជាample_dir>/synthesis/quatus ដើម្បីរក្សាទុកគម្រោង Eclipse របស់អ្នក។ Nios II ថ្មី - បង្អួច Eclipse លេចឡើង។
រូបភាពទី 11. Workspace Launcher Window
- នៅក្នុងបង្អួច Nios II – Eclipse ចុចកណ្ដុរស្ដាំលើផ្ទាំង Project Explorer ហើយជ្រើសរើស New ➤ Nios II Board Support Package។ បង្អួចថ្មីលេចឡើង។
រូបភាពទី 12. Project Explorer Tab
- នៅក្នុងបង្អួចកញ្ចប់គាំទ្រក្រុមប្រឹក្សាភិបាល Nios II៖
• ក្នុងប៉ារ៉ាម៉ែត្រឈ្មោះគម្រោង បញ្ជាក់ឈ្មោះគម្រោងដែលអ្នកចង់បាន។
• នៅក្នុងព័ត៌មាន SOPC File ប៉ារ៉ាម៉ែត្រឈ្មោះ, រកមើលទីតាំងរបស់ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file។ សូមចុចបញ្ចប់។
រូបភាពទី 13. បង្អួចកញ្ចប់គាំទ្រក្រុមប្រឹក្សាភិបាល Nios II
- គម្រោងដែលបានបង្កើតថ្មីលេចឡើងនៅក្រោមផ្ទាំង Project Explorer នៅក្នុងបង្អួច Nios II Eclipse ។ ចុចកណ្ដុរស្ដាំលើផ្ទាំង Project Explorer ហើយជ្រើសរើស Nios II ➤ Nios II Command Shell ។
រូបភាពទី 14. Project Explorer- Nios II Command Shell
- ក្នុង Nios II Command Shell សូមវាយពាក្យបញ្ជាបីខាងក្រោម៖ nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir កម្មវិធី –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- អេលហ្វ file ត្រូវបានបង្កើតនៅទីតាំងខាងក្រោម៖ample_dir>/ synthesis/ip_components/software/ / កម្មវិធី។
- វាយពាក្យបញ្ជាខាងក្រោមក្នុង Nios II Command Shell ដើម្បីទាញយក .elf ទៅកាន់ក្តារ៖
• សម្រាប់ Intel Stratix 10៖ nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• សម្រាប់ Intel Agilex 7៖ nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

កំណែអនឡាញ
ផ្ញើមតិកែលម្អ
UG-០៦
683837
2023.05.19
eCPRI Intel® FPGA IP Design Exampសៀវភៅណែនាំអ្នកប្រើប្រាស់
ឯកសារ/ធនធាន
![]() |
Intel eCPRI Intel FPGA IP រចនា [pdf] ការណែនាំអ្នកប្រើប្រាស់ eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, រចនា IP FPGA, រចនា IP, រចនា |
