Intel logoeCPRI Intel® FPGA IP Tsim
Example User Guide
Hloov tshiab rau Intel®
Quartus®
Prime Design Suite: 23.1
Tus IP Version: 2.0.3

Phau Ntawv Qhia Pib Ceev

Lub Khoos Phis Tawj Xov Xwm Sib Tham (eCPRI) Intel® FPGA IP core siv eCPRI specification version 2.0. eCPRI Intel FPGA IP muab lub simulation testbench thiab kho vajtse tsim example uas txhawb kev muab tso ua ke thiab kev sim kho vajtse. Thaum koj tsim tus tsim example, parameter editor cia li tsim cov files yuav tsum simulate, compile, thiab sim tus tsim examphauv hardware.
The compiled hardware design example runs:

  • Intel Agilex™ 7 I-Series FPGA Development Kit
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit
  • Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit rau H-tile tsim examples
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit for the E-tile design examples
  • Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

Intel muab kev sau ua ke nkaus xwb example qhov project uas koj tuaj yeem siv los kwv yees tus IP core cheeb tsam sai thiab sijhawm.
The testbench thiab design example txhawb 25G thiab 10G cov ntaub ntawv tus nqi rau Intel Stratix 10 H-tile lossis E-tile thiab Intel Agilex 7 E-tile lossis F-tile ntaus ntawv hloov pauv ntawm eCPRI IP.

Nco tseg: eCPRI IP tsim example nrog kev sib koom ua haujlwm (IWF) tsuas yog muaj rau 9.8 Gbps CPRI kab me ntsis tus nqi hauv kev tso tawm tam sim no.
Nco tseg: eCPRI IP tsim example tsis txhawb dynamic reconfiguration rau 10G cov ntaub ntawv tus nqi nyob rau hauv Intel Arria 10 tsim.

eCPRI Intel FPGA IP core tsim example txhawb cov yam ntxwv hauv qab no:

  • Internal TX to RX serial loopback mode
  • Traffic generator thiab checker
  • Basic packet checking peev xwm
  • Muaj peev xwm siv System Console los khiav tus qauv tsim thiab rov pib dua tus qauv tsim kom rov sim dua lub hom phiaj

Intel Corporation. Txhua txoj cai. Intel, Intel logo, thiab lwm yam Intel cov cim yog cov cim lag luam ntawm Intel Corporation lossis nws cov koom haum. Intel lav kev ua tau zoo ntawm nws cov FPGA thiab cov khoom lag luam semiconductor rau cov kev qhia tshwj xeeb tam sim no raws li Intel tus qauv kev lees paub, tab sis muaj txoj cai los hloov cov khoom thiab cov kev pabcuam txhua lub sijhawm yam tsis muaj ntawv ceeb toom. Intel xav tias tsis muaj lub luag haujlwm lossis kev lav phib xaub uas tshwm sim los ntawm daim ntawv thov lossis siv cov ntaub ntawv, cov khoom lag luam, lossis cov kev pabcuam uas tau piav qhia hauv no tshwj tsis yog raws li tau pom zoo hauv kev sau ntawv los ntawm Intel. Intel cov neeg siv khoom tau qhia kom tau txais qhov tseeb version ntawm cov cuab yeej tshwj xeeb ua ntej tso siab rau cov ntaub ntawv tshaj tawm thiab ua ntej muab xaj rau cov khoom lossis cov kev pabcuam. * Lwm lub npe thiab hom yuav raug lees paub raws li cov cuab yeej ntawm lwm tus.

Daim ntawv pov thawj ISO 9001: 2015

Daim duab 1. Cov kauj ruam txhim kho rau Kev Tsim ExampleeCPRI Intel FPGA IP Tsim - Daim duab 1

Cov ntaub ntawv ntsig txog

  • eCPRI Intel FPGA IP Tus Neeg Siv Phau Ntawv Qhia
  • eCPRI Intel FPGA IP Tso Lus Sau

1.1. Hardware thiab Software Requirements
Mus kuaj tus example tsim, siv hardware thiab software hauv qab no:

  • Intel Quartus® Prime Pro Edition software version 23.1
  • System Console
  • Txhawb Simulators:
    - Siemens* EDA QuestaSim*
    - Synopsys* VCS*
    - Synopsys VCS MX
    - Aldec * Riviera-PRO*
    - Cadence * Xcelium *
  • Cov khoom siv txhim kho:
    - Intel Agilex 7 I-Series FPGA Development Kit
    - Intel Agilex 7 I-Series Transceiver-SoC Development Kit
    - Intel Agilex 7 F-Series Transceiver-SoC Development Kit
    - Intel Stratix 10 GX Transceiver Signal Integrity Development Kit rau H-tile ntaus ntawv variation tsim example
    - Intel Stratix 10 TX Transceiver Signal Integrity Development for the E-tile device variation design example
    - Intel Arria 10 GX Transceiver Signal Integrity Development Kit

Cov ntaub ntawv ntsig txog

  • Intel Agilex 7 I-Series FPGA Cov Khoom Siv Txhim Kho Cov Neeg Siv
  • Intel Agilex 7 I-Series Transceiver-SoC Kev Txhim Kho Cov Khoom Siv Qhia
  • Intel Agilex 7 F-Series Transceiver-SoC Kev Tsim Kho Cov Khoom Siv Qhia
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Tus neeg siv phau ntawv
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Tus neeg siv phau ntawv

1.2. Tsim tus Tsim
Yam yuavtsum tau kawm uantej: Thaum koj tau txais eCPRI web-core IP, txuag lub web-core installer rau hauv cheeb tsam. Khiav lub installer nrog Windows / Linux. Thaum prompted, nruab lub webcore rau tib qhov chaw raws li Intel Quartus Prime folder.
eCPRI Intel FPGA IP tam sim no tshwm hauv IP Catalog.
Yog tias koj tsis tau muaj Intel Quartus Prime Pro Edition qhov project uas yuav tsum tau koom nrog koj eCPRI Intel FPGA IP core, koj yuav tsum tsim ib qho.

  1. Hauv Intel Quartus Prime Pro Edition software, nyem File ➤ New Project Wizard los tsim ib txoj haujlwm tshiab Intel Quartus Prime, lossis nyem File ➤ Qhib Project qhib qhov project Intel Quartus Prime uas twb muaj lawm. Tus wizard qhia koj kom qhia meej lub cuab yeej.
  2. Qhia cov cuab yeej cuab tam thiab cov cuab yeej uas ua tau raws li qib ceev.
  3. Nyem Ua kom tiav.
  4. Hauv IP Catalog, nrhiav thiab nyem ob npaug rau eCPRI Intel FPGA IP. Lub qhov rais tshiab IP Variant tshwm.

Ua raws li cov kauj ruam no los tsim eCPRI IP kho vajtse tsim example and testbench:

  1. Hauv IP Catalog, nrhiav thiab nyem ob npaug rau eCPRI Intel FPGA IP. Lub qhov rais tshiab IP Variant tshwm.
  2. Nyem OK. Cov parameter editor tshwm.
    Daim duab 2. Example Tsim Tab hauv eCPRI Intel FPGA IP Parameter EditoreCPRI Intel FPGA IP Tsim - Daim duab 2
  3. Qhia lub npe saum toj kawg nkaus rau koj tus IP kev hloov pauv. Tus parameter editor txuag tus IP variation nqis hauv a file npe .ip ib.
  4. Nyem OK. Cov parameter editor tshwm.
  5. Nyob rau ntawm General tab, qhia qhov tsis haum rau koj tus IP core variation.
    Nco tseg: • Koj yuav tsum qhib Streaming parameter nyob rau hauv eCPRI IP parameter editor thaum koj tsim tus tsim example nrog Interworking Function (IWF) Support parameter enabled,
    • Koj yuav tsum tau teeb tsa CPRI Kab Ntsis Rate (Gbit / s) rau Lwm tus thaum tsim cov qauv tsim example nrog Interworking Function (IWF) Support parameter enabled.
  6. Hauv Example Tsim tab, xaiv qhov kev xaiv simulation los tsim cov testbench, xaiv qhov kev xaiv synthesis los tsim cov khoom siv example tsim, thiab xaiv synthesis thiab simulation kev xaiv los tsim ob qho tib si testbench thiab hardware tsim example.
  7. Rau hom lus rau qib siab simulation file, xaiv Verilog lossis VHDL.
    Nco tseg: Qhov kev xaiv no tsuas muaj thaum koj xaiv Simulation kev xaiv rau koj tus example design.
  8. Rau lus rau sab saum toj txheej synthesis file, xaiv Verilog lossis VHDL.
    Nco tseg: Qhov kev xaiv no tsuas muaj thaum koj xaiv Synthesis kev xaiv rau koj tus example design.
  9. Rau Tus lej Ntawm Cov Xov Xwm, koj tuaj yeem nkag mus rau tus lej ntawm cov channel (1 txog 4) npaj rau koj tsim. Default tus nqi yog 1.
  10. Nyem Tsim Example Design. Xaiv Example Design Directory window tshwm.
  11. Yog tias koj xav hloov kho tus tsim example directory path or name from the defaults displayed (ecpri_0_testbench), xauj rau txoj kev tshiab thiab ntaus tus qauv tshiab exampnpe directory.
  12. Nyem OK.

Cov ntaub ntawv ntsig txog
eCPRI Intel FPGA IP Tus Neeg Siv Phau Ntawv Qhia
1.3. Directory Structure
eCPRI IP core tsim example file directory muaj cov nram qab no generated files rau tus tsim example.

Daim duab 3. Directory Structure ntawm Generated ExamptsimeCPRI Intel FPGA IP Tsim - Daim duab 3

Nco tseg:

  1. Tsuas yog tam sim no hauv Intel Arria 10 IP tsim example variation.
  2. Tsuas yog tam sim no hauv Intel Stratix 10 (H-tile lossis E-tile) IP tsim example variation.
  3. Tsuas yog tam sim no hauv Intel Agilex E-tile IP tsim example variation.

Table 1. eCPRI Intel FPGA IP Core Testbench File Cov lus piav qhia

File Cov npe  Kev piav qhia
Qhov tseem ceeb Testbench thiab Simulation Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv Sab saum toj-theem testbench file. Lub testbench instantiates DUT wrapper thiab khiav Verilog HDL cov dej num los tsim thiab txais cov pob ntawv.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT wrapper uas instantiates DUT thiab lwm yam testbench Cheebtsam.
<design_example_dir>/simulation/ed_fw/flow.c C-code qhov chaw file.
Testbench Scripts
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do Siemens EDA QuestaSim tsab ntawv los khiav lub testbench.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh Synopsys VCS tsab ntawv los khiav lub testbench.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX tsab ntawv (ua ke Verilog HDL thiab
SystemVerilog nrog VHDL) los khiav lub testbench.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl Aldec * Riviera-PRO tsab ntawv los khiav lub testbench.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh Cadence * Xcelium tsab ntawv los khiav lub testbench.

Table 2. eCPRI Intel FPGA IP Core Hardware Design Example File Cov lus piav qhia

File Cov npe Cov lus piav qhia
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Qhov project Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Intel Quartus Prime qhov project teeb tsa file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys Design Constraints files. Koj tuaj yeem luam thiab hloov cov no files rau koj tus kheej Intel Stratix 10 tsim.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Sab saum toj-theem Verilog HDL tsim example file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT wrapper uas instantiates DUT thiab lwm yam testbench Cheebtsam.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Main file rau kev nkag mus rau System Console (Muaj nyob rau hauv Intel Stratix 10 H-tile thiab E-tile designs).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Main file rau kev nkag mus rau System Console (Muaj nyob rau hauv Intel Arria 10 tsim).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Main file rau kev nkag mus rau System Console (Muaj nyob rau hauv Intel Agilex 7 tsim).

1.4. Simulating Design Exampua Testbench
Daim duab 4. Cov txheej txheemeCPRI Intel FPGA IP Tsim - Daim duab 4

Ua raws li cov kauj ruam no los simulate lub testbench:

  1. Ntawm qhov hais kom ua, hloov mus rau testbench simulation directoryample_dir>/simulation/setup_scripts.
  2. Rau Intel Agilex F-tile variations, ua raws li cov kauj ruam no:
    ib. Nkag mus rau <design_example_dir>/simulation/quartus directory thiab khiav cov lus txib hauv qab no: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Hloov pauv, koj tuaj yeem qhib qhov project ecpri_ed.qpf hauv Intel Quartus Prime Pro Edition thiab ua qhov muab tso ua ke kom txog thaum Support Logic Generation stage.
    b. Nkag mus rau <design_example_dir>/simulation/setup_scripts directory.
    c. Khiav cov lus txib nram qab no: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf
  3. Khiav cov ntawv simulation rau qhov kev txhawb nqa simulator ntawm koj xaiv. Cov ntawv sau ua ke thiab khiav lub testbench hauv lub simulator. Xa mus rau lub rooj Cov kauj ruam los simulate Testbench.
    Nco tseg: VHDL lus txhawb rau kev simulation tsuas yog muaj nrog QuestaSim thiab VCS MX simulators. Cov lus txhawb Verilog rau simulation muaj rau txhua tus simulators teev nyob rau hauv Table: Cov kauj ruam rau Simulate Testbench.
  4. Txheeb xyuas cov txiaj ntsig. Lub testbench ua tiav xa thiab tau txais pob ntawv, thiab qhia "PASSED".

Table 3. Cov kauj ruam los simulate Testbench

Simulator Cov lus qhia
Txoj Kev Tshawb Hauv kab hais kom ua, ntaus vsim -do run_vsim.do Yog tias koj xav simulate yam tsis nqa QuestaSim GUI, ntaus vsim -c -do run_vsim.do
VCS • Hauv kab hais kom ua, ntaus sh run_vcs.sh
• Coj mus rau qhov <design_example_dir>/simulation/setup_scripts/ synopsys/vcs thiab khiav cov lus txib nram qab no: sh run_vcs.sh
VCS MX Hauv kab hais kom ua, ntaus sh run_vcsmx.sh
Riviera-PRO Hauv kab hais kom ua, ntaus vsim -c -do run_rivierapro.tcl
Nco tseg: Tsuas yog txhawb nqa hauv Intel Stratix 10 H-tile tsim variations.
Xcelium (1) Hauv kab hais kom ua, ntaus sh run_xcelium.sh
  1. Qhov kev simulator no tsis txaus siab rau eCPRI Intel FPGA IP tsim example generated nrog IWF feature enabled.

Sample Output: Cov nram qab no sample cov zis qhia txog kev ua tiav simulation kev xeem khiav ntawm eCPRI IP tsim example yam tsis muaj IWF feature enabled nrog Number of Channels = 4:

# Tos rau RX kev sib tw
# RX deskew xauv
# RX txoj kab aligmnent xauv
# Tos qhov txuas tsis raug tseeb
# Link txhaum meej
# MAC Chaw Nyob 0_0 Channel 0: 33445566
# MAC Chaw Nyob 0_1 Channel 0: 00007788
#MAC Chaw Nyob 0_0 Channel 0: 33445566
#MAC Chaw Nyob 0_1 Channel 0: 00007788
#MAC Chaw Nyob 1_0 Channel 0: 11223344
#MAC Chaw Nyob 1_1 Channel 0: 00005566
#MAC Chaw Nyob 2_0 Channel 0: 22334455
#MAC Chaw Nyob 2_1 Channel 0: 00006677
#MAC Chaw Nyob 3_0 Channel 0: 44556677
#MAC Chaw Nyob 3_1 Channel 0: 00008899
#MAC Chaw Nyob 4_0 Channel 0: 66778899
#MAC Chaw Nyob 4_1 Channel 0: 0000aabb
#MAC Chaw Nyob 5_0 Channel 0: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 0: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 0: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 0: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 0: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 0: 00000241
# eCPRI version Channel 0: 2
# MAC Chaw Nyob 0_0 Channel 1: 33445566
# MAC Chaw Nyob 0_1 Channel 1: 00007788
#MAC Chaw Nyob 0_0 Channel 1: 33445566
#MAC Chaw Nyob 0_1 Channel 1: 00007788
#MAC Chaw Nyob 1_0 Channel 1: 11223344
#MAC Chaw Nyob 1_1 Channel 1: 00005566
#MAC Chaw Nyob 2_0 Channel 1: 22334455
#MAC Chaw Nyob 2_1 Channel 1: 00006677
#MAC Chaw Nyob 3_0 Channel 1: 44556677
#MAC Chaw Nyob 3_1 Channel 1: 00008899
#MAC Chaw Nyob 4_0 Channel 1: 66778899
#MAC Chaw Nyob 4_1 Channel 1: 0000aabb
#MAC Chaw Nyob 5_0 Channel 1: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 1: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 1: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 1: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 1: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 1: 00000241
# eCPRI version Channel 1: 2
# MAC Chaw Nyob 0_0 Channel 2: 33445566
# MAC Chaw Nyob 0_1 Channel 2: 00007788
#MAC Chaw Nyob 0_0 Channel 2: 33445566
#MAC Chaw Nyob 0_1 Channel 2: 00007788
#MAC Chaw Nyob 1_0 Channel 2: 11223344
#MAC Chaw Nyob 1_1 Channel 2: 00005566
#MAC Chaw Nyob 2_0 Channel 2: 22334455
#MAC Chaw Nyob 2_1 Channel 2: 00006677
#MAC Chaw Nyob 3_0 Channel 2: 44556677
#MAC Chaw Nyob 3_1 Channel 2: 00008899
#MAC Chaw Nyob 4_0 Channel 2: 66778899
#MAC Chaw Nyob 4_1 Channel 2: 0000aabb
#MAC Chaw Nyob 5_0 Channel 2: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 2: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 2: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 2: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 2: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 2: 00000241
# eCPRI version Channel 2: 2
# MAC Chaw Nyob 0_0 Channel 3: 33445566
# MAC Chaw Nyob 0_1 Channel 3: 00007788
#MAC Chaw Nyob 0_0 Channel 3: 33445566
#MAC Chaw Nyob 0_1 Channel 3: 00007788
#MAC Chaw Nyob 1_0 Channel 3: 11223344
#MAC Chaw Nyob 1_1 Channel 3: 00005566
#MAC Chaw Nyob 2_0 Channel 3: 22334455
#MAC Chaw Nyob 2_1 Channel 3: 00006677
#MAC Chaw Nyob 3_0 Channel 3: 44556677
#MAC Chaw Nyob 3_1 Channel 3: 00008899
#MAC Chaw Nyob 4_0 Channel 3: 66778899
#MAC Chaw Nyob 4_1 Channel 3: 0000aabb
#MAC Chaw Nyob 5_0 Channel 3: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 3: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 3: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 3: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 3: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 3: 00000241
# eCPRI version Channel 3: 2
# __________________________________________________________
# INFO: Tawm ntawm cov xwm txheej pib dua
# __________________________________________________________
#
#
# Channel 0 eCPRI TX SOPs suav : 0
# Channel 0 eCPRI TX EOPs suav : 0
# Channel 0 eCPRI RX SOPs suav: 0
# Channel 0 eCPRI RX EOPs suav: 0
# Channel 0 Sab Nraud PTP TX SOPs suav : 0
# Channel 0 External PTP TX EOPs suav : 0
# Channel 0 External MISC TX SOPs suav : 0
# Channel 0 External MISC TX EOPs suav : 0
# Channel 0 Sab Nraud RX SOPs suav : 0
# Channel 0 Sab Nraud RX EOPs suav : 0
# Channel 1 eCPRI TX SOPs suav : 0
# Channel 1 eCPRI TX EOPs suav : 0
# Channel 1 eCPRI RX SOPs suav: 0
# Channel 1 eCPRI RX EOPs suav: 0
# Channel 1 Sab Nraud PTP TX SOPs suav : 0
# Channel 1 External PTP TX EOPs suav : 0
# Channel 1 External MISC TX SOPs suav : 0
# Channel 1 External MISC TX EOPs suav : 0
# Channel 1 Sab Nraud RX SOPs suav : 0
# Channel 1 Sab Nraud RX EOPs suav : 0
# Channel 2 eCPRI TX SOPs suav : 0
# Channel 2 eCPRI TX EOPs suav : 0
# Channel 2 eCPRI RX SOPs suav: 0
# Channel 2 eCPRI RX EOPs suav: 0
# Channel 2 Sab Nraud PTP TX SOPs suav : 0
# Channel 2 External PTP TX EOPs suav : 0
# Channel 2 External MISC TX SOPs suav : 0
# Channel 2 External MISC TX EOPs suav : 0
# Channel 2 Sab Nraud RX SOPs suav : 0
# Channel 2 Sab Nraud RX EOPs suav : 0
# Channel 3 eCPRI TX SOPs suav : 0
# Channel 3 eCPRI TX EOPs suav : 0
# Channel 3 eCPRI RX SOPs suav: 0
# Channel 3 eCPRI RX EOPs suav: 0
# Channel 3 Sab Nraud PTP TX SOPs suav : 0
# Channel 3 External PTP TX EOPs suav : 0
# Channel 3 External MISC TX SOPs suav : 0
# Channel 3 External MISC TX EOPs suav : 0
# Channel 3 Sab Nraud RX SOPs suav : 0
# Channel 3 Sab Nraud RX EOPs suav : 0
# __________________________________________________________
# INFO: Pib xa cov pob ntawv
# __________________________________________________________
#
#
# INFO: Tos rau Channel 0 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 0 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 0 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 0 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 0 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 0 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# INFO: Tos rau Channel 1 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 1 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 1 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 1 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 1 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 1 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# INFO: Tos rau Channel 2 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 2 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 2 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 2 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 2 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 2 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# INFO: Tos rau Channel 3 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 3 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 3 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 3 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 3 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 3 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# __________________________________________________________
# INFO: Tsis txhob xa cov pob ntawv
# __________________________________________________________
#
#
# __________________________________________________________
# INFO: Tshawb xyuas cov ntawv txheeb cais
# __________________________________________________________
#
#
# Channel 0 eCPRI SOPs kis tau: 300
# Channel 0 eCPRI EOPs kis tau: 300
# Channel 0 eCPRI SOPs tau txais: 300
# Channel 0 eCPRI EOPs tau txais: 300
# Channel 0 eCPRI yuam kev qhia: 0
# Channel 0 Sab Nraud PTP SOPs kis tau: 4
# Channel 0 Sab Nraud PTP EOPs kis tau: 4
# Channel 0 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 0 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 0 Sab Nraud SOPs tau txais: 132
# Channel 0 Sab Nraud EOPs tau txais: 132
# Channel 0 Sab Nraud PTP SOPs tau txais: 4
# Channel 0 Sab Nraud PTP EOPs tau txais: 4
# Channel 0 Sab Nraud MISC SOPs tau txais: 128
# Channel 0 Sab Nraud MISC EOPs tau txais: 128
# Channel 0 Sab nraud yuam kev qhia: 0
#Channel 0 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# Channel 1 eCPRI SOPs kis tau: 300
# Channel 1 eCPRI EOPs kis tau: 300
# Channel 1 eCPRI SOPs tau txais: 300
# Channel 1 eCPRI EOPs tau txais: 300
# Channel 1 eCPRI yuam kev qhia: 0
# Channel 1 Sab Nraud PTP SOPs kis tau: 4
# Channel 1 Sab Nraud PTP EOPs kis tau: 4
# Channel 1 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 1 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 1 Sab Nraud SOPs tau txais: 132
# Channel 1 Sab Nraud EOPs tau txais: 132
# Channel 1 Sab Nraud PTP SOPs tau txais: 4
# Channel 1 Sab Nraud PTP EOPs tau txais: 4
# Channel 1 Sab Nraud MISC SOPs tau txais: 128
# Channel 1 Sab Nraud MISC EOPs tau txais: 128
# Channel 1 Sab nraud yuam kev qhia: 0
#Channel 1 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# Channel 2 eCPRI SOPs kis tau: 300
# Channel 2 eCPRI EOPs kis tau: 300
# Channel 2 eCPRI SOPs tau txais: 300
# Channel 2 eCPRI EOPs tau txais: 300
# Channel 2 eCPRI yuam kev qhia: 0
# Channel 2 Sab Nraud PTP SOPs kis tau: 4
# Channel 2 Sab Nraud PTP EOPs kis tau: 4
# Channel 2 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 2 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 2 Sab Nraud SOPs tau txais: 132
# Channel 2 Sab Nraud EOPs tau txais: 132
# Channel 2 Sab Nraud PTP SOPs tau txais: 4
# Channel 2 Sab Nraud PTP EOPs tau txais: 4
# Channel 2 Sab Nraud MISC SOPs tau txais: 128
# Channel 2 Sab Nraud MISC EOPs tau txais: 128
# Channel 2 Sab nraud yuam kev qhia: 0
#Channel 2 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# Channel 3 eCPRI SOPs kis tau: 300
# Channel 3 eCPRI EOPs kis tau: 300
# Channel 3 eCPRI SOPs tau txais: 300
# Channel 3 eCPRI EOPs tau txais: 300
# Channel 3 eCPRI yuam kev qhia: 0
# Channel 3 Sab Nraud PTP SOPs kis tau: 4
# Channel 3 Sab Nraud PTP EOPs kis tau: 4
# Channel 3 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 3 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 3 Sab Nraud SOPs tau txais: 132
# Channel 3 Sab Nraud EOPs tau txais: 132
# Channel 3 Sab Nraud PTP SOPs tau txais: 4
# Channel 3 Sab Nraud PTP EOPs tau txais: 4
# Channel 3 Sab Nraud MISC SOPs tau txais: 128
# Channel 3 Sab Nraud MISC EOPs tau txais: 128
# Channel 3 Sab nraud yuam kev qhia: 0
#Channel 3 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# __________________________________________________________
# INFO: xeem dhau
#
# __________________________________________________________

Sample Output: Cov nram qab no sample cov zis qhia txog kev ua tiav simulation kev xeem khiav ntawm eCPRI IP tsim example nrog IWF feature enabled nrog Number of Channels = 4:

# Pab CPRI TX
# CPRI Channel 0 L1_CONFIG : 00000001
# CPRI Channel 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 1 L1_CONFIG : 00000001
# CPRI Channel 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 2 L1_CONFIG : 00000001
# CPRI Channel 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 3 L1_CONFIG : 00000001
# CPRI Channel 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Tos rau RX kev sib tw
# RX deskew xauv
# RX txoj kab aligmnent xauv
# Tos qhov txuas tsis raug tseeb
# Link txhaum meej
# MAC Chaw Nyob 0_0 Channel 0: 33445566
# MAC Chaw Nyob 0_1 Channel 0: 00007788
#MAC Chaw Nyob 0_0 Channel 0: 33445566
#MAC Chaw Nyob 0_1 Channel 0: 00007788
#MAC Chaw Nyob 1_0 Channel 0: 11223344
#MAC Chaw Nyob 1_1 Channel 0: 00005566
#MAC Chaw Nyob 2_0 Channel 0: 22334455
#MAC Chaw Nyob 2_1 Channel 0: 00006677
#MAC Chaw Nyob 3_0 Channel 0: 44556677
#MAC Chaw Nyob 3_1 Channel 0: 00008899
#MAC Chaw Nyob 4_0 Channel 0: 66778899
#MAC Chaw Nyob 4_1 Channel 0: 0000aabb
#MAC Chaw Nyob 5_0 Channel 0: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 0: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 0: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 0: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 0: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 0: 00000241
# eCPRI version Channel 0: 2
# MAC Chaw Nyob 0_0 Channel 1: 33445566
# MAC Chaw Nyob 0_1 Channel 1: 00007788
#MAC Chaw Nyob 0_0 Channel 1: 33445566
#MAC Chaw Nyob 0_1 Channel 1: 00007788
#MAC Chaw Nyob 1_0 Channel 1: 11223344
#MAC Chaw Nyob 1_1 Channel 1: 00005566
#MAC Chaw Nyob 2_0 Channel 1: 22334455
#MAC Chaw Nyob 2_1 Channel 1: 00006677
#MAC Chaw Nyob 3_0 Channel 1: 44556677
#MAC Chaw Nyob 3_1 Channel 1: 00008899
#MAC Chaw Nyob 4_0 Channel 1: 66778899
#MAC Chaw Nyob 4_1 Channel 1: 0000aabb
#MAC Chaw Nyob 5_0 Channel 1: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 1: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 1: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 1: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 1: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 1: 00000241
# eCPRI version Channel 1: 2
# MAC Chaw Nyob 0_0 Channel 2: 33445566
# MAC Chaw Nyob 0_1 Channel 2: 00007788
#MAC Chaw Nyob 0_0 Channel 2: 33445566
#MAC Chaw Nyob 0_1 Channel 2: 00007788
#MAC Chaw Nyob 1_0 Channel 2: 11223344
#MAC Chaw Nyob 1_1 Channel 2: 00005566
#MAC Chaw Nyob 2_0 Channel 2: 22334455
#MAC Chaw Nyob 2_1 Channel 2: 00006677
#MAC Chaw Nyob 3_0 Channel 2: 44556677
#MAC Chaw Nyob 3_1 Channel 2: 00008899
#MAC Chaw Nyob 4_0 Channel 2: 66778899
#MAC Chaw Nyob 4_1 Channel 2: 0000aabb
#MAC Chaw Nyob 5_0 Channel 2: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 2: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 2: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 2: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 2: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 2: 00000241
# eCPRI version Channel 2: 2
# MAC Chaw Nyob 0_0 Channel 3: 33445566
# MAC Chaw Nyob 0_1 Channel 3: 00007788
#MAC Chaw Nyob 0_0 Channel 3: 33445566
#MAC Chaw Nyob 0_1 Channel 3: 00007788
#MAC Chaw Nyob 1_0 Channel 3: 11223344
#MAC Chaw Nyob 1_1 Channel 3: 00005566
#MAC Chaw Nyob 2_0 Channel 3: 22334455
#MAC Chaw Nyob 2_1 Channel 3: 00006677
#MAC Chaw Nyob 3_0 Channel 3: 44556677
#MAC Chaw Nyob 3_1 Channel 3: 00008899
#MAC Chaw Nyob 4_0 Channel 3: 66778899
#MAC Chaw Nyob 4_1 Channel 3: 0000aabb
#MAC Chaw Nyob 5_0 Channel 3: 778899aa
#MAC Chaw Nyob Chaw Nyob 5_1 Channel 3: 0000bbcc
#MAC Chaw Nyob 6_0 Channel 3: 8899aabb
# MAC Chaw Nyob Chaw Nyob 6_1 Channel 3: 0000ccdd
#MAC Chaw Nyob 7_0 Channel 3: 99aabbcc
#MAC Chaw Nyob Chaw Nyob 7_1 Channel 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# Pab cuam cuam tshuam eCPRI Common Control Channel 3: 00000241
# eCPRI version Channel 3: 2
# Tos CPRI ua tiav HSYNC txuas rau lub xeev
# CPRI Channel 0 HSYNC xeev ua tiav
# CPRI Channel 1 HSYNC xeev ua tiav
# CPRI Channel 2 HSYNC xeev ua tiav
# CPRI Channel 3 HSYNC xeev ua tiav
#11100250000 Sau 1 rau nego_bitrate_complete
#11100650000 Kev xaiv tsa PROT_VER Channel 0
# __________________________________________________________
#11100850000 Plog sau npe: a0000010
# __________________________________________________________
#13105050000 Kev xaiv tsa PROT_VER Channel 1
# __________________________________________________________
#13105250000 Plog sau npe: a0800010
# __________________________________________________________
#13105950000 Kev xaiv tsa PROT_VER Channel 2
# __________________________________________________________
#13106150000 Plog sau npe: a1000010
# __________________________________________________________
#13106850000 Kev xaiv tsa PROT_VER Channel 3
# __________________________________________________________
#13107050000 Plog sau npe: a1800010
# __________________________________________________________
#13107750000 Sau 1 rau nego_protol_complete
#13108150000 Kev xaiv tsa CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# __________________________________________________________
#13108350000 Plog sau npe: a0000020
# __________________________________________________________
#14272050000 Kev xaiv tsa CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# __________________________________________________________
#14272250000 Plog sau npe: a0800020
# __________________________________________________________
#14272950000 Kev xaiv tsa CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# __________________________________________________________
#14273150000 Plog sau npe: a1000020
# __________________________________________________________
#14273850000 Kev xaiv tsa CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# __________________________________________________________
#14274050000 Plog sau npe: a1800020
# __________________________________________________________
#14274750000 Sau 1 rau nego_cm_complete
#14275150000 Sau 1 rau nego_vss_complete
# Tos rau CPRI Channel 0 ua tiav HSYNC & pib ua ntu zus FSM STATE_F
# CPRI Channel 0 HSYNC & startup sequence FSM STATE_F ua tiav
# Tos rau CPRI Channel 1 ua tiav HSYNC & pib ua ntu zus FSM STATE_F
# CPRI Channel 1 HSYNC & startup sequence FSM STATE_F ua tiav
# Tos rau CPRI Channel 2 ua tiav HSYNC & pib ua ntu zus FSM STATE_F
# CPRI Channel 2 HSYNC & startup sequence FSM STATE_F ua tiav
# Tos rau CPRI Channel 3 ua tiav HSYNC & pib ua ntu zus FSM STATE_F
# CPRI Channel 3 HSYNC & startup sequence FSM STATE_F ua tiav
# __________________________________________________________
# INFO: Tawm ntawm cov xwm txheej pib dua
# __________________________________________________________
#
#
# Channel 0 eCPRI TX SOPs suav : 0
# Channel 0 eCPRI TX EOPs suav : 0
# Channel 0 eCPRI RX SOPs suav: 0
# Channel 0 eCPRI RX EOPs suav: 0
# Channel 0 Sab Nraud PTP TX SOPs suav : 0
# Channel 0 External PTP TX EOPs suav : 0
# Channel 0 External MISC TX SOPs suav : 0
# Channel 0 External MISC TX EOPs suav : 0
# Channel 0 Sab Nraud RX SOPs suav : 0
# Channel 0 Sab Nraud RX EOPs suav : 0
# Channel 1 eCPRI TX SOPs suav : 0
# Channel 1 eCPRI TX EOPs suav : 0
# Channel 1 eCPRI RX SOPs suav: 0
# Channel 1 eCPRI RX EOPs suav: 0
# Channel 1 Sab Nraud PTP TX SOPs suav : 0
# Channel 1 External PTP TX EOPs suav : 0
# Channel 1 External MISC TX SOPs suav : 0
# Channel 1 External MISC TX EOPs suav : 0
# Channel 1 Sab Nraud RX SOPs suav : 0
# Channel 1 Sab Nraud RX EOPs suav : 0
# Channel 2 eCPRI TX SOPs suav : 0
# Channel 2 eCPRI TX EOPs suav : 0
# Channel 2 eCPRI RX SOPs suav: 0
# Channel 2 eCPRI RX EOPs suav: 0
# Channel 2 Sab Nraud PTP TX SOPs suav : 0
# Channel 2 External PTP TX EOPs suav : 0
# Channel 2 External MISC TX SOPs suav : 0
# Channel 2 External MISC TX EOPs suav : 0
# Channel 2 Sab Nraud RX SOPs suav : 0
# Channel 2 Sab Nraud RX EOPs suav : 0
# Channel 3 eCPRI TX SOPs suav : 0
# Channel 3 eCPRI TX EOPs suav : 0
# Channel 3 eCPRI RX SOPs suav: 0
# Channel 3 eCPRI RX EOPs suav: 0
# Channel 3 Sab Nraud PTP TX SOPs suav : 0
# Channel 3 External PTP TX EOPs suav : 0
# Channel 3 External MISC TX SOPs suav : 0
# Channel 3 External MISC TX EOPs suav : 0
# Channel 3 Sab Nraud RX SOPs suav : 0
# Channel 3 Sab Nraud RX EOPs suav : 0
# __________________________________________________________
# INFO: Pib xa cov pob ntawv
# __________________________________________________________
#
#
# INFO: Tos rau Channel 0 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 0 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 0 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 0 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 0 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 0 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# INFO: Tos rau Channel 1 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 1 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 1 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 1 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 1 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 1 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# INFO: Tos rau Channel 2 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 2 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 2 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 2 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 2 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 2 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# INFO: Tos rau Channel 3 eCPRI TX hloov tsheb mus ua kom tiav
# INFO: Channel 3 eCPRI TX hloov tsheb ua tiav
# INFO: Tos rau Channel 3 eCPRI Sab Nraud TX PTP kev hloov mus rau
ua kom tiav
# INFO: Channel 3 eCPRI Sab Nraud TX PTP kev hloov tsheb ua tiav
# INFO: Tos rau Channel 3 eCPRI Sab Nraud TX Misc tsheb hloov mus rau
ua kom tiav
# INFO: Channel 3 eCPRI Sab Nraud TX Misc kev hloov tsheb ua tiav
# __________________________________________________________
# INFO: Tsis txhob xa cov pob ntawv
# __________________________________________________________
#
#
# __________________________________________________________
# INFO: Tshawb xyuas cov ntawv txheeb cais
# __________________________________________________________
#
#
# Channel 0 eCPRI SOPs kis tau: 50
# Channel 0 eCPRI EOPs kis tau: 50
# Channel 0 eCPRI SOPs tau txais: 50
# Channel 0 eCPRI EOPs tau txais: 50
# Channel 0 eCPRI yuam kev qhia: 0
# Channel 0 Sab Nraud PTP SOPs kis tau: 4
# Channel 0 Sab Nraud PTP EOPs kis tau: 4
# Channel 0 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 0 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 0 Sab Nraud SOPs tau txais: 132
# Channel 0 Sab Nraud EOPs tau txais: 132
# Channel 0 Sab Nraud PTP SOPs tau txais: 4
# Channel 0 Sab Nraud PTP EOPs tau txais: 4
# Channel 0 Sab Nraud MISC SOPs tau txais: 128
# Channel 0 Sab Nraud MISC EOPs tau txais: 128
# Channel 0 Sab nraud yuam kev qhia: 0
#Channel 0 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# Channel 1 eCPRI SOPs kis tau: 50
# Channel 1 eCPRI EOPs kis tau: 50
# Channel 1 eCPRI SOPs tau txais: 50
# Channel 1 eCPRI EOPs tau txais: 50
# Channel 1 eCPRI yuam kev qhia: 0
# Channel 1 Sab Nraud PTP SOPs kis tau: 4
# Channel 1 Sab Nraud PTP EOPs kis tau: 4
# Channel 1 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 1 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 1 Sab Nraud SOPs tau txais: 132
# Channel 1 Sab Nraud EOPs tau txais: 132
# Channel 1 Sab Nraud PTP SOPs tau txais: 4
# Channel 1 Sab Nraud PTP EOPs tau txais: 4
# Channel 1 Sab Nraud MISC SOPs tau txais: 128
# Channel 1 Sab Nraud MISC EOPs tau txais: 128
# Channel 1 Sab nraud yuam kev qhia: 0
#Channel 1 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# Channel 2 eCPRI SOPs kis tau: 50
# Channel 2 eCPRI EOPs kis tau: 50
# Channel 2 eCPRI SOPs tau txais: 50
# Channel 2 eCPRI EOPs tau txais: 50
# Channel 2 eCPRI yuam kev qhia: 0
# Channel 2 Sab Nraud PTP SOPs kis tau: 4
# Channel 2 Sab Nraud PTP EOPs kis tau: 4
# Channel 2 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 2 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 2 Sab Nraud SOPs tau txais: 132
# Channel 2 Sab Nraud EOPs tau txais: 132
# Channel 2 Sab Nraud PTP SOPs tau txais: 4
# Channel 2 Sab Nraud PTP EOPs tau txais: 4
# Channel 2 Sab Nraud MISC SOPs tau txais: 128
# Channel 2 Sab Nraud MISC EOPs tau txais: 128
# Channel 2 Sab nraud yuam kev qhia: 0
#Channel 2 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# Channel 3 eCPRI SOPs kis tau: 50
# Channel 3 eCPRI EOPs kis tau: 50
# Channel 3 eCPRI SOPs tau txais: 50
# Channel 3 eCPRI EOPs tau txais: 50
# Channel 3 eCPRI yuam kev qhia: 0
# Channel 3 Sab Nraud PTP SOPs kis tau: 4
# Channel 3 Sab Nraud PTP EOPs kis tau: 4
# Channel 3 Sab Nraud MISC SOPs kis tau tus mob: 128
# Channel 3 Sab Nraud MISC EOPs kis tau tus mob: 128
# Channel 3 Sab Nraud SOPs tau txais: 132
# Channel 3 Sab Nraud EOPs tau txais: 132
# Channel 3 Sab Nraud PTP SOPs tau txais: 4
# Channel 3 Sab Nraud PTP EOPs tau txais: 4
# Channel 3 Sab Nraud MISC SOPs tau txais: 128
# Channel 3 Sab Nraud MISC EOPs tau txais: 128
# Channel 3 Sab nraud yuam kev qhia: 0
#Channel 3 Lub Sijhawm Sab Nraudamp Tus ntiv tes yuam kev qhia: 0
# __________________________________________________________
# INFO: xeem dhau
#
# __________________________________________________________

1.4.1. Enabling Dynamic Reconfiguration rau Ethernet IP
Los ntawm lub neej ntawd, lub dynamic reconfiguration yog neeg xiam nyob rau hauv eCPRI IP tsim example thiab nws tsuas yog siv tau rau Intel Stratix 10 (E-tile thiab H-tile) thiab Intel Agilex 7 (E-tile) tsim examples.

  1. Nrhiav cov kab hauv qab no hauv test_wrapper.sv los ntawm qhov tsim <design_example_dir>/simulation/testbench directory: parameter ETHERNET_DR_EN = 0
  2. Hloov tus nqi ntawm 0 mus rau 1: parameter ETHERNET_DR_EN = 1
  3. Rov ua dua qhov simulation siv tib yam generated example design directory.

1.5. Compiling the Compilation-Tsuas Project
Txhawm rau muab tso ua ke-tsuas yog example project, ua raws li cov kauj ruam no:

  1. Xyuas kom muab tso ua ke tsim example tiam tag.
  2. Hauv Intel Quartus Prime Pro Edition software, qhib Intel Quartus Prime Pro Edition projectample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Nyob rau hauv cov ntawv qhia zaub mov, nyem Start Compilation.
  4. Tom qab kev ua tiav tiav, cov lus ceeb toom rau lub sijhawm thiab kev siv cov peev txheej muaj nyob hauv koj qhov kev sib tham Intel Quartus Prime Pro Edition. Mus rau Kev Ua Haujlwm ➤ Muab Kev Tshaj Tawm rau view daim ntawv qhia ntxaws txog kev sau ua ke.
    Cov ntaub ntawv ntsig txog
    Block-Based Design Flows

1.6. Compiling thiab Configuring Design Examphauv Hardware
Txhawm rau sau cov khoom siv kho vajtse example thiab teeb tsa nws ntawm koj lub cuab yeej Intel, ua raws li cov kauj ruam no:

  1. Xyuas kom hardware design example tiam tag.
  2. Hauv Intel Quartus Prime Pro Edition software, qhib Intel Quartus Prime projectample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Nyob rau hauv cov ntawv qhia zaub mov, nyem Start Compilation.
  4. Tom qab kev ua tiav tiav, a .sof file muaj nyob rau hauvample_dir>/ synthesis/quartus/output_files cov directory. Ua raws li cov kauj ruam no los tsim kho kho vajtse example ntawm Intel FPGA ntaus ntawv:
    ib. Txuas cov khoom siv txhim kho mus rau lub khoos phis tawm.
    b. Tua tawm daim ntawv thov Clock Control, uas yog ib feem ntawm cov khoom siv txhim kho, thiab teeb tsa cov zaus tshiab rau tus tsim example. Hauv qab no yog qhov kev teeb tsa zaus hauv daim ntawv thov Clock Control:
    • Yog tias koj tab tom tsom koj tus qauv tsim ntawm Intel Stratix 10 GX SI Development Kit:
    - U5, OUT8-100 MHz
    - U6, OUT3-322.265625 MHz
    - U6, OUT4 thiab OUT5- 307.2 MHz
    • Yog tias koj tab tom tsom koj tus qauv tsim ntawm Intel Stratix 10 TX SI Development Kit:
    - U1, CLK4- 322.265625 MHz (Rau 25G cov ntaub ntawv tus nqi)
    - U6- 156.25 MHz (Rau 10G cov ntaub ntawv tus nqi)
    - U3, OUT3-100 MHz
    - U3, OUT8-153.6 MHz
    • Yog tias koj tab tom tsom koj tus qauv tsim ntawm Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    - U37, CLK1A- 100 MHz
    - U34, CLK0P- 156.25 MHz
    - U38, OUT2_P- 153.6 MHz
    • Yog tias koj tab tom tsom koj tus qauv tsim ntawm Intel Arria 10 GX SI Development Kit:
    - U52, CLK0- 156.25 MHz
    - U52, CLK1- 250 MHz
    - U52, CLK3- 125 MHz
    Y5-307.2 MHz
    Y6-322.265625 MHz
    c. Hauv cov cuab yeej ntawv qhia zaub mov, nyem Programmer.
    d. Hauv Programmer, nyem Hardware Setup.
    e. Xaiv ib lub programming ntaus ntawv.
    f. Xaiv thiab ntxiv Cov Khoom Siv Txhim Kho uas koj qhov kev sib tham Intel Quartus Prime Pro tuaj yeem txuas tau.
    g. Xyuas kom meej tias hom yog teem rau JTAG.
    h. Xaiv lub cuab yeej thiab nyem Ntxiv Device. Tus Programmer qhia ib daim duab thaiv ntawm kev sib txuas ntawm cov khoom siv ntawm koj lub rooj tsavxwm.
    i. Load .sof file rau koj li Intel FPGA ntaus ntawv.
    j. Load lub Executable thiab Linking format (.elf) file rau koj Intel Stratix 10 lossis
    Intel Agilex 7 ntaus ntawv yog tias koj npaj yuav ua qhov dynamic reconfiguration (DR) hloov cov ntaub ntawv tus nqi ntawm 25G thiab 10G. Ua raws li cov lus qhia los ntawm Kev Tsim thiab rub tawm Cov Kev Ua Haujlwm thiab Txuas Hom (.elf) Programming File ntawm nplooj 38 los tsim cov .elf file.
    k. Nyob rau hauv kab nrog koj .sof, kos lub Program/Configure lub thawv rau lub .sof file.
    l. Nyem Pib.

Cov ntaub ntawv ntsig txog

  • Block-based Design
  • Intel Quartus Prime Programmer User Guide
  • Txheeb xyuas thiab Debugging Designs nrog System Console
  • Intel Agilex 7 F-Series Transceiver-SoC Kev Tsim Kho Cov Khoom Siv Qhia
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Tus neeg siv phau ntawv
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Tus neeg siv phau ntawv

1.7. Ntsuam xyuas eCPRI Intel FPGA IP Tsim Example
Tom qab koj suav nrog eCPRI Intel FPGA IP core tsim example thiab teeb tsa nws ntawm koj lub cuab yeej Intel FPGA, koj tuaj yeem siv System Console los ua qhov kev pab cuam IP core thiab nws cov embedded Native PHY IP core registers.
Txhawm rau qhib qhov System Console thiab sim kho vajtse tsim example, ua raws li cov kauj ruam no:

  1. Tom qab kho vajtse tsim example tau teeb tsa ntawm Intel ntaus ntawv, hauv Intel Quartus Prime Pro Edition software, ntawm cov cuab yeej ntawv qhia zaub mov, nyem System Debugging Tools ➤ System Console.
  2. Hauv Tcl Console pane, hloov cov npe rau <design_example_dir>/ synthesis/quartus/hardware_test thiab ntaus cov lus txib hauv qab no kom qhib kev sib txuas rau JTAG tswv thiab pib qhov kev xeem:
    • qhov chaw ecpri_agilex.tcl rau Intel Agilex 7 tsim
    • qhov chaw ecpri_s10.tcl rau Intel Stratix 10 tsim
    • qhov chaw ecpri_a10.tcl rau Intel Arria 10 tsim
  3. Rau koj li Intel Stratix 10 lossis Intel Agilex 7 E-tile ntaus ntawv hloov pauv, koj yuav tsum ua ib qho kev hais kom ua sab hauv lossis sab nraud rov qab ib zaug tom qab koj ua haujlwm .sof file:
    ib. Hloov kho TEST_MODE hloov pauv hauv flow.c file xaiv lub loopback hom:
    TEST_MODE Kev ua
    0 Serial loopback pab kom simulation nkaus xwb
    1 Serial loopback pab rau kho vajtse nkaus xwb
    2 Serial loopback thiab calibration
    3 Calibration nkaus xwb

    Koj yuav tsum rov ua dua thiab rov tsim dua NIOS II software thaum twg koj hloov pauv flow.c file.
    b. Regenerate lub .elf file thiab program rau lub rooj tsavxwm ib zaug ntxiv thiab reprogram lub .sof file.

  4. Kuaj cov qauv tsim ua haujlwm los ntawm cov lus txib txhawb nqa hauv qhov system console tsab ntawv. Lub system console tsab ntawv muab cov lus txib muaj txiaj ntsig rau kev nyeem cov txheeb cais thiab cov yam ntxwv ua kom muaj txiaj ntsig hauv kev tsim.

Table 4. System Console Script Commands

txib Kev piav qhia
rov_on Enables TX to RX internal serial loopback. Siv rau Intel Stratix 10 H-tile thiab Intel Arria 10 li xwb.
rov_off Disables TX rau RX internal serial loopback. Siv rau Intel Stratix 10 H-tile thiab Intel Arria 10 li xwb.
link _init _ int _1pbk Ua kom TX rau RX sab hauv serial loopback nyob rau hauv lub transceiver thiab ua lub transceiver calibration flow. Muaj feem xyuam rau Intel Stratix 10 E-tile thiab Intel Agilex 7 E-tile tsim nkaus xwb.
link _ init _ ext _1pbk Ua kom TX rau RX sab nraud loopback thiab ua cov transceiver calibration flow. Muaj feem xyuam rau Intel Stratix 10 E-tile thiab Intel Agilex 7 E-tile tsim nkaus xwb.
traffic gen disable Disables lub tshuab hluav taws xob tsheb thiab checker.
xwm stats Qhia cov txheeb cais rau Ethernet MAC.
nyeem_test_ txheeb cais Muab cov ntaub ntawv yuam kev rau lub tshuab hluav taws xob tsheb thiab cov checkers.
ext _ nruam _ hom _en Resets tag nrho cov txheej txheem tsim, thiab ua kom lub tshuab hluav taws xob tsheb tsim kom muaj cov pob ntawv tsheb khiav tas mus li.
dr _ 25g _ to _ lOg _etile Hloov cov ntaub ntawv tus nqi ntawm Ethernet MAC ntawm 25G rau 10G. Siv rau Intel Stratix 10 E-tile thiab Intel Agilex 7 E-tile li nkaus xwb.
dr_25g_to_10g_htile Hloov cov ntaub ntawv tus nqi ntawm Ethernet MAC ntawm 25G rau 10G. Siv rau cov khoom siv H-tile nkaus xwb
dr_10g_to_25g_etile Hloov cov ntaub ntawv tus nqi ntawm Ethernet MAC ntawm 10G rau 25G. Siv rau Intel Stratix 10 E-tile thiab Intel Agilex 7 E-tile li nkaus xwb.
dr _ 25g _ to _ lOg _htile Hloov cov ntaub ntawv tus nqi ntawm Ethernet MAC ntawm 10G rau 25G. Siv rau cov khoom siv H-tile nkaus xwb.

Cov nram qab no sample cov zis qhia txog qhov kev sim ua tiav:
System Console Printout (Number of Channels = 1)
Channel 0 EXT PTP TX SOP Count: 256
Channel 0 EXT PTP TX EOP Count: 256
Channel 0 EXT MISC TX SOP Count: 36328972
Channel 0 EXT MISC TX EOP Count: 36369511
Channel 0 EXT RX SOP Count: 36410364
Channel 0 EXT RX EOP Count: 36449971
Channel 0 EXT Checker yuam kev: 0
Channel 0 EXT Checker yuam kev suav: 0
Channel 0 EXT PTP ntiv tes yuam kev: 0
Channel 0 EXT PTP ntiv tes yuam kev suav: 0
Channel 0 TX SOP Count: 1337760
Channel 0 TX EOP: 1339229
Channel 0 RX SOP suav: 1340728
Channel 0 RX EOP suav: 1342555
Channel 0 Checker yuam kev: 0
Channel 0 Checker yuam kev suav: 0

============================================ =============
========
ETHERNET MAC STATISTICS RAU Channel 0 (Rx)

============================================ =============
========
Fragmented Ncej: 0
Jabbered Frames: 0
Txoj Cai Loj nrog FCS Err Ncej: 0
Multicast cov ntaub ntawv Err Frames: 0
Tshaj tawm cov ntaub ntawv Err Frames: 0
Unicast data Err Frames: 0
64 Byte Ncej: 3641342
65-127 Byte Ncej: 0
128-255 Byte Ncej: 37404809
256-511 Byte Ncej: 29128650
512-1023 Byte Ncej: 0
1024-1518 Byte Ncej: 0
1519 – MAX Byte Frames: 0
> MAX Byte Frames: 0
Multicast data OK Ncej: 70174801
Tshaj tawm cov ntaub ntawv OK Ncej: 0
Unicast cov ntaub ntawv OK Frames: 0
Multicast Control Ncej: 0
Kev Tshaj Tawm Cov Txheej Txheem: 0
Unicast Control Ncej: 0
Pause Control Frames: 0
PIB OK: 11505935812
Tus Qauv Zauv: 12918701444
Rx Maximum Ncej Ntev: 1518
Txhua Qhov Loj nrog FCS Err Ncej: 0
Multicast tswj Err Ncej: 0
Kev tshaj tawm xov xwm Err Ncej: 0
Unicast tswj Err Ncej: 0
Pause tswj Err Frames: 0
Rx Ncej Pib: 70174801

Cov hauv qab no yog sample tso zis rau 25G rau 10G DR xeem khiav:
System Console Printout (25G rau 10G DR E-tile)

Pib Dynamic Reconfiguration rau Ethernet 25G -> 10G
DR Successful 25G -> 10G
RX PHY Register Access: Tshawb xyuas moos zaus (KHz)
TXCLK : 16114 (KHZ)
RXCLK: 16113 (KHZ)
RX PHY Status Polling
Rx Zaus Xauv Status 0x0000000f
Mac Clock hauv OK Condition? 0x00000001
Rx Ncej yuam kev? 0x00000000
Rx PHY Fully Aligned? 0x00000001
Kev xaiv tsa RX PHY Channel 0
RX PHY Channel 0 tau nce thiab khiav!

System Console Printout (25G rau 10G DR H-tile)
Pib Dynamic Reconfiguration rau Ethernet 25G -> 10G
DR Successful 25G -> 10G
RX PHY Register Access: Tshawb xyuas moos zaus (KHz)
TXCLK : 15625 (KHZ)
RXCLK: 15625 (KHZ)
RX PHY Status Polling
Rx Zaus Xauv Status 0x00000001
Mac Clock hauv OK Condition? 0x00000007
Rx Ncej yuam kev? 0x00000000
Rx PHY Fully Aligned? 0x00000001
Kev xaiv tsa RX PHY Channel 0
RX PHY Channel 0 tau nce thiab khiav!

System Console Printout (10G rau 25G DR E-tile)
Pib Dynamic Reconfiguration rau Ethernet 10G -> 25G
DR Successful 10G -> 25G
RX PHY Register Access: Tshawb xyuas moos zaus (KHz)
TXCLK : 40283 (KHZ)
RXCLK: 40283 (KHZ)
RX PHY Status Polling
Rx Zaus Xauv Status 0x0000000f
Mac Clock hauv OK Condition? 0x00000001
Rx Ncej yuam kev? 0x00000000
Rx PHY Fully Aligned? 0x00000001
Kev xaiv tsa RX PHY Channel 0
RX PHY Channel 0 tau nce thiab khiav!

System Console Printout (10G rau 25G DR H-tile)
Pib Dynamic Reconfiguration rau Ethernet 10G -> 25G
DR Successful 10G -> 25G
RX PHY Register Access: Tshawb xyuas moos zaus (KHz)
TXCLK : 39061 (KHZ)
RXCLK: 39063 (KHZ)
RX PHY Status Polling
Rx Zaus Xauv Status 0x00000001
Mac Clock hauv OK Condition? 0x00000007
Rx Ncej yuam kev? 0x00000000
Rx PHY Fully Aligned? 0x00000001
Kev xaiv tsa RX PHY Channel 0
RX PHY Channel 0 tau nce thiab khiav!

Tsim Examplus piav qhia

Design example qhia txog kev ua haujlwm yooj yim ntawm eCPRI IP core. Koj tuaj yeem tsim qhov tsim los ntawm Example Tsim tab hauv eCPRI IP parameter editor.

2.1. Nta

  • Internal TX thiab RX serial loopback hom
  • Tsis siv neeg tsim cov pob ntawv loj tas li
  • Basic packet checking peev xwm
  • Muaj peev xwm siv System Console los kuaj tus qauv tsim thiab rov pib dua tus qauv tsim rau rov sim dua lub hom phiaj

2.2. Hardware Design Example
Daim duab 5. Block Diagram rau Intel Agilex 7 F-tile DesignseCPRI Intel FPGA IP Tsim - Daim duab 5

Intel Corporation. Txhua txoj cai. Intel, Intel logo, thiab lwm yam Intel cov cim yog cov cim lag luam ntawm Intel Corporation lossis nws cov koom haum. Intel lav kev ua tau zoo ntawm nws cov FPGA thiab cov khoom lag luam semiconductor rau cov kev qhia tshwj xeeb tam sim no raws li Intel tus qauv kev lees paub, tab sis muaj txoj cai los hloov cov khoom thiab cov kev pabcuam txhua lub sijhawm yam tsis muaj ntawv ceeb toom. Intel xav tias tsis muaj lub luag haujlwm lossis kev lav phib xaub uas tshwm sim los ntawm daim ntawv thov lossis siv cov ntaub ntawv, cov khoom lag luam, lossis cov kev pabcuam uas tau piav qhia hauv no tshwj tsis yog raws li tau pom zoo hauv kev sau ntawv los ntawm Intel. Intel cov neeg siv khoom tau qhia kom tau txais qhov tseeb version ntawm cov cuab yeej tshwj xeeb ua ntej tso siab rau cov ntaub ntawv tshaj tawm thiab ua ntej muab xaj rau cov khoom lossis cov kev pabcuam. * Lwm lub npe thiab hom yuav raug lees paub raws li cov cuab yeej ntawm lwm tus.

Daim duab 6. Block Diagram rau Intel Agilex 7 E-tile DesignseCPRI Intel FPGA IP Tsim - Daim duab 6Daim duab 7. Block Diagram rau Intel Stratix 10 DesignseCPRI Intel FPGA IP Tsim - Daim duab 7

Daim duab 8. Block Diagram rau Intel Arria 10 DesignseCPRI Intel FPGA IP Tsim - Daim duab 8eCPRI Intel FPGA IP core hardware tsim example suav nrog cov hauv qab no:
eCPRI Intel FPGA IP
Txais cov ntaub ntawv los ntawm lub tshuab hluav taws xob tsheb khiav ceev hauv qhov ntsuas wrapper thiab ua ntej cov ntaub ntawv xa mus rau Ethernet IP.

Ethernet IP

  • F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-tile tsim)
  • E-tile Hard IP rau Ethernet (Intel Stratix 10 lossis Intel Agilex 7 E-tile designs)
  • 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-tile tsim)
  • Tsawg Latency Ethernet 10G MAC IP thiab 1G / 10GbE thiab 10GBASE-KR PHY IP (Intel Arria 10 tsim)

Precision Time Protocol (PTP) IO PLL
Rau Intel Stratix 10 H-pob zeb tsim-Instantiated los tsim cov latency ntsuas input siv moos rau Ethernet IP thiab sampling moos rau Sijhawm Hnub (TOD) subsystem. Rau 25G Ethernet Intel Stratix 10 FPGA IP nrog IEEE 1588v2 feature, Intel xav kom koj teem lub zaus ntawm lub moos no rau 156.25 MHz. Xa mus rau 25G Ethernet Intel Stratix 10 FPGA IP Tus Neeg Siv Qhia thiab Intel Stratix 10 H-tile Transceiver PHY Tus Neeg Siv Qhia kom paub ntau ntxiv. PTP IOPLL kuj tseem tsim cov moos siv rau eCPRI IO PLL hauv qhov cascading.
Rau Intel Arria 10 tsim-Instantiated los tsim 312.5 MHz thiab 156.25 MHz moos inputs rau Low Latency Ethernet 10G MAC IP thiab 1G/10GbE, 10GBASE-KR PHY IP, thiab eCPRI IP .

eCPRI IO PLL
Tsim lub moos tseem ceeb ntawm 390.625 MHz rau TX thiab RX txoj hauv kev ntawm eCPRI IP, thiab cov khoom siv tsheb.
Nco tseg: Qhov thaiv no tsuas yog muaj nyob rau hauv tus tsim example generated rau Intel Stratix 10 thiab Intel Agilex 7 li.

Nco tseg: Tam sim no version ntawm eCPRI Intel FPGA IP tsuas yog txhawb nqa IWF hom 0. Rau Intel Agilex 7 F-tile li, tus tsim example enabled nrog IWF feature tsis txaus siab.
Thaum koj tsim tus tsim example nrog Interworking Function (IWF) Kev Txhawb Nqa tsis tau muab tua, pob ntawv tsheb khiav ncaj qha los ntawm qhov ntsuas wrapper module mus rau Avalon-ST qhov chaw / dab dej interface thiab lwm qhov chaw / dab dej interface ntawm eCPRI IP.
Thaum koj tsim tus tsim example nrog Interworking Function (IWF) Support parameter qhib, cov pob ntawv khiav khiav mus rau IWF Avalon-ST dab dej interface los ntawm qhov ntsuas wrapper module ua ntej, thiab tawm los ntawm IWF Avalon-ST qhov chaw interface mus rau eCPRI Avalon-ST qhov chaw / dab dej interface.
CPRI MAC
Muab CPRI ib feem ntawm txheej 1 thiab tag nrho txheej 2 raws tu qauv rau kev hloov ntawm cov neeg siv dav hlau, C&M, thiab synchronization cov ntaub ntawv ntawm REC thiab RE nrog rau ntawm ob RE,
CPRI PHY
Muab cov seem ntawm CPRI txheej 1 raws tu qauv rau kab coding, me ntsis kev ua yuam kev kho / kuaj pom, thiab lwm yam.

Nco tseg: CPRI MAC thiab CPRI PHY IP instantiated nyob rau hauv no tsim example tau teeb tsa kom khiav ntawm ib kab CPRI tus nqi 9.8 Gbps nkaus xwb. Design example tsis txhawb kab tus nqi pib-kev sib tham hauv qhov kev tso tawm tam sim no.

Test Wrapper
Muaj cov tshuab hluav taws xob tsheb thiab cov checkers uas tsim cov ntaub ntawv sib txawv rau Avalon Streaming (Avalon-ST) interfaces ntawm eCPRI IP raws li hauv qab no:

  • eCPRI pob ntawv mus rau Avalon-ST qhov chaw / dab dej cuam tshuam (IWF feature xiam):
    - Tsuas yog txhawb cov lus hom 2.
    - Rov qab-rau-rov qab hom tiam nrog incremental qauv hom tiam thiab payload loj ntawm 72 bytes rau txhua pob ntawv.
    - Configurable ntawm CSR kom khiav hauv hom tsis txuas ntxiv lossis txuas ntxiv.
    - TX/RX packet statistic status muaj nyob rau ntawm CSR.
  • eCPRI pob ntawv mus rau Avalon-ST qhov chaw / dab dej cuam tshuam (IWF feature enabled):
    - Tsuas yog txhawb cov lus hom 0 hauv kev tso tawm tam sim no.
    - Ntau hom qauv tsim nrog interpacket sib txawv tiam thiab payload loj ntawm 240 bytes rau txhua pob ntawv.
    - Configurable ntawm CSR kom khiav hauv hom tsis txuas ntxiv lossis txuas ntxiv.
    - TX/RX packet statistic status muaj nyob rau ntawm CSR.
  • Precision Time Protocol (1588 PTP) pob ntawv thiab cov pob ntawv tsis yog PTP lwm yam mus rau Sab Nraud qhov chaw / dab dej cuam tshuam:
    - Static Ethernet header tiam nrog cov kev txwv ua ntej: Ethertype0x88F7, Lus hom- Opcode 0 (Sync), thiab PTP version-0.
    - Cov qauv qauv tsim ua ntej nrog qhov sib txawv ntawm qhov sib txawv ntawm 2 lub voj voog thiab qhov loj me ntawm 57 bytes rau txhua pob ntawv.
    - 128 pob ntawv raug tsim nyob rau lub sijhawm ntawm txhua ob.
    - Configurable ntawm CSR kom khiav hauv hom tsis txuas ntxiv lossis txuas ntxiv.
    - TX/RX packet statistic status muaj nyob rau ntawm CSR.
  • Sab nraud non-PTP lwm yam pob ntawv:
    - Static Ethernet Header tiam nrog qhov ntsuas ua ntej, Ethertype- 0x8100 (tsis yog PTP).
    - PRBS qauv qauv tsim nrog interpacket sib txawv ntawm 2 cycles thiab payload loj ntawm 128 bytes rau txhua pob ntawv.
    - Configurable ntawm CSR kom khiav hauv hom tsis txuas ntxiv lossis txuas ntxiv.
    - TX/RX packet statistic status muaj nyob rau ntawm CSR.

Lub Sijhawm Hnub (TOD) subsystem
Muaj ob lub IEEE 1588 TOD modules rau TX thiab RX, thiab ib qho IEEE 1588 TOD Synchronizer module tsim los ntawm Intel Quartus Prime software.
Nios® II Subsystem
Xws li Avalon-MM choj uas tso cai rau Avalon-MM cov ntaub ntawv kev txiav txim siab ntawm Nios II processor, test wrapper, thiab Avalon® -MM address decoder blocks.
Nios II yog lub luag haujlwm los ua cov ntaub ntawv hloov pauv raws li cov txiaj ntsig tau los ntawm kev ntsuas wrapper's rate_switch sau npe tus nqi. Qhov no thaiv cov kev pab cuam qhov tsim nyog sau npe thaum nws tau txais cov lus txib los ntawm qhov ntsuas wrapper.

Nco tseg: Qhov thaiv no tsis muaj nyob rau hauv tus tsim example generated rau Intel Arria 10 thiab Intel Agilex 7 F-tile li.
System Console
Muab cov neeg siv-phooj ywg interface rau koj los ua thawj-qib debugging thiab saib xyuas cov xwm txheej ntawm IP, thiab cov tshuab hluav taws xob thiab cov checkers.
Demo Tswj
Cov module no muaj cov rov pib synchronizer modules, thiab In-system Source and Probe (ISSP) modules for design system debugging and initialization process.

Cov ntaub ntawv ntsig txog

  • 25G Ethernet Intel Stratix 10 FPGA IP Tus Neeg Siv Qhia
  • E-tile Hard IP Tus neeg siv phau ntawv qhia
  • eCPRI Intel FPGA IP Tus Neeg Siv Phau Ntawv Qhia
  • 25G Ethernet Intel Stratix 10 FPGA IP Tsim Example User Guide
  • E-tile Hard IP rau Intel Stratix 10 Tsim Examples User Guide
  • Intel Stratix 10 L- thiab H-Tile Transceiver PHY Tus Neeg Siv Qhia
  • E-Tile Transceiver PHY Phau Ntawv Qhia
  • Intel Stratix 10 10GBASE-KR PHY IP Tus Neeg Siv Qhia
  • E-tile Hard IP Intel Agilex Tsim Example User Guide

2.3. Simulation Design Example
eCPRI tsim example generates ib simulation testbench thiab simulation files uas instantiates eCPRI Intel FPGA IP core thaum koj xaiv qhov Simulation lossis Synthesis & Simulation kev xaiv.

Daim duab 9. eCPRI Intel FPGA IP Simulation Block DiagrameCPRI Intel FPGA IP Tsim - Daim duab 9

Nco tseg: Nios II Subsystem thaiv tsis muaj nyob rau hauv tus tsim example generated rau Intel Arria 10 thiab Intel Agilex 7 F-tile li.
Nyob rau hauv no tsim example, lub simulation testbench muab kev ua haujlwm yooj yim xws li pib thiab tos rau xauv, xa thiab tau txais pob ntawv.

Qhov kev sim ua tiav ua tiav tso tawm cov zis lees paub cov cwj pwm hauv qab no:

  1. Cov neeg siv logic rov pib dua tus IP core.
  2. Cov neeg siv khoom logic tos rau RX datapath kev sib raug zoo.
  3. Cov neeg siv logic xa cov pob ntawv ntawm Avalon-ST interface.
  4. Txais thiab kuaj xyuas cov ntsiab lus thiab qhov tseeb ntawm cov pob ntawv.
  5. Tso cov lus “Test PassED”.

2.4. Interface Signals
Table 5. Tsim Example Interface Signals

Teeb liab Kev taw qhia Kev piav qhia
clk_ref Tswv yim Lub moos siv rau Ethernet MAC.
• Rau Intel Stratix 10 E-tile, Intel Agilex 7 E-tile thiab F-tile designs, 156.25 MHz moos input rau E-tile Ethernet Hard IP core lossis F-tile Ethernet Hard IP core. Txuas rau i_clk_ref[0] hauv Ethernet Hard IP.
• Rau Intel Stratix 10 H-tile designs, 322.2625 MHz moos input rau Transceiver ATX PLL thiab 25G Ethernet IP. Txuas rau pll_refclk0[0] hauv Transceiver ATX PLL thiab clk_ref[0] hauv 25G Ethernet IP.
• Rau Intel Arria 10 tsim, 322.265625 MHz moos input rau Transceiver ATX PLL thiab 1G/10GbE thiab 10GBase-KR PHY IP. Txuas rau pll_refclk0[0] hauv Transceiver ATX PLL thiab rx_cdr_ref_clk_10g[0] hauv 1G/ 10GbE thiab 10G BASE-KR PHY IP.
tod_sync_samplus_clk Tswv yim Rau Intel Arria 10 tsim, 250 MHz moos input rau TOD subsystem.
clk100 ua Tswv yim Tswj moos. Lub moos no yog siv los tsim latency_clk rau PTP. Tsav ntawm 100 MHz.
mgmt_reset_n Tswv yim Pib dua teeb liab rau Nios II system.
tx_serial Tso zis TX serial data. Txhawb txog li 4 channels.
rx_serial Tswv yim Kev lees paub rau lub dav hlau RX data Txhawb txog li 4 channels.
iwf_cpri_ehip_ref_clk Tswv yim E-tile CPRI PHY siv moos input. Lub moos no tsuas yog muaj nyob rau hauv Intel Stratix 10 E-tile thiab Intel
Agilex 7 E-tile tsim. Tsav ntawm 153.6 MHz rau 9.8 Gbps CPRI kab tus nqi.
iwf_cpri_pll_refclk0 Tso zis CPRI TX PLL reference moos.
• Rau Intel Stratix 10 H-tile tsim: Tsav ntawm 307.2 MHz rau CPRI cov ntaub ntawv tus nqi 9.8 Gbps.
• Rau Intel Stratix 10 E-tile thiab Intel Agilex 7 E- pobzeb tsim: Tsav ntawm 156.25 MHz rau CPRI cov ntaub ntawv tus nqi 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Tso zis CPRI receiver CDR siv moos. Lub moos no tsuas yog tam sim no hauv Intel Stratix 10 H-tile tsim.
Tsav ntawm 307.2 MHz rau 9.8 Gbps CPRI kab tus nqi.
iwf_cpri_xcvr_txdataout Tso zis CPRI xa cov ntaub ntawv serial. Txhawb txog li 4 channels.
iwf_cpri_xcvr_rxdatain Tso zis CPRI receiver serial data. Txhawb txog li 4 channels.
cpri_gmii_clk Tswv yim CPRI GMII 125 MHz input moos.

Cov ntaub ntawv ntsig txog
PHY Interface Signals
Sau cov PHY interface cov cim ntawm 25G Ethernet Intel FPGA IP.

2.5. Tsim Example Register Map
Hauv qab no yog daim ntawv teev npe rau eCPRI IP core tsim example:
Table 6. eCPRI Intel FPGA IP Tsim Example Register Mapping

Chaw nyob  Sau npe
0x20100000 – 0x201FFFFF(2) IOPLL Re-configuration Register.
0x20200000 – 0x203FFFF Ethernet MAC Avalon-MM Sau npe
0x20400000 – 0x205FFFF Ethernet MAC Native PHY Avalon-MM Sau npe
0x20600000 – 0x207FFFFF(2) Native PHY RS-FEC Avalon-MM Register.
0x40000000 – 0x5FFFFFF eCPRI IP Avalon-MM Sau npe
0x80000000 – 0x9FFFFFF Ethernet Design Test Generator/Verifier Avalon-MM Sau npe

Table 7. Nios II Register Mapping
Cov ntawv sau npe hauv cov lus hauv qab no tsuas yog muaj nyob rau hauv tus tsim example generated rau Intel Stratix 10 lossis Intel Agilex 7 E-tile li.

Chaw nyob  Sau npe
0x00100000 – 0x001FFFF IOPLL Re-configuration Register
0x00200000 – 0x003FFFF Ethernet MAC Avalon-MM Sau npe
0x00400000 – 0x005FFFF Ethernet MAC Native PHY Avalon-MM Sau npe
0x00600000 – 0x007FFFF Native PHY RS-FEC Avalon-MM Register

Nco tseg: Koj tuaj yeem nkag mus rau Ethernet MAC thiab Ethernet MAC Native PHY AVMM sau npe siv lo lus offset es tsis txhob byte offset.
Yog xav paub ntxiv txog Ethernet MAC, Ethernet MAC Native PHY, thiab eCPRI IP core register maps, xa mus rau cov neeg siv cov lus qhia.

(2) Tsuas yog muaj nyob rau hauv tsim example generated rau Intel Stratix 10 thiab Intel Agilex 7 E-tile li.

Table 8. eCPRI Intel FPGA IP Hardware Design Example Register Map

Lus Offset  Sau npe hom  Default tus nqi  Hom kev nkag
0 x 0 Pib Xa Cov Ntaub Ntawv:
• Ntsis 1: PTP, tsis yog PTP hom
• ntsis 0: eCPRI hom
0 x 0 RW
0 x 1 Nruam Packet Enable 0 x 0 RW
0 x 2 Clear yuam kev 0 x 0 RW
0x3 (3) Rate Hloov:
• Ntsis [7]- Qhia cov nplais:
-1'b0: H-pob
— 1'b1: Ib
• Ntsis [6:4]- Qhia Ethernet cov ntaub ntawv tus nqi hloov:
- 3'b000: 25G rau 10G
- 3'b001: 10G rau 25G
• Ntsis [0]- Hloov tus nqi pab. Nws yuav tsum tau teeb tsa qhov no me ntsis 0 thiab kev xaiv tsa kom txog thaum me ntsis 0 yog qhov tseeb rau qhov hloov pauv tus nqi.
Nco tseg: Daim ntawv teev npe no tsis muaj rau Intel Agilex 7 F-tile thiab Intel Arria 10 tsim.
• E-pobzeb: 0x80
• H-tile: 0x0
RW
0x4 (3) Rate Switch Ua tiav:
• Ntsis [1] qhia tias qhov hloov pauv tau ua tiav.
0 x 0 RO
0x5 (4) System Configuration Status:
• Ntsis [31]: System npaj
• Ntsis [30]: IWF_EN
• Ntsis [29]: STARTUP_SEQ_EN
• Ntsis [28:4]: Reserved
• Ntsis [3]: EXT_PACKET_EN
• Ntsis [2:0]: Reserved
0 x 0 RO
0x6 (4) CPRI Kev Sib Tham Ua tiav:
• Ntsis [3:0]: ntsis tus nqi tiav
• Ntsis [19:16]: raws tu qauv tiav
0 x 0 RW
0x7 (4) CPRI Kev Sib Tham Ua tiav:
• Ntsis [3:0]: Fast C&M tiav
• Ntsis [19:16]: Fast VSS tiav
0 x 0 RW
0x8 - 0x1F Khaws tseg.
0 x 20 eCPRI yuam kev cuam tshuam:
• Ntsis [0] qhia qhov cuam tshuam.
0 x 0 RO
0 x 21 External Packets yuam kev 0 x 0 RO
0 x 22 Sab nraud PTP Packets TX Pib ntawm Pob Khoom (SOP) suav 0 x 0 RO
0 x 23 External PTP Packets TX End of Packet (EOP) suav 0 x 0 RO
0 x 24 External Miscellaneous Packets TX SOP Count 0 x 0 RO
0 x 25 External Miscellaneous Packets TX EOP Count 0 x 0 RO
0 x 26 Sab Nraud RX Packets SOP suav 0 x 0 RO
0 x 27 Sab nraud RX Packets EOP suav 0 x 0 RO
0 x 28 External Packets yuam kev suav 0 x 0 RO
0x29 – 0x2C Khaws tseg.
0 x2d Sab Nraud PTP Sijhawmamp Fingerprint yuam kev suav 0 x 0 RO
0 x2e Sab Nraud PTP Sijhawmamp Tus ntiv tes yuam kev 0 x 0 RO
0 x2f Sab nraud Rx yuam kev Status 0 x 0 RO
0 x 30 – 0x47 Khaws tseg.
0 x 48 eCPRI Packets yuam kev RO
0 x 49 eCPRI TX SOP Count RO
0 x4a eCPRI TX EOP Count RO
0x4B eCPRI RX SOP suav RO
0x4 wb eCPRI RX EOP suav RO
0 x4d eCPRI Packets yuam kev suav RO

Cov ntaub ntawv ntsig txog

  • Tswj, xwm txheej, thiab txheeb cais sau npe piav qhia
    Sau npe cov ntaub ntawv rau 25G Ethernet Stratix 10 FPGA IP
  • Reconfiguration thiab Status Register
    Cov lus piav qhia Sau npe cov ntaub ntawv rau E-tile Hard IP rau Ethernet
  • Sau npe
    Sau npe cov ntaub ntawv rau eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Tsim Example User Guide Archives

Rau qhov tseeb thiab yav dhau los versions ntawm no tus neeg siv phau ntawv qhia, xa mus rau eCPRI Intel FPGA IP Design Example User Guide HTML version. Xaiv lub version thiab nyem Download. Yog tias tus IP lossis software version tsis tau teev tseg, cov lus qhia siv rau tus IP yav dhau los lossis software version siv.

Cov ntaub ntawv kho dua tshiab rau eCPRI Intel FPGA IP Tsim Example User Guide

Cov ntaub ntawv Version Intel Quartus
Prime Version
IP Version Hloov
2023.05.19 23.1 2.0.3 • Hloov Kho Simulating Tus Tsim Example Testbench seem nyob rau hauv Quick Start Guide tshooj.
• Hloov kho cov khoom lag luam npe rau "Intel Agilex 7".
2022.11.15 22.3 2.0.1 Cov lus qhia hloov tshiab rau VCS simulator hauv ntu: Simulating Design Exampua Testbench.
2022.07.01 22.1 1.4.1 • Ntxiv cov kho vajtse tsim example kev txhawb nqa rau Intel Agilex 7 F-tile variations.
• Ntxiv kev txhawb nqa rau cov khoom siv txhim kho hauv qab no:
- Intel Agilex 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
• Ntxiv kev txhawb nqa rau QuestaSim simulator.
• Tshem tawm kev txhawb nqa rau ModelSim* SE simulator.
2021.10.01 21.2 1.3.1 • Ntxiv kev txhawb nqa rau Intel Agilex 7 F-tile li.
• Ntxiv kev txhawb nqa rau ntau txoj kev tsim qauv.
• Hloov tshiab Table: eCPRI Intel FPGA IP Hardware Design Example Register Map.
• Tshem tawm kev txhawb nqa rau NCSim simulator.
2021.02.26 20.4 1.3.0 • Ntxiv kev txhawb nqa rau Intel Agilex 7 E-tile li.
2021.01.08 20.3 1.2.0 • Hloov cov ntaub ntawv npe los ntawm eCPRI Intel Stratix 10 FPGA IP Design Example User Guide to
eCPRI Intel FPGA IP Tsim Example User Guide.
• Ntxiv kev txhawb nqa rau Intel Arria 10 tsim.
• eCPRI IP tsim example yog tam sim no muaj nrog kev sib tham ua haujlwm (IWF) kev txhawb nqa.
• Ntxiv ib daim ntawv qhia kom meej tias eCPRI tsim example nrog IWF feature tsuas yog muaj rau 9.8 Gbps CPRI
kab bitrate.
• Ntxiv tej yam kev mob nyob rau hauv seem Tsim tus Tsim thaum tsim tus tsim example le
Interworking Function (IWF) Support parameter enabled.
• Ntxiv sample simulation xeem khiav cov zis nrog IWF feature enabled nyob rau hauv seem Simulating tus tsim
Exampua Testbench.
• Ntxiv ntu tshiab Ua kom Dynamic Reconfiguration rau Ethernet IP.
• Hloov kho kho vajtse kuaj sample tso zis nyob rau hauv seem
Ntsuam xyuas eCPRI Intel FPGA IP Tsim Example.
2020.06.15 20.1 1.1.0 • Ntxiv kev txhawb nqa rau 10G cov ntaub ntawv tus nqi.
• flow.c file yog tam sim no muaj nrog tsim example tiam los xaiv loopback hom.
• Hloov cov sample tso zis rau simulation xeem khiav hauv seem Simulating tus Tsim Exampua Testbench.
• Ntxiv tus nqi zaus rau kev khiav 10G cov ntaub ntawv tus nqi tsim nyob rau hauv seem Compiling thiab Configuring
Tsim Examphauv Hardware.
• Ua raws li kev hloov pauv hauv ntu Kev Ntsuas eCPRI Intel FPGA IP Tsim Example:
- Ntxiv cov lus txib los hloov cov ntaub ntawv tus nqi ntawm 10G thiab 25G
— Ntxiv sample tso zis rau cov ntaub ntawv tus nqi hloov
- Ntxiv TEST_MODE cov ntaub ntawv sib txawv los xaiv lub voj rov qab hauv E-tile ntaus ntawv hloov pauv.
• Hloov kho eCPRI Intel FPGA IP Hardware Design Examples High Level Block Diagram kom suav nrog cov tshiab
thaiv.
• Hloov tshiab Table: Tsim Example Interface Signals kom suav nrog cov teeb liab tshiab.
• Hloov Kho Tsim Example Register Map section.
• Ntxiv cov ntawv txuas ntxiv tshiab: Tsim thiab rub tawm Cov Kev Ua Haujlwm thiab Txuas Hom (.elf) Programming File .
2020.04.13 19.4 1.1.0 Kev tso tawm thawj zaug.

A. Tsim thiab rub tawm cov kev ua tau zoo thiab txuas hom (.elf) Programming File

Tshooj lus no piav qhia yuav ua li cas tsim thiab rub tawm .elf file mus rau lub rooj tsavxwm:

  1. Hloov cov npe rau <design_example_dir>/synthesis/quatus.
  2. Hauv Intel Quartus Prime Pro Edition software, nyem Qhib Project thiab qhib <design_example_dir>/synthesis/quartus/epri_ed.qpf. Tam sim no xaiv Cov Cuab Yeej ➤ Nios II Software Tsim Cov Cuab Yeej rau Dab Ntxwg Nyoog.
    Daim duab 10. Nios II Software Tsim cov cuab yeej rau dab noj hnubeCPRI Intel FPGA IP Tsim - Daim duab 10
  3. Lub qhov rais Workspace Launcher tshwm sim. Hauv qhov chaw ua haujlwm qhia txoj hauv kev raws li <design_example_dir>/synthesis/quatus los khaws koj li Eclipse project. Qhov tshiab Nios II - dab noj hnub qhov rais tshwm.
    Daim duab 11. Workspace Launcher WindoweCPRI Intel FPGA IP Tsim - Daim duab 11
  4. Hauv Nios II - dab noj hnub qhov rais, nyem nyem rau ntawm qhov Project Explorer tab, thiab xaiv New ➤ Nios II Board Support Package. Qhov rai tshiab tshwm.
    Daim duab 12. Project Explorer TabeCPRI Intel FPGA IP Tsim - Daim duab 12
  5. Hauv Nios II Board Support Pob qhov rais:
    • Hauv qhov Project name parameter, qhia koj lub npe qhov project uas xav tau.
    • Hauv SOPC Cov Ntaub Ntawv File npe parameter, xauj rau qhov chaw ntawm <design_example_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Tshawb Xaus.
    Daim duab 13. Nios II Board Support Package WindoweCPRI Intel FPGA IP Tsim - Daim duab 13
  6. Qhov project tsim tshiab tshwm nyob rau hauv Project Explorer tab hauv Nios II Eclipse qhov rai. Right-click nyob rau hauv Project Explorer tab, thiab xaiv Nios II ➤ Nios II Command Plhaub.
    Daim duab 14. Project Explorer- Nios II Command PlhaubeCPRI Intel FPGA IP Tsim - Daim duab 14
  7. Hauv Nios II Command Plhaub, ntaus peb cov lus txib nram qab no: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. Ib .elf file yog tsim nyob rau hauv qhov chaw hauv qab no: <design_example_dir>/ synthesis/ip_components/software//app.
  9. Ntaus cov lus txib hauv qab no hauv Nios II Command Plhaub kom rub tawm .elf rau lub rooj tsavxwm:
    • Rau Intel Stratix 10: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
    • Rau Intel Agilex 7: nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

Intel logoeCPRI Intel FPGA IP Tsim - icon Online Version
eCPRI Intel FPGA IP Tsim - icon 1 Xa lus tawm tswv yim
UA-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Tsim Example User Guide

Cov ntaub ntawv / Cov ntaub ntawv

Intel eCPRI Intel FPGA IP Tsim [ua pdf] Cov neeg siv phau ntawv qhia
eCPRI Intel FPGA IP Tsim, eCPRI, Intel FPGA IP Tsim, FPGA IP Tsim, IP Tsim, Tsim

Cov ntaub ntawv

Cia ib saib

Koj email chaw nyob yuav tsis raug luam tawm. Cov teb uas yuav tsum tau muaj yog cim *