eCPRI Intel® FPGA IP ડિઝાઇન
Example વપરાશકર્તા માર્ગદર્શિકા
Intel® માટે અપડેટ કરેલ
ક્વાર્ટસ®
પ્રાઇમ ડિઝાઇન સ્યુટ: 23.1
IP સંસ્કરણ: 2.0.3
ઝડપી પ્રારંભ માર્ગદર્શિકા
ઉન્નત કોમન પબ્લિક રેડિયો ઈન્ટરફેસ (eCPRI) Intel® FPGA IP કોર eCPRI સ્પષ્ટીકરણ સંસ્કરણ 2.0 ને લાગુ કરે છે. eCPRI Intel FPGA IP સિમ્યુલેશન ટેસ્ટબેન્ચ અને હાર્ડવેર ડિઝાઇન એક્સ પ્રદાન કરે છેample જે સંકલન અને હાર્ડવેર પરીક્ષણને સપોર્ટ કરે છે. જ્યારે તમે ડિઝાઇન ભૂતપૂર્વample, પરિમાણ સંપાદક આપમેળે બનાવે છે fileડિઝાઇનનું અનુકરણ, કમ્પાઇલ અને પરીક્ષણ કરવા માટે જરૂરી છેampહાર્ડવેરમાં લે.
સંકલિત હાર્ડવેર ડિઝાઇન ભૂતપૂર્વample ચાલે છે:
- Intel Agilex™ 7 I-Series FPGA ડેવલપમેન્ટ કિટ
- Intel Agilex 7 I-Series Transceiver-SoC ડેવલપમેન્ટ કિટ
- Intel Agilex 7 F-Series Transceiver-SoC ડેવલપમેન્ટ કિટ
- ઇન્ટેલ સ્ટ્રેટિક્સ® 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કિટ એચ-ટાઇલ ડિઝાઇન એક્સ માટેampલેસ
- ઇ-ટાઇલ ડિઝાઇન એક્સ માટે ઇન્ટેલ સ્ટ્રેટિક્સ 10 TX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કિટampલેસ
- Intel Arria® 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કિટ
ઇન્ટેલ એક સંકલન-માત્ર પૂર્વ પ્રદાન કરે છેample પ્રોજેક્ટ કે જેનો ઉપયોગ તમે ઝડપથી IP કોર વિસ્તાર અને સમયનો અંદાજ કાઢવા માટે કરી શકો છો.
ટેસ્ટબેન્ચ અને ડિઝાઇન ભૂતપૂર્વample 25G અને 10G ડેટા રેટને સપોર્ટ કરે છે Intel Stratix 10 H-tile અથવા E-tile અને Intel Agilex 7 E-tile અથવા F-Tile ઉપકરણની વિવિધતાઓ માટે eCPRI IP.
નોંધ: eCPRI IP ડિઝાઇન ભૂતપૂર્વampલે વિથ ઇન્ટરવર્કિંગ ફંક્શન (IWF) વર્તમાન પ્રકાશનમાં માત્ર 9.8 Gbps CPRI લાઈન બીટ રેટ માટે ઉપલબ્ધ છે.
નોંધ: eCPRI IP ડિઝાઇન ભૂતપૂર્વample Intel Arria 10 ડિઝાઇનમાં 10G ડેટા રેટ માટે ગતિશીલ પુનઃરૂપરેખાંકનને સપોર્ટ કરતું નથી.
eCPRI ઇન્ટેલ FPGA IP કોર ડિઝાઇન ભૂતપૂર્વample નીચેની સુવિધાઓને સપોર્ટ કરે છે:
- આંતરિક TX થી RX સીરીયલ લૂપબેક મોડ
- ટ્રાફિક જનરેટર અને તપાસનાર
- મૂળભૂત પેકેટ ચકાસણી ક્ષમતાઓ
- ડિઝાઇનને ચલાવવા માટે સિસ્ટમ કન્સોલનો ઉપયોગ કરવાની ક્ષમતા અને ફરીથી પરીક્ષણ હેતુ માટે ડિઝાઇનને ફરીથી સેટ કરવાની ક્ષમતા
ઇન્ટેલ કોર્પોરેશન. બધા હકો અમારી પાસે રાખેલા છે. ઇન્ટેલ, ઇન્ટેલ લોગો અને અન્ય ઇન્ટેલ ચિહ્નો ઇન્ટેલ કોર્પોરેશન અથવા તેની પેટાકંપનીઓના ટ્રેડમાર્ક છે. ઇન્ટેલ તેના FPGA અને સેમિકન્ડક્ટર ઉત્પાદનોના પ્રદર્શનને ઇન્ટેલની માનક વોરંટી અનુસાર વર્તમાન સ્પષ્ટીકરણો માટે વોરંટી આપે છે, પરંતુ સૂચના વિના કોઈપણ સમયે કોઈપણ ઉત્પાદનો અને સેવાઓમાં ફેરફાર કરવાનો અધિકાર અનામત રાખે છે. Intel દ્વારા લેખિતમાં સ્પષ્ટપણે સંમત થયા સિવાય અહીં વર્ણવેલ કોઈપણ માહિતી, ઉત્પાદન અથવા સેવાના એપ્લિકેશન અથવા ઉપયોગથી ઉદ્ભવતી કોઈ જવાબદારી અથવા જવાબદારી સ્વીકારતી નથી. ઇન્ટેલ ગ્રાહકોને સલાહ આપવામાં આવે છે કે તેઓ કોઈપણ પ્રકાશિત માહિતી પર આધાર રાખતા પહેલા અને ઉત્પાદનો અથવા સેવાઓ માટે ઓર્ડર આપતા પહેલા ઉપકરણ વિશિષ્ટતાઓનું નવીનતમ સંસ્કરણ પ્રાપ્ત કરે. *અન્ય નામો અને બ્રાન્ડનો દાવો અન્યની મિલકત તરીકે થઈ શકે છે.
ISO 9001:2015 નોંધાયેલ
આકૃતિ 1. ડિઝાઇન માટે વિકાસનાં પગલાં ઉદાample
સંબંધિત માહિતી
- eCPRI ઇન્ટેલ FPGA IP વપરાશકર્તા માર્ગદર્શિકા
- eCPRI ઇન્ટેલ FPGA IP પ્રકાશન નોંધો
1.1. હાર્ડવેર અને સોફ્ટવેર જરૂરીયાતો
ભૂતપૂર્વ ચકાસવા માટેampલે ડિઝાઇન, નીચેના હાર્ડવેર અને સોફ્ટવેરનો ઉપયોગ કરો:
- Intel Quartus® Prime Pro Edition સોફ્ટવેર વર્ઝન 23.1
- સિસ્ટમ કન્સોલ
- સપોર્ટેડ સિમ્યુલેટર:
- સિમેન્સ* EDA Questasim*
- સિનોપ્સી* VCS*
- સિનોપ્સિસ વીસીએસ એમએક્સ
— એલ્ડેક* રિવેરા-પ્રો*
- કેડેન્સ* એક્સેલિયમ* - વિકાસ કીટ:
— Intel Agilex 7 I-Series FPGA ડેવલપમેન્ટ કિટ
— Intel Agilex 7 I-Series Transceiver-SoC ડેવલપમેન્ટ કિટ
— Intel Agilex 7 F-Series Transceiver-SoC ડેવલપમેન્ટ કિટ
— એચ-ટાઈલ ઉપકરણ વિવિધતા ડિઝાઇન એક્સ માટે ઇન્ટેલ સ્ટ્રેટિક્સ 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કિટample
— ઇન્ટેલ સ્ટ્રેટિક્સ 10 TX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ ઇ-ટાઇલ ડિવાઇસ વિવિધતા ડિઝાઇન એક્સ માટેample
— Intel Arria 10 GX ટ્રાન્સસીવર સિગ્નલ ઈન્ટિગ્રિટી ડેવલપમેન્ટ કિટ
સંબંધિત માહિતી
- Intel Agilex 7 I-Series FPGA ડેવલપમેન્ટ કીટ વપરાશકર્તા માર્ગદર્શિકા
- Intel Agilex 7 I-Series Transceiver-SoC ડેવલપમેન્ટ કિટ વપરાશકર્તા માર્ગદર્શિકા
- Intel Agilex 7 F-Series Transceiver-SoC ડેવલપમેન્ટ કિટ વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કીટ વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 TX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કીટ વપરાશકર્તા માર્ગદર્શિકા
- Intel Arria 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કિટ વપરાશકર્તા માર્ગદર્શિકા
1.2. ડિઝાઇન જનરેટ કરી રહ્યા છીએ
પૂર્વશરત: એકવાર તમે eCPRI પ્રાપ્ત કરો web-કોર આઈપી, સાચવો web- સ્થાનિક વિસ્તાર માટે કોર ઇન્સ્ટોલર. Windows/Linux સાથે ઇન્સ્ટોલર ચલાવો. જ્યારે પૂછવામાં આવે, ત્યારે ઇન્સ્ટોલ કરો webઇન્ટેલ ક્વાર્ટસ પ્રાઇમ ફોલ્ડર જેવા જ સ્થાન પર કોર.
eCPRI Intel FPGA IP હવે IP કેટલોગમાં દેખાય છે.
જો તમારી પાસે પહેલેથી જ Intel Quartus Prime Pro Edition પ્રોજેક્ટ નથી જેમાં તમારા eCPRI Intel FPGA IP કોરને એકીકૃત કરવા માટે, તમારે એક બનાવવો આવશ્યક છે.
- Intel Quartus Prime Pro Edition સોફ્ટવેરમાં, ક્લિક કરો File ➤ નવો ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોજેક્ટ બનાવવા માટે નવો પ્રોજેક્ટ વિઝાર્ડ, અથવા ક્લિક કરો File ➤ હાલના ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોજેક્ટને ખોલવા માટે પ્રોજેક્ટ ખોલો. વિઝાર્ડ તમને ઉપકરણનો ઉલ્લેખ કરવા માટે સંકેત આપે છે.
- ઉપકરણ કુટુંબ અને ઉપકરણ કે જે સ્પીડ ગ્રેડ જરૂરિયાતોને પૂર્ણ કરે છે તેનો ઉલ્લેખ કરો.
- સમાપ્ત ક્લિક કરો.
- IP કેટલોગમાં, eCPRI Intel FPGA IP શોધો અને ડબલ-ક્લિક કરો. નવી IP વેરિઅન્ટ વિન્ડો દેખાય છે.
eCPRI IP હાર્ડવેર ડિઝાઇન એક્સ જનરેટ કરવા માટે આ પગલાં અનુસરોample અને testbench:
- IP કેટલોગમાં, eCPRI Intel FPGA IP શોધો અને ડબલ-ક્લિક કરો. નવી IP વેરિઅન્ટ વિન્ડો દેખાય છે.
- OK પર ક્લિક કરો. પરિમાણ સંપાદક દેખાય છે.
આકૃતિ 2. ExampeCPRI Intel FPGA IP પેરામીટર એડિટરમાં le ડિઝાઇન ટેબ
- ઉચ્ચ-સ્તરના નામનો ઉલ્લેખ કરો તમારી કસ્ટમ IP વિવિધતા માટે. પેરામીટર એડિટર IP વિવિધતા સેટિંગ્સને a માં સાચવે છે file નામ આપવામાં આવ્યું છે .ip.
- OK પર ક્લિક કરો. પરિમાણ સંપાદક દેખાય છે.
- સામાન્ય ટેબ પર, તમારા IP કોર વિવિધતા માટેના પરિમાણોનો ઉલ્લેખ કરો.
નોંધ: • જ્યારે તમે ડિઝાઇન એક્સ જનરેટ કરો ત્યારે તમારે eCPRI IP પેરામીટર એડિટરમાં સ્ટ્રીમિંગ પેરામીટર ચાલુ કરવું આવશ્યક છેampઇન્ટરવર્કિંગ ફંક્શન (IWF) સપોર્ટ પેરામીટર સક્ષમ સાથે,
• તમારે ડિઝાઇન એક્સ જનરેટ કરતી વખતે CPRI લાઈન બીટ રેટ (Gbit/s) અન્ય લોકો માટે સેટ કરવો આવશ્યક છેampઇન્ટરવર્કિંગ ફંક્શન (IWF) સપોર્ટ પેરામીટર સક્ષમ સાથે. - ભૂતપૂર્વ પરampલે ડિઝાઇન ટેબ, ટેસ્ટબેન્ચ જનરેટ કરવા માટે સિમ્યુલેશન વિકલ્પ પસંદ કરો, હાર્ડવેર એક્સ જનરેટ કરવા માટે સિન્થેસિસ વિકલ્પ પસંદ કરોampલે ડિઝાઇન, અને ટેસ્ટબેન્ચ અને હાર્ડવેર ડિઝાઇન એક્સ બંને જનરેટ કરવા માટે સિન્થેસિસ અને સિમ્યુલેશન વિકલ્પ પસંદ કરો.ample
- ઉચ્ચ સ્તરના સિમ્યુલેશન માટે ભાષા માટે file, વેરિલોગ અથવા VHDL પસંદ કરો.
નોંધ: આ વિકલ્પ ત્યારે જ ઉપલબ્ધ છે જ્યારે તમે તમારા ભૂતપૂર્વ માટે સિમ્યુલેશન વિકલ્પ પસંદ કરોampલે ડિઝાઇન. - ઉચ્ચ સ્તરના સંશ્લેષણ માટે ભાષા માટે file, વેરિલોગ અથવા VHDL પસંદ કરો.
નોંધ: આ વિકલ્પ ત્યારે જ ઉપલબ્ધ છે જ્યારે તમે તમારા ભૂતપૂર્વ માટે સિન્થેસિસ વિકલ્પ પસંદ કરોampલે ડિઝાઇન. - ચેનલોની સંખ્યા માટે, તમે તમારી ડિઝાઇન માટે બનાવાયેલ ચેનલોની સંખ્યા (1 થી 4) દાખલ કરી શકો છો. ડિફૉલ્ટ મૂલ્ય 1 છે.
- જનરેટ એક્સ પર ક્લિક કરોampલે ડિઝાઇન. આ સિલેક્ટ એક્સampડિઝાઇન ડિરેક્ટરી વિન્ડો દેખાય છે.
- જો તમે ડિઝાઇનમાં ફેરફાર કરવા માંગતા હોવ તો ભૂતપૂર્વample ડિરેક્ટરી પાથ અથવા ડિફોલ્ટ્સમાંથી નામ (ecpri_0_testbench) દર્શાવો, નવા પાથ પર બ્રાઉઝ કરો અને નવી ડિઝાઇન example ડિરેક્ટરી નામ.
- OK પર ક્લિક કરો.
સંબંધિત માહિતી
eCPRI ઇન્ટેલ FPGA IP વપરાશકર્તા માર્ગદર્શિકા
1.3. ડિરેક્ટરી માળખું
eCPRI IP કોર ડિઝાઇન ભૂતપૂર્વample file ડિરેક્ટરીઓમાં નીચેના જનરેટ થાય છે fileડિઝાઇન ભૂતપૂર્વ માટે sample
આકૃતિ 3. જનરેટેડ એક્સની ડિરેક્ટરી સ્ટ્રક્ચરampલે ડિઝાઇન
નોંધ:
- ફક્ત Intel Arria 10 IP ડિઝાઇનમાં હાજર છેampવિવિધતા
- ફક્ત ઇન્ટેલ સ્ટ્રેટિક્સ 10 (એચ-ટાઇલ અથવા ઇ-ટાઇલ) આઇપી ડિઝાઇનમાં હાજર છેampવિવિધતા
- માત્ર Intel Agilex E-tile IP ડિઝાઇનમાં હાજર છેampવિવિધતા
કોષ્ટક 1. eCPRI Intel FPGA IP કોર ટેસ્ટબેન્ચ File વર્ણનો
| File નામો | વર્ણન |
| કી ટેસ્ટબેન્ચ અને સિમ્યુલેશન Files | |
| <design_example_dir>/simulation/testbench/ ecpri_tb.sv | ટોપ લેવલ ટેસ્ટબેન્ચ file. ટેસ્ટબેન્ચ DUT રેપરને ઇન્સ્ટન્ટિએટ કરે છે અને પેકેટો જનરેટ કરવા અને સ્વીકારવા માટે વેરિલોગ HDL કાર્યો ચલાવે છે. |
| <design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT રેપર કે જે DUT અને અન્ય ટેસ્ટબેન્ચ ઘટકોને તાત્કાલિક બનાવે છે. |
| <design_example_dir>/simulation/ed_fw/flow.c | સી-કોડ સ્ત્રોત file. |
| ટેસ્ટબેન્ચ સ્ક્રિપ્ટ્સ | |
| <design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | ટેસ્ટબેન્ચ ચલાવવા માટે સિમેન્સ EDA QuestaSim સ્ક્રિપ્ટ. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | ટેસ્ટબેન્ચ ચલાવવા માટે Synopsys VCS સ્ક્રિપ્ટ. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | સિનોપ્સિસ વીસીએસ એમએક્સ સ્ક્રિપ્ટ (સંયુક્ત વેરિલોગ એચડીએલ અને VHDL સાથે SystemVerilog) ટેસ્ટબેન્ચ ચલાવવા માટે. |
| <design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | ટેસ્ટબેન્ચ ચલાવવા માટે Aldec* Riviera-PRO સ્ક્રિપ્ટ. |
| <design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | ટેસ્ટબેન્ચ ચલાવવા માટે કેડેન્સ* એક્સેલિયમ સ્ક્રિપ્ટ. |
કોષ્ટક 2. eCPRI Intel FPGA IP કોર હાર્ડવેર ડિઝાઇન Example File વર્ણનો
| File નામો | વર્ણનો |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qpf | ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોજેક્ટ file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qsf | ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોજેક્ટ સેટિંગ file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.sdc | સિનોપ્સિસ ડિઝાઇન અવરોધો files તમે આની નકલ અને ફેરફાર કરી શકો છો files તમારી પોતાની Intel Stratix 10 ડિઝાઇન માટે. |
| <design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | ટોપ-લેવલ વેરિલોગ HDL ડિઝાઇન એક્સample file. |
| <design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT રેપર કે જે DUT અને અન્ય ટેસ્ટબેન્ચ ઘટકોને તાત્કાલિક બનાવે છે. |
| <design_example_dir>/synthesis/quartus/ecpri_s10.tcl | મુખ્ય file સિસ્ટમ કન્સોલ ઍક્સેસ કરવા માટે (ઇન્ટેલ સ્ટ્રેટિક્સ 10 એચ-ટાઇલ અને ઇ-ટાઇલ ડિઝાઇનમાં ઉપલબ્ધ). |
| <design_example_dir>/synthesis/quartus/ecpri_a10.tcl | મુખ્ય file સિસ્ટમ કન્સોલને ઍક્સેસ કરવા માટે (Intel Arria 10 ડિઝાઇનમાં ઉપલબ્ધ). |
| <design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | મુખ્ય file સિસ્ટમ કન્સોલને એક્સેસ કરવા માટે (Intel Agilex 7 ડિઝાઇનમાં ઉપલબ્ધ). |
1.4. ડિઝાઇનનું અનુકરણ કરવું Exampલે ટેસ્ટબેન્ચ
આકૃતિ 4. પ્રક્રિયા
ટેસ્ટબેન્ચનું અનુકરણ કરવા માટે આ પગલાં અનુસરો:
- આદેશ પ્રોમ્પ્ટ પર, ટેસ્ટબેન્ચ સિમ્યુલેશન ડિરેક્ટરીમાં બદલોample_dir>/simulation/setup_scripts.
- ઇન્ટેલ એજિલેક્સ એફ-ટાઇલ ઉપકરણની વિવિધતાઓ માટે, આ પગલાં અનુસરો:
a પર નેવિગેટ કરોample_dir>/simulation/quartus ડિરેક્ટરી અને નીચે આ બે આદેશો ચલાવો: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
વૈકલ્પિક રીતે, તમે ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશનમાં ecpri_ed.qpf પ્રોજેક્ટ ખોલી શકો છો અને જ્યાં સુધી સપોર્ટ લોજિક જનરેશન ન મળે ત્યાં સુધી સંકલન કરી શકો છો.tage.
b પર નેવિગેટ કરોample_dir>/simulation/setup_scripts ડિરેક્ટરી.
c નીચેનો આદેશ ચલાવો: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf - તમારી પસંદગીના સપોર્ટેડ સિમ્યુલેટર માટે સિમ્યુલેશન સ્ક્રિપ્ટ ચલાવો. સ્ક્રિપ્ટ સિમ્યુલેટરમાં ટેસ્ટબેન્ચનું સંકલન કરે છે અને ચલાવે છે. ટેબલનો સંદર્ભ લો ટેસ્ટબેન્ચનું અનુકરણ કરવાના પગલાં.
નોંધ: સિમ્યુલેશન માટે VHDL ભાષા સપોર્ટ માત્ર QuestaSim અને VCS MX સિમ્યુલેટર સાથે ઉપલબ્ધ છે. સિમ્યુલેશન માટે વેરિલોગ ભાષા સપોર્ટ કોષ્ટકમાં સૂચિબદ્ધ બધા સિમ્યુલેટર માટે ઉપલબ્ધ છે: ટેસ્ટબેન્ચનું અનુકરણ કરવાના પગલાં. - પરિણામોનું વિશ્લેષણ કરો. સફળ ટેસ્ટબેન્ચ પેકેટો મોકલે છે અને મેળવે છે અને "પાસ કરેલ" દર્શાવે છે.
કોષ્ટક 3. ટેસ્ટબેન્ચનું અનુકરણ કરવાના પગલાં
| સિમ્યુલેટર | સૂચનાઓ |
| ક્વેસ્ટાસિમ | આદેશ વાક્યમાં, vsim -do run_vsim.do ટાઈપ કરો જો તમે QuestaSim GUI લાવ્યા વગર સિમ્યુલેટ કરવાનું પસંદ કરો છો, તો vsim -c -do run_vsim.do ટાઈપ કરો. |
| વીસીએસ | આદેશ વાક્યમાં, sh run_vcs.sh લખો • નેવિગેટ કરોample_dir>/simulation/setup_scripts/ synopsys/vcs અને નીચેનો આદેશ ચલાવો: sh run_vcs.sh |
| VCS MX | આદેશ વાક્યમાં, sh run_vcsmx.sh લખો |
| રિવેરા-પ્રો | આદેશ વાક્યમાં, vsim -c -do run_rivierapro.tcl લખો નોંધ: માત્ર ઇન્ટેલ સ્ટ્રેટિક્સ 10 H-ટાઇલ ડિઝાઇન ભિન્નતામાં સપોર્ટેડ છે. |
| એક્સેલિયમ(1) | આદેશ વાક્યમાં, sh run_xcelium.sh લખો |
- આ સિમ્યુલેટર eCPRI Intel FPGA IP ડિઝાઇન એક્સ માટે સપોર્ટેડ નથીample જનરેટ કરેલ IWF સુવિધા સક્ષમ છે.
Sample આઉટપુટ: નીચેના એસample આઉટપુટ eCPRI IP ડિઝાઇન એક્સના સફળ સિમ્યુલેશન ટેસ્ટ રનને દર્શાવે છેampચેનલોની સંખ્યા = 4 સાથે સક્ષમ IWF સુવિધા વિના le:
# RX સંરેખણ માટે રાહ જોઈ રહ્યું છે
# RX ડેસ્ક્યુ લૉક કરેલું
# RX લેન ગોઠવણી લૉક
# લિંક ફોલ્ટ ક્લિયર થવાની રાહ જોઈ રહ્યાં છીએ
# લિંક ખામી સ્પષ્ટ
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 0: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 0: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 0: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 0: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 0: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 0: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 0: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 0: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 0: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 0: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 0: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 0: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 0: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 0: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 0: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 0: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 0: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 0: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 0: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 0: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 0: 2
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 1: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 1: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 1: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 1: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 1: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 1: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 1: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 1: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 1: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 1: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 1: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 1: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 1: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 1: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 1: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 1: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 1: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 1: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 1: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 1: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 1: 2
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 2: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 2: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 2: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 2: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 2: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 2: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 2: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 2: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 2: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 2: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 2: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 2: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 2: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 2: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 2: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 2: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 2: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 2: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 2: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 2: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 2: 2
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 3: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 3: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 3: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 3: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 3: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 3: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 3: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 3: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 3: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 3: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 3: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 3: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 3: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 3: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 3: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 3: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 3: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 3: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 3: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 3: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 3: 2
#_________________________________________________________
# માહિતી: રીસેટ સ્થિતિ બહાર
#_________________________________________________________
#
#
# ચેનલ 0 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 0 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 0 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 0 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય RX EOPs ગણતરી : 0
# ચેનલ 1 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 1 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 1 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 1 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય RX EOPs ગણતરી : 0
# ચેનલ 2 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 2 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 2 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 2 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય RX EOPs ગણતરી : 0
# ચેનલ 3 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 3 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 3 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 3 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય RX EOPs ગણતરી : 0
#_________________________________________________________
# માહિતી: પેકેટો ટ્રાન્સમિટ કરવાનું શરૂ કરો
#_________________________________________________________
#
#
# માહિતી: ચેનલ 0 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 0 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 0 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 0 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 0 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 0 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 1 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 1 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 1 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 1 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 1 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 1 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 2 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 2 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 2 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 2 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 2 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 2 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 3 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 3 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 3 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 3 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 3 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 3 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
#_________________________________________________________
# માહિતી: પેકેટો ટ્રાન્સમિટ કરવાનું બંધ કરો
#_________________________________________________________
#
#
#_________________________________________________________
# માહિતી: પેકેટના આંકડા તપાસી રહ્યા છીએ
#_________________________________________________________
#
#
# ચેનલ 0 eCPRI SOPs પ્રસારિત: 300
# ચેનલ 0 eCPRI EOPs પ્રસારિત: 300
# ચેનલ 0 eCPRI SOPs પ્રાપ્ત: 300
# ચેનલ 0 eCPRI EOPs પ્રાપ્ત: 300
# ચેનલ 0 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 0 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 0 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 0 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 0 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 0 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 0 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 0 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 0 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 0 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 0 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 0 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 0 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
# ચેનલ 1 eCPRI SOPs પ્રસારિત: 300
# ચેનલ 1 eCPRI EOPs પ્રસારિત: 300
# ચેનલ 1 eCPRI SOPs પ્રાપ્ત: 300
# ચેનલ 1 eCPRI EOPs પ્રાપ્ત: 300
# ચેનલ 1 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 1 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 1 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 1 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 1 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 1 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 1 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 1 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 1 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 1 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 1 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 1 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 1 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
# ચેનલ 2 eCPRI SOPs પ્રસારિત: 300
# ચેનલ 2 eCPRI EOPs પ્રસારિત: 300
# ચેનલ 2 eCPRI SOPs પ્રાપ્ત: 300
# ચેનલ 2 eCPRI EOPs પ્રાપ્ત: 300
# ચેનલ 2 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 2 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 2 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 2 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 2 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 2 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 2 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 2 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 2 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 2 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 2 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 2 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 2 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
# ચેનલ 3 eCPRI SOPs પ્રસારિત: 300
# ચેનલ 3 eCPRI EOPs પ્રસારિત: 300
# ચેનલ 3 eCPRI SOPs પ્રાપ્ત: 300
# ચેનલ 3 eCPRI EOPs પ્રાપ્ત: 300
# ચેનલ 3 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 3 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 3 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 3 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 3 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 3 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 3 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 3 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 3 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 3 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 3 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 3 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 3 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
#_________________________________________________________
# માહિતી: ટેસ્ટ પાસ થઈ
#
#_________________________________________________________
Sample આઉટપુટ: નીચેના એસample આઉટપુટ eCPRI IP ડિઝાઇન એક્સના સફળ સિમ્યુલેશન ટેસ્ટ રનને દર્શાવે છેampચેનલોની સંખ્યા = 4 સાથે સક્ષમ IWF સુવિધા સાથે le:
# CPRI TX સક્ષમ કરો
# CPRI ચેનલ 0 L1_CONFIG : 00000001
# CPRI ચેનલ 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ચેનલ 1 L1_CONFIG : 00000001
# CPRI ચેનલ 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ચેનલ 2 L1_CONFIG : 00000001
# CPRI ચેનલ 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ચેનલ 3 L1_CONFIG : 00000001
# CPRI ચેનલ 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX સંરેખણ માટે રાહ જોઈ રહ્યું છે
# RX ડેસ્ક્યુ લૉક કરેલું
# RX લેન ગોઠવણી લૉક
# લિંક ફોલ્ટ ક્લિયર થવાની રાહ જોઈ રહ્યાં છીએ
# લિંક ખામી સ્પષ્ટ
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 0: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 0: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 0: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 0: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 0: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 0: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 0: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 0: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 0: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 0: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 0: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 0: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 0: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 0: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 0: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 0: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 0: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 0: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 0: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 0: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 0: 2
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 1: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 1: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 1: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 1: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 1: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 1: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 1: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 1: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 1: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 1: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 1: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 1: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 1: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 1: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 1: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 1: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 1: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 1: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 1: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 1: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 1: 2
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 2: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 2: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 2: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 2: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 2: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 2: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 2: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 2: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 2: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 2: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 2: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 2: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 2: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 2: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 2: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 2: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 2: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 2: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 2: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 2: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 2: 2
# MAC સ્ત્રોત સરનામું 0_0 ચેનલ 3: 33445566
# MAC સ્ત્રોત સરનામું 0_1 ચેનલ 3: 00007788
# MAC ગંતવ્ય સરનામું 0_0 ચેનલ 3: 33445566
# MAC ગંતવ્ય સરનામું 0_1 ચેનલ 3: 00007788
# MAC ગંતવ્ય સરનામું 1_0 ચેનલ 3: 11223344
# MAC ગંતવ્ય સરનામું 1_1 ચેનલ 3: 00005566
# MAC ગંતવ્ય સરનામું 2_0 ચેનલ 3: 22334455
# MAC ગંતવ્ય સરનામું 2_1 ચેનલ 3: 00006677
# MAC ગંતવ્ય સરનામું 3_0 ચેનલ 3: 44556677
# MAC ગંતવ્ય સરનામું 3_1 ચેનલ 3: 00008899
# MAC ગંતવ્ય સરનામું 4_0 ચેનલ 3: 66778899
# MAC ગંતવ્ય સરનામું 4_1 ચેનલ 3: 0000aabb
# MAC ગંતવ્ય સરનામું 5_0 ચેનલ 3: 778899aa
# MAC ગંતવ્ય સરનામું 5_1 ચેનલ 3: 0000bbcc
# MAC ગંતવ્ય સરનામું 6_0 ચેનલ 3: 8899aabb
# MAC ગંતવ્ય સરનામું 6_1 ચેનલ 3: 0000ccdd
# MAC ગંતવ્ય સરનામું 7_0 ચેનલ 3: 99aabbcc
# MAC ગંતવ્ય સરનામું 7_1 ચેનલ 3: 0000ddee
# eCPRI સામાન્ય નિયંત્રણ ચેનલ 3: 00000041
# ઇન્ટરપ્ટ eCPRI સામાન્ય નિયંત્રણ ચેનલ 3: 00000241 સક્ષમ કરો
# eCPRI સંસ્કરણ ચેનલ 3: 2
# HSYNC લિંક અપ સ્ટેટ હાંસલ કરવા CPRIની રાહ જોઈ રહ્યાં છીએ
# CPRI ચેનલ 0 HSYNC સ્થિતિ પ્રાપ્ત કરી
# CPRI ચેનલ 1 HSYNC સ્થિતિ પ્રાપ્ત કરી
# CPRI ચેનલ 2 HSYNC સ્થિતિ પ્રાપ્ત કરી
# CPRI ચેનલ 3 HSYNC સ્થિતિ પ્રાપ્ત કરી
# 11100250000 નેગો_બિટરેટ_પૂર્ણ કરવા માટે 1 લખો
# 11100650000 મતદાન PROT_VER ચેનલ 0
#_________________________________________________________
# 11100850000 મતદાન નોંધણી: a0000010
#_________________________________________________________
# 13105050000 મતદાન PROT_VER ચેનલ 1
#_________________________________________________________
# 13105250000 મતદાન નોંધણી: a0800010
#_________________________________________________________
# 13105950000 મતદાન PROT_VER ચેનલ 2
#_________________________________________________________
# 13106150000 મતદાન નોંધણી: a1000010
#_________________________________________________________
# 13106850000 મતદાન PROT_VER ચેનલ 3
#_________________________________________________________
# 13107050000 મતદાન નોંધણી: a1800010
#_________________________________________________________
# 13107750000 nego_protol_complete પર 1 લખો
# 13108150000 મતદાન CM_STATUS.rx_fast_cm_ptr_valid ચેનલ 0
#_________________________________________________________
# 13108350000 મતદાન નોંધણી: a0000020
#_________________________________________________________
# 14272050000 મતદાન CM_STATUS.rx_fast_cm_ptr_valid ચેનલ 1
#_________________________________________________________
# 14272250000 મતદાન નોંધણી: a0800020
#_________________________________________________________
# 14272950000 મતદાન CM_STATUS.rx_fast_cm_ptr_valid ચેનલ 2
#_________________________________________________________
# 14273150000 મતદાન નોંધણી: a1000020
#_________________________________________________________
# 14273850000 મતદાન CM_STATUS.rx_fast_cm_ptr_valid ચેનલ 3
#_________________________________________________________
# 14274050000 મતદાન નોંધણી: a1800020
#_________________________________________________________
# 14274750000 nego_cm_complete પર 1 લખો
# 14275150000 nego_vss_complete માટે 1 લખો
# HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ કરવા CPRI ચેનલ 0 ની રાહ જોઈ રહ્યાં છીએ
# CPRI ચેનલ 0 HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ
# HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ કરવા CPRI ચેનલ 1 ની રાહ જોઈ રહ્યાં છીએ
# CPRI ચેનલ 1 HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ
# HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ કરવા CPRI ચેનલ 2 ની રાહ જોઈ રહ્યાં છીએ
# CPRI ચેનલ 2 HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ
# HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ કરવા CPRI ચેનલ 3 ની રાહ જોઈ રહ્યાં છીએ
# CPRI ચેનલ 3 HSYNC અને સ્ટાર્ટઅપ સિક્વન્સ FSM STATE_F હાંસલ
#_________________________________________________________
# માહિતી: રીસેટ સ્થિતિ બહાર
#_________________________________________________________
#
#
# ચેનલ 0 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 0 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 0 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 0 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 0 બાહ્ય RX EOPs ગણતરી : 0
# ચેનલ 1 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 1 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 1 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 1 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 1 બાહ્ય RX EOPs ગણતરી : 0
# ચેનલ 2 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 2 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 2 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 2 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 2 બાહ્ય RX EOPs ગણતરી : 0
# ચેનલ 3 eCPRI TX SOPs ગણતરી : 0
# ચેનલ 3 eCPRI TX EOPs ગણતરી : 0
# ચેનલ 3 eCPRI RX SOPs ગણતરી : 0
# ચેનલ 3 eCPRI RX EOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય PTP TX SOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય PTP TX EOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય MISC TX SOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય MISC TX EOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય RX SOPs ગણતરી : 0
# ચેનલ 3 બાહ્ય RX EOPs ગણતરી : 0
#_________________________________________________________
# માહિતી: પેકેટો ટ્રાન્સમિટ કરવાનું શરૂ કરો
#_________________________________________________________
#
#
# માહિતી: ચેનલ 0 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 0 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 0 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 0 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 0 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 0 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 1 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 1 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 1 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 1 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 1 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 1 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 2 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 2 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 2 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 2 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 2 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 2 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 3 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થવાની રાહ જોઈ રહ્યાં છીએ
# માહિતી: ચેનલ 3 eCPRI TX ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 3 eCPRI એક્સટર્નલ TX PTP ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 3 eCPRI બાહ્ય TX PTP ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
# માહિતી: ચેનલ 3 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફરની રાહ જોઈ રહ્યાં છીએ
પૂર્ણ
# માહિતી: ચેનલ 3 eCPRI બાહ્ય TX વિવિધ ટ્રાફિક ટ્રાન્સફર પૂર્ણ થયું
#_________________________________________________________
# માહિતી: પેકેટો ટ્રાન્સમિટ કરવાનું બંધ કરો
#_________________________________________________________
#
#
#_________________________________________________________
# માહિતી: પેકેટના આંકડા તપાસી રહ્યા છીએ
#_________________________________________________________
#
#
# ચેનલ 0 eCPRI SOPs પ્રસારિત: 50
# ચેનલ 0 eCPRI EOPs પ્રસારિત: 50
# ચેનલ 0 eCPRI SOPs પ્રાપ્ત: 50
# ચેનલ 0 eCPRI EOPs પ્રાપ્ત: 50
# ચેનલ 0 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 0 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 0 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 0 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 0 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 0 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 0 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 0 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 0 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 0 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 0 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 0 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 0 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
# ચેનલ 1 eCPRI SOPs પ્રસારિત: 50
# ચેનલ 1 eCPRI EOPs પ્રસારિત: 50
# ચેનલ 1 eCPRI SOPs પ્રાપ્ત: 50
# ચેનલ 1 eCPRI EOPs પ્રાપ્ત: 50
# ચેનલ 1 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 1 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 1 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 1 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 1 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 1 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 1 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 1 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 1 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 1 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 1 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 1 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 1 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
# ચેનલ 2 eCPRI SOPs પ્રસારિત: 50
# ચેનલ 2 eCPRI EOPs પ્રસારિત: 50
# ચેનલ 2 eCPRI SOPs પ્રાપ્ત: 50
# ચેનલ 2 eCPRI EOPs પ્રાપ્ત: 50
# ચેનલ 2 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 2 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 2 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 2 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 2 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 2 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 2 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 2 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 2 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 2 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 2 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 2 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 2 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
# ચેનલ 3 eCPRI SOPs પ્રસારિત: 50
# ચેનલ 3 eCPRI EOPs પ્રસારિત: 50
# ચેનલ 3 eCPRI SOPs પ્રાપ્ત: 50
# ચેનલ 3 eCPRI EOPs પ્રાપ્ત: 50
# ચેનલ 3 eCPRI ભૂલની જાણ થઈ: 0
# ચેનલ 3 બાહ્ય PTP SOPs પ્રસારિત: 4
# ચેનલ 3 બાહ્ય PTP EOPs પ્રસારિત: 4
# ચેનલ 3 બાહ્ય MISC SOPs પ્રસારિત: 128
# ચેનલ 3 બાહ્ય MISC EOPs પ્રસારિત: 128
# ચેનલ 3 બાહ્ય એસઓપી પ્રાપ્ત થઈ છે: 132
# ચેનલ 3 બાહ્ય EOP પ્રાપ્ત: 132
# ચેનલ 3 બાહ્ય PTP SOPs પ્રાપ્ત: 4
# ચેનલ 3 બાહ્ય PTP EOPs પ્રાપ્ત: 4
# ચેનલ 3 બાહ્ય MISC SOPs પ્રાપ્ત: 128
# ચેનલ 3 બાહ્ય MISC EOPs પ્રાપ્ત: 128
# ચેનલ 3 બાહ્ય ભૂલની જાણ થઈ: 0
# ચેનલ 3 એક્સટર્નલ ટાઈમસ્ટેસ્ટamp ફિંગરપ્રિન્ટ ભૂલની જાણ થઈ: 0
#_________________________________________________________
# માહિતી: ટેસ્ટ પાસ થઈ
#
#_________________________________________________________
1.4.1. ઇથરનેટ IP પર ડાયનેમિક પુનઃરૂપરેખાંકન સક્ષમ કરવું
મૂળભૂત રીતે, ગતિશીલ પુનઃરૂપરેખાંકન eCPRI IP ડિઝાઇન એક્સમાં અક્ષમ છેample અને તે માત્ર Intel Stratix 10 (E-tile અને H-tile) અને Intel Agilex 7 (E-tile) ડિઝાઇનને જ લાગુ પડે છે.ampલેસ
- જનરેટ કરેલમાંથી test_wrapper.sv માં નીચેની લીટી માટે જુઓample_dir>/સિમ્યુલેશન/ટેસ્ટબેન્ચ ડિરેક્ટરી: પેરામીટર ETHERNET_DR_EN = 0
- મૂલ્ય 0 થી 1 બદલો: પરિમાણ ETHERNET_DR_EN = 1
- સમાન જનરેટેડ એક્સનો ઉપયોગ કરીને સિમ્યુલેશનને ફરીથી ચલાવોampલે ડિઝાઇન ડિરેક્ટરી.
1.5. સંકલન-માત્ર પ્રોજેક્ટનું સંકલન
સંકલન-માત્રનું સંકલન કરવા માટે ભૂતપૂર્વampપ્રોજેક્ટ માટે, આ પગલાં અનુસરો:
- સંકલન ડિઝાઇનની ખાતરી કરો ભૂતપૂર્વampપેઢી પૂર્ણ થઈ ગઈ છે.
- Intel Quartus Prime Pro Edition સોફ્ટવેરમાં, Intel Quartus Prime Pro Edition પ્રોજેક્ટ ખોલોample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- પ્રોસેસિંગ મેનૂ પર, સંકલન શરૂ કરો ક્લિક કરો.
- સફળ સંકલન પછી, તમારા ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રો એડિશન સત્રમાં સમય અને સંસાધનના ઉપયોગ માટેના અહેવાલો ઉપલબ્ધ છે. પ્રોસેસિંગ પર જાઓ ➤ કમ્પાઇલેશન રિપોર્ટ ટુ view સંકલન પર વિગતવાર અહેવાલ.
સંબંધિત માહિતી
બ્લોક-આધારિત ડિઝાઇન પ્રવાહ
1.6. ડિઝાઇનનું સંકલન અને રૂપરેખાંકન Exampલે હાર્ડવેર માં
હાર્ડવેર ડિઝાઇનનું સંકલન કરવા માટે ભૂતપૂર્વample અને તેને તમારા Intel ઉપકરણ પર ગોઠવો, આ પગલાં અનુસરો:
- ખાતરી કરો કે હાર્ડવેર ડિઝાઇન ભૂતપૂર્વampપેઢી પૂર્ણ થઈ ગઈ છે.
- Intel Quartus Prime Pro Edition સોફ્ટવેરમાં, Intel Quartus Prime પ્રોજેક્ટ ખોલોample_dir>/synthesis/quartus/ecpri_ed.qpf.
- પ્રોસેસિંગ મેનૂ પર, સંકલન શરૂ કરો ક્લિક કરો.
- સફળ સંકલન પછી, a .sof file માં ઉપલબ્ધ છેample_dir>/સંશ્લેષણ/ક્વાર્ટસ/આઉટપુટ_files ડિરેક્ટરી. હાર્ડવેર ડિઝાઇન એક્સ પ્રોગ્રામ કરવા માટે આ પગલાં અનુસરોampલે ઇન્ટેલ FPGA ઉપકરણ પર:
a ડેવલપમેન્ટ કિટને હોસ્ટ કમ્પ્યુટર સાથે કનેક્ટ કરો.
b ક્લોક કંટ્રોલ એપ્લિકેશન લોંચ કરો, જે ડેવલપમેન્ટ કીટનો ભાગ છે અને ડિઝાઇન એક્સ માટે નવી ફ્રીક્વન્સીઝ સેટ કરો.ample નીચે ઘડિયાળ નિયંત્રણ એપ્લિકેશનમાં આવર્તન સેટિંગ છે:
• જો તમે Intel Stratix 10 GX SI ડેવલપમેન્ટ કિટ પર તમારી ડિઝાઇનને લક્ષ્યાંકિત કરી રહ્યાં છો:
— U5, OUT8- 100 MHz
— U6, OUT3- 322.265625 MHz
— U6, OUT4 અને OUT5- 307.2 MHz
• જો તમે Intel Stratix 10 TX SI ડેવલપમેન્ટ કિટ પર તમારી ડિઝાઇનને લક્ષ્યાંકિત કરી રહ્યાં છો:
— U1, CLK4- 322.265625 MHz (25G ડેટા રેટ માટે)
— U6- 156.25 MHz (10G ડેટા રેટ માટે)
— U3, OUT3- 100 MHz
— U3, OUT8- 153.6 MHz
• જો તમે Intel Agilex 7 F-Series Transceiver-SoC ડેવલપમેન્ટ કિટ પર તમારી ડિઝાઇનને લક્ષ્યાંકિત કરી રહ્યાં છો:
— U37, CLK1A- 100 MHz
— U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 MHz
• જો તમે Intel Arria 10 GX SI ડેવલપમેન્ટ કિટ પર તમારી ડિઝાઇનને લક્ષ્યાંકિત કરી રહ્યાં છો:
— U52, CLK0- 156.25 MHz
— U52, CLK1- 250 MHz
— U52, CLK3- 125 MHz
— Y5- 307.2 MHz
— Y6- 322.265625 MHz
c ટૂલ્સ મેનૂ પર, પ્રોગ્રામર પર ક્લિક કરો.
ડી. પ્રોગ્રામરમાં, હાર્ડવેર સેટઅપ પર ક્લિક કરો.
ઇ. પ્રોગ્રામિંગ ઉપકરણ પસંદ કરો.
f ડેવલપમેન્ટ કિટ પસંદ કરો અને ઉમેરો કે જેમાં તમારું Intel Quartus Prime Pro Edition સત્ર કનેક્ટ થઈ શકે.
g ખાતરી કરો કે મોડ J પર સેટ છેTAG.
h ઉપકરણ પસંદ કરો અને ઉપકરણ ઉમેરો ક્લિક કરો. પ્રોગ્રામર તમારા બોર્ડ પરના ઉપકરણો વચ્ચેના જોડાણોનો બ્લોક ડાયાગ્રામ દર્શાવે છે.
i .sof લોડ કરો file તમારા સંબંધિત Intel FPGA ઉપકરણ પર.
j એક્ઝિક્યુટેબલ અને લિંકિંગ ફોર્મેટ (.elf) લોડ કરો file તમારા ઇન્ટેલ સ્ટ્રેટિક્સ 10 અથવા
Intel Agilex 7 ઉપકરણ જો તમે 25G અને 10G વચ્ચે ડેટા રેટને સ્વિચ કરવા માટે ડાયનેમિક રિકોન્ફિગરેશન (DR) કરવાની યોજના ઘડી રહ્યા હોવ. એક્ઝિક્યુટેબલ અને લિંકિંગ ફોર્મેટ (.elf) પ્રોગ્રામિંગ જનરેટ અને ડાઉનલોડ કરવાની સૂચનાઓને અનુસરો File .elf જનરેટ કરવા માટે પૃષ્ઠ 38 પર file.
k તમારા .sof સાથેની પંક્તિમાં, .sof માટે પ્રોગ્રામ/કોન્ફિગર બોક્સને ચેક કરો. file.
l પ્રારંભ પર ક્લિક કરો.
સંબંધિત માહિતી
- બ્લોક-આધારિત ડિઝાઇન
- ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ પ્રોગ્રામર વપરાશકર્તા માર્ગદર્શિકા
- સિસ્ટમ કન્સોલ સાથે ડિઝાઇનનું વિશ્લેષણ અને ડિબગીંગ
- Intel Agilex 7 F-Series Transceiver-SoC ડેવલપમેન્ટ કિટ વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કીટ વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 TX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કીટ વપરાશકર્તા માર્ગદર્શિકા
- Intel Arria 10 GX ટ્રાન્સસીવર સિગ્નલ ઇન્ટિગ્રિટી ડેવલપમેન્ટ કિટ વપરાશકર્તા માર્ગદર્શિકા
1.7. eCPRI ઇન્ટેલ એફપીજીએ આઇપી ડિઝાઇનનું પરીક્ષણ કરી રહ્યું છેample
તમે eCPRI ઇન્ટેલ FPGA IP કોર ડિઝાઇનનું કમ્પાઇલ કર્યા પછીample અને તેને તમારા Intel FPGA ઉપકરણ પર ગોઠવો, તમે IP કોર અને તેના એમ્બેડેડ નેટિવ PHY IP કોર રજિસ્ટરને પ્રોગ્રામ કરવા માટે સિસ્ટમ કન્સોલનો ઉપયોગ કરી શકો છો.
સિસ્ટમ કન્સોલ ચાલુ કરવા અને હાર્ડવેર ડિઝાઇનનું પરીક્ષણ કરવા માટે example, આ પગલાં અનુસરો:
- હાર્ડવેર ડિઝાઇન પછી ભૂતપૂર્વample એ Intel ઉપકરણ પર ગોઠવેલ છે, Intel Quartus Prime Pro Edition સોફ્ટવેરમાં, Tools મેનુ પર, System Debugging Tools ➤ System Console પર ક્લિક કરો.
- Tcl કન્સોલ ફલકમાં, ડિરેક્ટરી બદલોample_dir>/ synthesis/quartus/hardware_test અને J સાથે કનેક્શન ખોલવા માટે નીચેનો આદેશ ટાઈપ કરોTAG માસ્ટર અને પરીક્ષણ શરૂ કરો:
• Intel Agilex 7 ડિઝાઇન માટે સ્ત્રોત ecpri_agilex.tcl
• ઇન્ટેલ સ્ટ્રેટિક્સ 10 ડિઝાઇન માટે સ્ત્રોત ecpri_s10.tcl
• Intel Arria 10 ડિઝાઇન માટે સ્ત્રોત ecpri_a10.tcl - તમારા ઇન્ટેલ સ્ટ્રેટિક્સ 10 અથવા ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ઉપકરણની વિવિધતાઓ માટે, તમારે .sof પ્રોગ્રામ કર્યા પછી એકવાર આંતરિક અથવા બાહ્ય લૂપબેક આદેશ કરવો આવશ્યક છે. file:
a flow.c માં TEST_MODE ચલને સંશોધિત કરો file લૂપબેક મોડ પસંદ કરવા માટે:પરીક્ષણ મોડ ક્રિયા 0 સીરીયલ લૂપબેક ફક્ત સિમ્યુલેશન માટે સક્ષમ 1 સીરીયલ લૂપબેક ફક્ત હાર્ડવેર માટે સક્ષમ 2 સીરીયલ લૂપબેક અને કેલિબ્રેશન 3 માત્ર માપાંકન જ્યારે પણ તમે flow.c ને બદલો ત્યારે તમારે NIOS II સોફ્ટવેરને ફરીથી કમ્પાઇલ અને પુનઃજનરેટ કરવું પડશે file.
b .elf ને પુનર્જીવિત કરો file અને બોર્ડને વધુ એક વખત પ્રોગ્રામ કરો અને .sof ને ફરીથી પ્રોગ્રામ કરો file. - સિસ્ટમ કન્સોલ સ્ક્રિપ્ટમાં સપોર્ટેડ આદેશો દ્વારા ડિઝાઇન ઓપરેશનનું પરીક્ષણ કરો. સિસ્ટમ કન્સોલ સ્ક્રિપ્ટ આંકડાઓ વાંચવા માટે ઉપયોગી આદેશો અને ડિઝાઇનમાં સક્ષમ સુવિધાઓ પ્રદાન કરે છે.
કોષ્ટક 4. સિસ્ટમ કન્સોલ સ્ક્રિપ્ટ આદેશો
| આદેશ | વર્ણન |
| લૂપ_ઓન | TX થી RX આંતરિક સીરીયલ લૂપબેકને સક્ષમ કરે છે. Intel Stratix 10 H-tile અને Intel Arria 10 ઉપકરણો માટે જ ઉપયોગ કરો. |
| લૂપ_ઓફ | TX થી RX આંતરિક સીરીયલ લૂપબેકને અક્ષમ કરે છે. Intel Stratix 10 H-tile અને Intel Arria 10 ઉપકરણો માટે જ ઉપયોગ કરો. |
| લિંક _ init _ int _1pbk | ટ્રાન્સસીવરની અંદર TX થી RX આંતરિક સીરીયલ લૂપબેકને સક્ષમ કરે છે અને ટ્રાન્સસીવર કેલિબ્રેશન ફ્લો કરે છે. ઇન્ટેલ સ્ટ્રેટિક્સ 10 ઇ-ટાઇલ અને ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ડિઝાઇનને જ લાગુ પડે છે. |
| લિંક _ init _ ext _1pbk | TX થી RX બાહ્ય લૂપબેકને સક્ષમ કરે છે અને ટ્રાન્સસીવર કેલિબ્રેશન ફ્લો કરે છે. ઇન્ટેલ સ્ટ્રેટિક્સ 10 ઇ-ટાઇલ અને ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ડિઝાઇનને જ લાગુ પડે છે. |
| ટ્રાફિક સામાન્ય નિષ્ક્રિય | ટ્રાફિક જનરેટર અને ચેકરને અક્ષમ કરે છે. |
| chkmac આંકડા | ઇથરનેટ MAC માટે આંકડા દર્શાવે છે. |
| વાંચો_પરીક્ષણ_આંકડા | ટ્રાફિક જનરેટર અને ચેકર્સ માટે ભૂલના આંકડા દર્શાવો. |
| ext _ સતત _ મોડ _en | સમગ્ર ડિઝાઇન સિસ્ટમને ફરીથી સેટ કરે છે, અને ટ્રાફિક જનરેટરને સતત ટ્રાફિક પેકેટ્સ જનરેટ કરવા માટે સક્ષમ કરે છે. |
| dr _ 25g _ થી _ lOg _etile | ઈથરનેટ MAC ના ડેટા રેટને 25G થી 10G પર સ્વિચ કરે છે. Intel Stratix 10 E-tile અને Intel Agilex 7 E-tile ઉપકરણો માટે જ ઉપયોગ કરો. |
| dr_25g_to_10g_htile | ઈથરનેટ MAC ના ડેટા રેટને 25G થી 10G પર સ્વિચ કરે છે. ફક્ત H-ટાઈલ ઉપકરણો માટે ઉપયોગ કરો |
| dr_10g_to_25g_etile | ઈથરનેટ MAC ના ડેટા રેટને 10G થી 25G પર સ્વિચ કરે છે. Intel Stratix 10 E-tile અને Intel Agilex 7 E-tile ઉપકરણો માટે જ ઉપયોગ કરો. |
| dr _ 25g _ થી _ lOg _htile | ઈથરનેટ MAC ના ડેટા રેટને 10G થી 25G માં સ્વિચ કરે છે. ફક્ત H-ટાઈલ ઉપકરણો માટે ઉપયોગ કરો. |
નીચેના એસample આઉટપુટ સફળ ટેસ્ટ રન દર્શાવે છે:
સિસ્ટમ કન્સોલ પ્રિન્ટઆઉટ (ચેનલોની સંખ્યા = 1)
ચેનલ 0 EXT PTP TX SOP સંખ્યા: 256
ચેનલ 0 EXT PTP TX EOP સંખ્યા: 256
ચેનલ 0 EXT MISC TX SOP કાઉન્ટ: 36328972
ચેનલ 0 EXT MISC TX EOP કાઉન્ટ: 36369511
ચેનલ 0 EXT RX SOP કાઉન્ટ: 36410364
ચેનલ 0 EXT RX EOP કાઉન્ટ: 36449971
ચેનલ 0 EXT તપાસનાર ભૂલો: 0
ચેનલ 0 EXT તપાસનાર ભૂલની ગણતરીઓ: 0
ચેનલ 0 EXT PTP ફિંગરપ્રિન્ટ ભૂલો: 0
ચેનલ 0 EXT PTP ફિંગરપ્રિન્ટ ભૂલની ગણતરીઓ: 0
ચેનલ 0 TX SOP કાઉન્ટ: 1337760
ચેનલ 0 TX EOP કાઉન્ટ: 1339229
ચેનલ 0 RX SOP કાઉન્ટ: 1340728
ચેનલ 0 RX EOP કાઉન્ટ: 1342555
ચેનલ 0 તપાસનાર ભૂલો: 0
ચેનલ 0 તપાસનાર ભૂલની ગણતરીઓ: 0
====================================================================================================
==============
ચેનલ 0 (Rx) માટે ઇથરનેટ મેકના આંકડા
====================================================================================================
==============
ફ્રેગમેન્ટેડ ફ્રેમ્સ : 0
જબરડ ફ્રેમ્સ : 0
FCS એરર ફ્રેમ્સ સાથે જમણું કદ: 0
મલ્ટિકાસ્ટ ડેટા એરર ફ્રેમ્સ : 0
બ્રોડકાસ્ટ ડેટા એરર ફ્રેમ્સ: 0
યુનિકાસ્ટ ડેટા એરર ફ્રેમ્સ : 0
64 બાઈટ ફ્રેમ્સ : 3641342
65 - 127 બાઈટ ફ્રેમ્સ : 0
128 - 255 બાઈટ ફ્રેમ્સ : 37404809
256 - 511 બાઈટ ફ્રેમ્સ : 29128650
512 - 1023 બાઈટ ફ્રેમ્સ : 0
1024 - 1518 બાઈટ ફ્રેમ્સ : 0
1519 - MAX બાઈટ ફ્રેમ્સ : 0
> MAX બાઈટ ફ્રેમ્સ : 0
મલ્ટિકાસ્ટ ડેટા ઓકે ફ્રેમ : 70174801
બ્રોડકાસ્ટ ડેટા ઓકે ફ્રેમ : 0
યુનિકાસ્ટ ડેટા ઓકે ફ્રેમ્સ : 0
મલ્ટિકાસ્ટ કંટ્રોલ ફ્રેમ્સ: 0
બ્રોડકાસ્ટ કંટ્રોલ ફ્રેમ્સ: 0
યુનિકાસ્ટ કંટ્રોલ ફ્રેમ્સ: 0
નિયંત્રણ ફ્રેમ્સ થોભાવો: 0
પેલોડ ઓક્ટેટ્સ ઓકે : 11505935812
ફ્રેમ ઓક્ટેટ્સ ઓકે : 12918701444
Rx મહત્તમ ફ્રેમ લંબાઈ: 1518
FCS એરર ફ્રેમ સાથે કોઈપણ કદ: 0
મલ્ટિકાસ્ટ કંટ્રોલ એરર ફ્રેમ : 0
બ્રોડકાસ્ટ કંટ્રોલ એરર ફ્રેમ : 0
યુનિકાસ્ટ કંટ્રોલ એરર ફ્રેમ્સ: 0
થોભાવો નિયંત્રણ ભૂલ ફ્રેમ્સ: 0
આરએક્સ ફ્રેમ શરૂ થાય છે: 70174801
નીચેના એસamp25G થી 10G DR ટેસ્ટ રન માટે le આઉટપુટ:
સિસ્ટમ કન્સોલ પ્રિન્ટઆઉટ (25G થી 10G DR ઇ-ટાઇલ)
ઇથરનેટ 25G -> 10G માટે ડાયનેમિક પુનઃરૂપરેખાંકન શરૂ કરો
DR સફળ 25G -> 10G
RX PHY રજિસ્ટર એક્સેસ: ઘડિયાળની આવર્તન તપાસી રહી છે (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY સ્થિતિ મતદાન
Rx ફ્રીક્વન્સી લૉક સ્ટેટસ 0x0000000f
મેક ઘડિયાળ બરાબર સ્થિતિમાં છે? 0x00000001
Rx ફ્રેમ ભૂલ? 0x00000000
Rx PHY સંપૂર્ણપણે સંરેખિત? 0x00000001
મતદાન RX PHY ચેનલ 0
RX PHY ચેનલ 0 ચાલુ છે અને ચાલી રહી છે!
સિસ્ટમ કન્સોલ પ્રિન્ટઆઉટ (25G થી 10G DR H-ટાઇલ)
ઇથરનેટ 25G -> 10G માટે ડાયનેમિક પુનઃરૂપરેખાંકન શરૂ કરો
DR સફળ 25G -> 10G
RX PHY રજિસ્ટર એક્સેસ: ઘડિયાળની આવર્તન તપાસી રહી છે (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY સ્થિતિ મતદાન
Rx ફ્રીક્વન્સી લૉક સ્ટેટસ 0x00000001
મેક ઘડિયાળ બરાબર સ્થિતિમાં છે? 0x00000007
Rx ફ્રેમ ભૂલ? 0x00000000
Rx PHY સંપૂર્ણપણે સંરેખિત? 0x00000001
મતદાન RX PHY ચેનલ 0
RX PHY ચેનલ 0 ચાલુ છે અને ચાલી રહી છે!
સિસ્ટમ કન્સોલ પ્રિન્ટઆઉટ (10G થી 25G DR ઇ-ટાઇલ)
ઇથરનેટ 10G -> 25G માટે ડાયનેમિક પુનઃરૂપરેખાંકન શરૂ કરો
DR સફળ 10G -> 25G
RX PHY રજિસ્ટર એક્સેસ: ઘડિયાળની આવર્તન તપાસી રહી છે (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY સ્થિતિ મતદાન
Rx ફ્રીક્વન્સી લૉક સ્ટેટસ 0x0000000f
મેક ઘડિયાળ બરાબર સ્થિતિમાં છે? 0x00000001
Rx ફ્રેમ ભૂલ? 0x00000000
Rx PHY સંપૂર્ણપણે સંરેખિત? 0x00000001
મતદાન RX PHY ચેનલ 0
RX PHY ચેનલ 0 ચાલુ છે અને ચાલી રહી છે!
સિસ્ટમ કન્સોલ પ્રિન્ટઆઉટ (10G થી 25G DR H-ટાઇલ)
ઇથરનેટ 10G -> 25G માટે ડાયનેમિક પુનઃરૂપરેખાંકન શરૂ કરો
DR સફળ 10G -> 25G
RX PHY રજિસ્ટર એક્સેસ: ઘડિયાળની આવર્તન તપાસી રહી છે (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY સ્થિતિ મતદાન
Rx ફ્રીક્વન્સી લૉક સ્ટેટસ 0x00000001
મેક ઘડિયાળ બરાબર સ્થિતિમાં છે? 0x00000007
Rx ફ્રેમ ભૂલ? 0x00000000
Rx PHY સંપૂર્ણપણે સંરેખિત? 0x00000001
મતદાન RX PHY ચેનલ 0
RX PHY ચેનલ 0 ચાલુ છે અને ચાલી રહી છે!
ડિઝાઇન Exampલે વર્ણન
ડિઝાઇન ભૂતપૂર્વample eCPRI IP કોરની મૂળભૂત કાર્યક્ષમતા દર્શાવે છે. તમે Ex માંથી ડિઝાઇન જનરેટ કરી શકો છોampeCPRI IP પેરામીટર એડિટરમાં le ડિઝાઇન ટેબ.
2.1. લક્ષણો
- આંતરિક TX અને RX સીરીયલ લૂપબેક મોડ
- આપમેળે નિશ્ચિત કદના પેકેટો જનરેટ કરે છે
- મૂળભૂત પેકેટ ચકાસણી ક્ષમતાઓ
- ડિઝાઇનને ચકાસવા માટે સિસ્ટમ કન્સોલનો ઉપયોગ કરવાની ક્ષમતા અને ફરીથી પરીક્ષણ હેતુ માટે ડિઝાઇનને ફરીથી સેટ કરવાની ક્ષમતા
2.2. હાર્ડવેર ડિઝાઇન Example
આકૃતિ 5. ઇન્ટેલ એજિલેક્સ 7 એફ-ટાઇલ ડિઝાઇન માટે બ્લોક ડાયાગ્રામ
ઇન્ટેલ કોર્પોરેશન. બધા હકો અમારી પાસે રાખેલા છે. ઇન્ટેલ, ઇન્ટેલ લોગો અને અન્ય ઇન્ટેલ ચિહ્નો ઇન્ટેલ કોર્પોરેશન અથવા તેની પેટાકંપનીઓના ટ્રેડમાર્ક છે. ઇન્ટેલ તેના FPGA અને સેમિકન્ડક્ટર ઉત્પાદનોના પ્રદર્શનને ઇન્ટેલની માનક વોરંટી અનુસાર વર્તમાન સ્પષ્ટીકરણો માટે વોરંટી આપે છે, પરંતુ સૂચના વિના કોઈપણ સમયે કોઈપણ ઉત્પાદનો અને સેવાઓમાં ફેરફાર કરવાનો અધિકાર અનામત રાખે છે. Intel દ્વારા લેખિતમાં સ્પષ્ટપણે સંમત થયા સિવાય અહીં વર્ણવેલ કોઈપણ માહિતી, ઉત્પાદન અથવા સેવાના એપ્લિકેશન અથવા ઉપયોગથી ઉદ્ભવતી કોઈ જવાબદારી અથવા જવાબદારી સ્વીકારતી નથી. ઇન્ટેલ ગ્રાહકોને સલાહ આપવામાં આવે છે કે તેઓ કોઈપણ પ્રકાશિત માહિતી પર આધાર રાખતા પહેલા અને ઉત્પાદનો અથવા સેવાઓ માટે ઓર્ડર આપતા પહેલા ઉપકરણ વિશિષ્ટતાઓનું નવીનતમ સંસ્કરણ પ્રાપ્ત કરે. *અન્ય નામો અને બ્રાન્ડનો દાવો અન્યની મિલકત તરીકે થઈ શકે છે.
આકૃતિ 6. ઇન્ટેલ એજીલેક્સ 7 ઇ-ટાઇલ ડિઝાઇન માટે બ્લોક ડાયાગ્રામ
આકૃતિ 7. ઇન્ટેલ સ્ટ્રેટિક્સ 10 ડિઝાઇન માટે બ્લોક ડાયાગ્રામ
આકૃતિ 8. ઇન્ટેલ એરિયા 10 ડિઝાઇન્સ માટે બ્લોક ડાયાગ્રામ
eCPRI ઇન્ટેલ FPGA IP કોર હાર્ડવેર ડિઝાઇન ભૂતપૂર્વample નીચેના ઘટકો સમાવે છે:
eCPRI ઇન્ટેલ FPGA IP
ટેસ્ટ રેપરમાં ઇન્સ્ટન્ટેટેડ ટ્રાફિક જનરેટર પાસેથી ડેટા સ્વીકારે છે અને ઇથરનેટ IP પર ટ્રાન્સમિશન માટે ડેટાને પ્રાથમિકતા આપે છે.
ઈથરનેટ આઈપી
- એફ-ટાઇલ ઇથરનેટ ઇન્ટેલ એફપીજીએ હાર્ડ આઇપી (ઇન્ટેલ એજિલેક્સ 7 એફ-ટાઇલ ડિઝાઇન)
- ઇથરનેટ માટે ઇ-ટાઇલ હાર્ડ આઇપી (ઇન્ટેલ સ્ટ્રેટિક્સ 10 અથવા ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ડિઝાઇન)
- 25G ઇથરનેટ ઇન્ટેલ સ્ટ્રેટિક્સ 10 આઇપી (ઇન્ટેલ સ્ટ્રેટિક્સ 10 એચ-ટાઇલ ડિઝાઇન)
- લો લેટન્સી ઈથરનેટ 10G MAC IP અને 1G/10GbE અને 10GBASE-KR PHY IP (Intel Arria 10 ડિઝાઇન)
પ્રિસિઝન ટાઈમ પ્રોટોકોલ (PTP) IO PLL
ઇન્ટેલ સ્ટ્રેટિક્સ 10 એચ-ટાઇલ ડિઝાઇન માટે-ઇથરનેટ IP અને s માટે લેટન્સી માપન ઇનપુટ સંદર્ભ ઘડિયાળ જનરેટ કરવા માટે ઇન્સ્ટન્ટિએટેડampટાઈમ ઓફ ડે (TOD) સબસિસ્ટમ માટે લિંગ ઘડિયાળ. IEEE 25v10 સુવિધા સાથે 1588G ઇથરનેટ ઇન્ટેલ સ્ટ્રેટિક્સ 2 FPGA IP માટે, ઇન્ટેલ તમને આ ઘડિયાળની આવર્તન 156.25 MHz પર સેટ કરવાની ભલામણ કરે છે. વધુ માહિતી માટે 25G ઇથરનેટ ઇન્ટેલ સ્ટ્રેટિક્સ 10 FPGA IP વપરાશકર્તા માર્ગદર્શિકા અને ઇન્ટેલ સ્ટ્રેટિક્સ 10 H-ટાઇલ ટ્રાન્સસીવર PHY વપરાશકર્તા માર્ગદર્શિકાનો સંદર્ભ લો. PTP IOPLL eCPRI IO PLL માટે કેસ્કેડીંગ રીતે સંદર્ભ ઘડિયાળ પણ જનરેટ કરે છે.
Intel Arria 10 ડિઝાઈન માટે- લો લેટન્સી ઈથરનેટ 312.5G MAC IP અને 156.25G/10GbE, 1GBASE-KR PHY IP, અને eCPRI IP માટે 10 MHz અને 10 MHz ઘડિયાળ ઇનપુટ્સ જનરેટ કરવા માટે તાત્કાલિક.
eCPRI IO PLL
eCPRI IP ના TX અને RX પાથ અને ટ્રાફિક ઘટકો માટે 390.625 MHz નું કોર ક્લોક આઉટપુટ જનરેટ કરે છે.
નોંધ: આ બ્લોક ફક્ત ડિઝાઇનમાં જ હાજર છેampLe Intel Stratix 10 અને Intel Agilex 7 ઉપકરણો માટે જનરેટ કરવામાં આવ્યું છે.
નોંધ: eCPRI Intel FPGA IP નું વર્તમાન સંસ્કરણ ફક્ત IWF પ્રકાર 0 ને સપોર્ટ કરે છે. Intel Agilex 7 F-tile ઉપકરણો માટે, ડિઝાઇન ભૂતપૂર્વampIWF સુવિધા સાથે સક્ષમ કરેલું સમર્થિત નથી.
જ્યારે તમે ડિઝાઇન ભૂતપૂર્વampઇન્ટરવર્કિંગ ફંક્શન (IWF) સપોર્ટ પેરામીટર બંધ કરીને, પેકેટ ટ્રાફિક ટેસ્ટ રેપર મોડ્યુલથી સીધા એવલોન-ST સ્ત્રોત/સિંક ઇન્ટરફેસ અને eCPRI IP ના બાહ્ય સ્ત્રોત/સિંક ઇન્ટરફેસ તરફ વહે છે.
જ્યારે તમે ડિઝાઇન ભૂતપૂર્વampઇન્ટરવર્કિંગ ફંક્શન (IWF) સપોર્ટ પેરામીટર ચાલુ, પેકેટ ટ્રાફિક પહેલા ટેસ્ટ રેપર મોડ્યુલમાંથી IWF એવલોન-ST સિંક ઇન્ટરફેસ તરફ વહે છે અને IWF એવલોન-ST સ્ત્રોત ઇન્ટરફેસમાંથી eCPRI એવલોન-ST સ્ત્રોત/સિંક પર આવે છે. ઇન્ટરફેસ
CPRI MAC
યુઝર પ્લેન, C&M, અને REC અને RE તેમજ બે RE વચ્ચેની સિંક્રનાઇઝેશન માહિતીના ટ્રાન્સફર માટે લેયર 1 અને સંપૂર્ણ લેયર 2 પ્રોટોકોલનો CPRI ભાગ પૂરો પાડે છે.
CPRI PHY
સીપીઆરઆઈ લેયર 1 પ્રોટોકોલનો બાકીનો ભાગ લાઈન કોડિંગ, બીટ એરર સુધારણા/શોધ વગેરે માટે પૂરો પાડે છે.
નોંધ: આ ડિઝાઇનમાં સીપીઆરઆઈ મેક અને સીપીઆરઆઈ PHY આઈપી ત્વરિત છેample માત્ર સિંગલ CPRI લાઇન રેટ 9.8 Gbps પર ચાલવા માટે ગોઠવેલ છે. ડિઝાઇન ભૂતપૂર્વample વર્તમાન પ્રકાશનમાં લાઇન રેટ ઓટો-વાટાઘાટને સમર્થન આપતું નથી.
ટેસ્ટ રેપર
ટ્રાફિક જનરેટર અને ચેકર્સનો સમાવેશ થાય છે જે નીચે પ્રમાણે eCPRI IP ના એવલોન સ્ટ્રીમિંગ (એવલોન-ST) ઇન્ટરફેસમાં ડેટા પેકેટના વિવિધ સેટ જનરેટ કરે છે:
- એવલોન-ST સ્ત્રોત/સિંક ઈન્ટરફેસ માટે eCPRI પેકેટ્સ (IWF સુવિધા અક્ષમ):
- માત્ર સંદેશ પ્રકાર 2 ને સપોર્ટ કરે છે.
— બેક-ટુ-બેક મોડ જનરેશન સાથે ઇન્ક્રીમેન્ટલ પેટર્ન મોડ જનરેશન અને દરેક પેકેટ માટે 72 બાઇટ્સનું પેલોડ કદ.
- બિન-સતત અથવા સતત મોડમાં ચલાવવા માટે CSR દ્વારા રૂપરેખાંકિત કરી શકાય છે.
— TX/RX પેકેટ આંકડાકીય સ્થિતિ CSR મારફતે ઍક્સેસ કરવા માટે ઉપલબ્ધ છે. - એવલોન-ST સ્ત્રોત/સિંક ઈન્ટરફેસ માટે eCPRI પેકેટો (IWF સુવિધા સક્ષમ):
- વર્તમાન પ્રકાશનમાં ફક્ત સંદેશ પ્રકાર 0 ને સપોર્ટ કરે છે.
— ઈન્ક્રીમેન્ટલ પેટર્ન મોડ જનરેશન સાથે ઈન્ટરપેકેટ ગેપ જનરેશન અને દરેક પેકેટ માટે 240 બાઈટના પેલોડ કદ.
- બિન-સતત અથવા સતત મોડમાં ચલાવવા માટે CSR દ્વારા રૂપરેખાંકિત કરી શકાય છે.
— TX/RX પેકેટ આંકડાકીય સ્થિતિ CSR મારફતે ઍક્સેસ કરવા માટે ઉપલબ્ધ છે. - પ્રિસિઝન ટાઈમ પ્રોટોકોલ (1588 PTP) પેકેટ અને નોન-PTP પરચુરણ પેકેટો બાહ્ય સ્ત્રોત/સિંક ઈન્ટરફેસ માટે:
- પૂર્વ-નિર્ધારિત પરિમાણો સાથે સ્ટેટિક ઇથરનેટ હેડર જનરેશન: Ethertype0x88F7, સંદેશ પ્રકાર- Opcode 0 (સિંક), અને PTP સંસ્કરણ-0.
— દરેક પેકેટ માટે 2 સાયકલના ઇન્ટરપેકેટ ગેપ અને 57 બાઈટના પેલોડ કદ સાથે પૂર્વ-નિર્ધારિત પેટર્ન મોડ જનરેશન.
- દરેક એક સેકન્ડના સમયગાળામાં 128 પેકેટ્સ જનરેટ થાય છે.
- બિન-સતત અથવા સતત મોડમાં ચલાવવા માટે CSR દ્વારા રૂપરેખાંકિત કરી શકાય છે.
— TX/RX પેકેટ આંકડાકીય સ્થિતિ CSR મારફતે ઍક્સેસ કરવા માટે ઉપલબ્ધ છે. - બાહ્ય બિન-PTP પરચુરણ પેકેટો:
— પૂર્વ-નિર્ધારિત પરિમાણ સાથે સ્ટેટિક ઈથરનેટ હેડર જનરેશન, Ethertype- 0x8100 (નોન-PTP).
— PRBS પેટર્ન મોડ જનરેશન જેમાં 2 સાયકલના ઇન્ટરપેકેટ ગેપ અને દરેક પેકેટ માટે 128 બાઈટના પેલોડ કદ.
- બિન-સતત અથવા સતત મોડમાં ચલાવવા માટે CSR દ્વારા રૂપરેખાંકિત કરી શકાય છે.
— TX/RX પેકેટ આંકડાકીય સ્થિતિ CSR મારફતે ઍક્સેસ કરવા માટે ઉપલબ્ધ છે.
દિવસનો સમય (TOD) સબસિસ્ટમ
TX અને RX બંને માટે બે IEEE 1588 TOD મોડ્યુલ અને Intel Quartus Prime સોફ્ટવેર દ્વારા જનરેટ કરાયેલ એક IEEE 1588 TOD સિંક્રોનાઇઝર મોડ્યુલ ધરાવે છે.
Nios® II સબસિસ્ટમ
Avalon-MM બ્રિજનો સમાવેશ કરે છે જે Nios II પ્રોસેસર, ટેસ્ટ રેપર અને Avalon® -MM એડ્રેસ ડીકોડર બ્લોક્સ વચ્ચે Avalon-MM ડેટા આર્બિટ્રેશનને મંજૂરી આપે છે.
ટેસ્ટ રેપરના રેટ_સ્વિચ રજિસ્ટર મૂલ્યમાંથી આઉટપુટના આધારે ડેટા રેટ સ્વિચિંગ કરવા માટે Nios II જવાબદાર છે. આ બ્લોક ટેસ્ટ રેપરમાંથી આદેશ મેળવ્યા પછી જરૂરી રજીસ્ટરને પ્રોગ્રામ કરે છે.
નોંધ: આ બ્લોક ડિઝાઇનમાં હાજર નથીample Intel Arria 10 અને Intel Agilex 7 F-tile ઉપકરણો માટે જનરેટ કરેલ છે.
સિસ્ટમ કન્સોલ
તમારા માટે પ્રથમ-સ્તરની ડીબગીંગ કરવા અને IP, અને ટ્રાફિક જનરેટર્સ અને ચેકર્સની સ્થિતિનું નિરીક્ષણ કરવા માટે વપરાશકર્તા-મૈત્રીપૂર્ણ ઇન્ટરફેસ પ્રદાન કરે છે.
ડેમો નિયંત્રણ
આ મોડ્યુલમાં રીસેટ સિંક્રોનાઇઝર મોડ્યુલો અને ડિઝાઈન સિસ્ટમ ડીબગીંગ અને પ્રારંભ પ્રક્રિયા માટે ઇન-સિસ્ટમ સોર્સ એન્ડ પ્રોબ (ISSP) મોડ્યુલોનો સમાવેશ થાય છે.
સંબંધિત માહિતી
- 25G ઇથરનેટ ઇન્ટેલ સ્ટ્રેટિક્સ 10 FPGA IP વપરાશકર્તા માર્ગદર્શિકા
- ઇ-ટાઇલ હાર્ડ IP વપરાશકર્તા માર્ગદર્શિકા
- eCPRI ઇન્ટેલ FPGA IP વપરાશકર્તા માર્ગદર્શિકા
- 25G ઇથરનેટ ઇન્ટેલ સ્ટ્રેટિક્સ 10 FPGA IP ડિઝાઇન એક્સample વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 ડિઝાઇન એક્સ માટે ઇ-ટાઇલ હાર્ડ આઇપીampલેસ વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 એલ- અને એચ-ટાઇલ ટ્રાન્સસીવર PHY વપરાશકર્તા માર્ગદર્શિકા
- ઇ-ટાઇલ ટ્રાન્સસીવર PHY વપરાશકર્તા માર્ગદર્શિકા
- ઇન્ટેલ સ્ટ્રેટિક્સ 10 10GBASE-KR PHY IP વપરાશકર્તા માર્ગદર્શિકા
- ઇ-ટાઇલ હાર્ડ આઇપી ઇન્ટેલ એજિલેક્સ ડિઝાઇન એક્સample વપરાશકર્તા માર્ગદર્શિકા
2.3. સિમ્યુલેશન ડિઝાઇન Example
eCPRI ડિઝાઇન ભૂતપૂર્વample સિમ્યુલેશન ટેસ્ટબેન્ચ અને સિમ્યુલેશન જનરેટ કરે છે files કે જ્યારે તમે સિમ્યુલેશન અથવા સિન્થેસિસ અને સિમ્યુલેશન વિકલ્પ પસંદ કરો ત્યારે eCPRI Intel FPGA IP કોરને ઇન્સ્ટન્ટ કરે છે.
આકૃતિ 9. eCPRI Intel FPGA IP સિમ્યુલેશન બ્લોક ડાયાગ્રામ
નોંધ: Nios II સબસિસ્ટમ બ્લોક ડિઝાઇન એક્સમાં હાજર નથીample Intel Arria 10 અને Intel Agilex 7 F-tile ઉપકરણો માટે જનરેટ કરેલ છે.
આ ડિઝાઇનમાં ભૂતપૂર્વample, સિમ્યુલેશન ટેસ્ટબેન્ચ મૂળભૂત કાર્યક્ષમતા પૂરી પાડે છે જેમ કે સ્ટાર્ટઅપ અને લૉક, ટ્રાન્સમિટ અને રિસિવ માટે રાહ જુઓ.
સફળ ટેસ્ટ રન નીચેની વર્તણૂકની પુષ્ટિ કરતું આઉટપુટ દર્શાવે છે:
- ક્લાયંટ લોજિક IP કોરને ફરીથી સેટ કરે છે.
- ક્લાયંટ લોજિક RX ડેટાપાથ ગોઠવણીની રાહ જુએ છે.
- ક્લાયંટ લોજિક એવલોન-ST ઈન્ટરફેસ પર પેકેટો ટ્રાન્સમિટ કરે છે.
- પ્રાપ્ત કરો અને પેકેટોની સામગ્રી અને શુદ્ધતા માટે તપાસો.
- "ટેસ્ટ પાસ કરેલ" સંદેશ દર્શાવો.
2.4. ઈન્ટરફેસ સિગ્નલો
કોષ્ટક 5. ડિઝાઇન Exampલે ઈન્ટરફેસ સિગ્નલો
| સિગ્નલ | દિશા | વર્ણન |
| clk_ref | ઇનપુટ | ઈથરનેટ MAC માટે સંદર્ભ ઘડિયાળ. • ઇન્ટેલ સ્ટ્રેટિક્સ 10 ઇ-ટાઇલ, ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ અને એફ-ટાઇલ ડિઝાઇન માટે, ઇ-ટાઇલ ઇથરનેટ હાર્ડ આઇપી કોર અથવા એફ-ટાઇલ ઇથરનેટ હાર્ડ આઇપી કોર માટે 156.25 મેગાહર્ટ્ઝ ઘડિયાળ ઇનપુટ. ઇથરનેટ હાર્ડ IP માં i_clk_ref[0] થી કનેક્ટ કરો. • ઇન્ટેલ સ્ટ્રેટિક્સ 10 H-ટાઇલ ડિઝાઇન માટે, ટ્રાન્સસીવર ATX PLL અને 322.2625G ઇથરનેટ IP માટે 25 MHz ઘડિયાળ ઇનપુટ. ટ્રાન્સસીવર ATX PLL માં pll_refclk0[0] અને 0G ઇથરનેટ IP માં clk_ref[25] સાથે કનેક્ટ કરો. • Intel Arria 10 ડિઝાઇન માટે, Transceiver ATX PLL અને 322.265625G/ 1GbE અને 10GBase-KR PHY IP માટે 10 MHz ઘડિયાળ ઇનપુટ. ટ્રાન્સસીવર ATX PLL માં pll_refclk0[0] અને 10G/ 0GbE અને 1G BASE-KR PHY IP માં rx_cdr_ref_clk_10g[10] સાથે કનેક્ટ કરો. |
| tod_sync_sampling_clk | ઇનપુટ | Intel Arria 10 ડિઝાઇન માટે, TOD સબસિસ્ટમ માટે 250 MHz ઘડિયાળ ઇનપુટ. |
| clk100 | ઇનપુટ | મેનેજમેન્ટ ઘડિયાળ. આ ઘડિયાળનો ઉપયોગ PTP માટે latency_clk જનરેટ કરવા માટે થાય છે. 100 MHz પર ડ્રાઇવ કરો. |
| mgmt_reset_n | ઇનપુટ | Nios II સિસ્ટમ માટે રીસેટ સિગ્નલ. |
| tx_serial | આઉટપુટ | TX સીરીયલ ડેટા. 4 ચેનલો સુધી સપોર્ટ કરે છે. |
| rx_serial | ઇનપુટ | આરએક્સ સીરીયલ ડેટા. 4 ચેનલો સુધી સપોર્ટ કરે છે. |
| iwf_cpri_ehip_ref_clk | ઇનપુટ | ઇ-ટાઇલ CPRI PHY સંદર્ભ ઘડિયાળ ઇનપુટ. આ ઘડિયાળ ફક્ત Intel Stratix 10 E-tile અને Intel માં જ હાજર છે Agilex 7 ઇ-ટાઇલ ડિઝાઇન. 153.6 Gbps CPRI લાઇન રેટ માટે 9.8 MHz પર ડ્રાઇવ કરો. |
| iwf_cpri_pll_refclk0 | આઉટપુટ | CPRI TX PLL સંદર્ભ ઘડિયાળ. • ઇન્ટેલ સ્ટ્રેટિક્સ 10 H-ટાઇલ ડિઝાઇન માટે: CPRI ડેટા રેટ 307.2 Gbps માટે 9.8 MHz પર ડ્રાઇવ કરો. • ઇન્ટેલ સ્ટ્રેટિક્સ 10 ઇ-ટાઇલ અને ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ડિઝાઇન માટે: CPRI ડેટા રેટ 156.25 Gbps માટે 9.8 MHz પર ડ્રાઇવ કરો. |
| iwf_cpri_xcvr_cdr_refclk | આઉટપુટ | CPRI રીસીવર CDR સંદર્ભ ઘડિયાળ. આ ઘડિયાળ માત્ર Intel Stratix 10 H-ટાઈલ ડિઝાઇનમાં જ હાજર છે. 307.2 Gbps CPRI લાઇન રેટ માટે 9.8 MHz પર ડ્રાઇવ કરો. |
| iwf_cpri_xcvr_txdataout | આઉટપુટ | CPRI સીરીયલ ડેટા ટ્રાન્સમિટ કરે છે. 4 ચેનલો સુધી સપોર્ટ કરે છે. |
| iwf_cpri_xcvr_rxdatain | આઉટપુટ | CPRI રીસીવર સીરીયલ ડેટા. 4 ચેનલો સુધી સપોર્ટ કરે છે. |
| cpri_gmii_clk | ઇનપુટ | CPRI GMII 125 MHz ઇનપુટ ઘડિયાળ. |
સંબંધિત માહિતી
PHY ઈન્ટરફેસ સિગ્નલો
25G ઇથરનેટ ઇન્ટેલ FPGA IP ના PHY ઇન્ટરફેસ સિગ્નલોની યાદી આપે છે.
2.5. ડિઝાઇન એક્સample નોંધણી નકશો
નીચે eCPRI IP કોર ડિઝાઇન એક્સ માટે રજિસ્ટર મેપિંગ છેampલે:
કોષ્ટક 6. eCPRI Intel FPGA IP ડિઝાઇન Example નોંધણી મેપિંગ
| સરનામું | નોંધણી કરો |
| 0x20100000 – 0x201FFFFF(2) | IOPLL રી-કોન્ફિગરેશન રજીસ્ટર. |
| 0x20200000 – 0x203FFFFF | ઇથરનેટ MAC એવલોન-એમએમ રજિસ્ટર |
| 0x20400000 – 0x205FFFFF | ઇથરનેટ MAC નેટિવ PHY એવલોન-એમએમ રજિસ્ટર |
| 0x20600000 – 0x207FFFFF(2) | મૂળ PHY RS-FEC એવલોન-એમએમ રજિસ્ટર. |
| 0x40000000 – 0x5FFFFFFF | eCPRI આઇપી એવલોન-એમએમ રજિસ્ટર |
| 0x80000000 – 0x9FFFFFFF | ઇથરનેટ ડિઝાઇન ટેસ્ટ જનરેટર/વેરિફાયર એવલોન-એમએમ રજિસ્ટર |
કોષ્ટક 7. Nios II રજિસ્ટર મેપિંગ
નીચેના કોષ્ટકમાં રજીસ્ટર ફક્ત ડિઝાઇન એક્સમાં જ ઉપલબ્ધ છેampઇન્ટેલ સ્ટ્રેટિક્સ 10 અથવા ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ઉપકરણો માટે જનરેટ કરેલ છે.
| સરનામું | નોંધણી કરો |
| 0x00100000 – 0x001FFFFF | IOPLL રી-કોન્ફિગરેશન રજીસ્ટર |
| 0x00200000 – 0x003FFFFF | ઇથરનેટ MAC એવલોન-એમએમ રજિસ્ટર |
| 0x00400000 – 0x005FFFFF | ઇથરનેટ MAC નેટિવ PHY એવલોન-એમએમ રજિસ્ટર |
| 0x00600000 – 0x007FFFFF | મૂળ PHY RS-FEC એવલોન-એમએમ રજિસ્ટર |
નોંધ: તમે ઇથરનેટ MAC અને Ethernet MAC નેટિવ PHY AVMM રજિસ્ટરને બાઇટ ઑફસેટને બદલે ઑફસેટ શબ્દનો ઉપયોગ કરીને ઍક્સેસ કરી શકો છો.
Ethernet MAC, Ethernet MAC Native PHY, અને eCPRI IP કોર રજિસ્ટર નકશા પર વિગતવાર માહિતી માટે, સંબંધિત વપરાશકર્તા માર્ગદર્શિકાઓનો સંદર્ભ લો.
(2) માત્ર ડિઝાઇનમાં જ ઉપલબ્ધ છેampઇન્ટેલ સ્ટ્રેટિક્સ 10 અને ઇન્ટેલ એજિલેક્સ 7 ઇ-ટાઇલ ઉપકરણો માટે જનરેટ કરેલ છે.
કોષ્ટક 8. eCPRI Intel FPGA IP હાર્ડવેર ડિઝાઇન Example નોંધણી નકશો
| શબ્દ ઓફસેટ | નોંધણીનો પ્રકાર | ડિફૉલ્ટ મૂલ્ય | ઍક્સેસ પ્રકાર |
| 0x0 | ડેટા મોકલવાનું શરૂ કરો: • બીટ 1: PTP, બિન-PTP પ્રકાર • બીટ 0: eCPRI પ્રકાર |
0x0 | RW |
| 0x1 | સતત પેકેટ સક્ષમ કરો | 0x0 | RW |
| 0x2 | ભૂલ સાફ કરો | 0x0 | RW |
| 0x3 (3) | રેટ સ્વિચ: • બીટ [7]- ટાઇલ સૂચવે છે: — 1'b0: H-ટાઈલ — 1'b1: ઇ-ટાઇલ • બીટ [6:4]- ઈથરનેટ ડેટા રેટ સ્વિચિંગ સૂચવે છે: — 3'b000: 25G થી 10G — 3'b001: 10G થી 25G • બીટ [0]- સ્વિચ રેટ સક્ષમ. દર સ્વિચિંગ માટે આ બીટ 0 અને બીટ 0 સ્પષ્ટ ન થાય ત્યાં સુધી મતદાન કરવું જરૂરી છે. નોંધ: આ રજિસ્ટર Intel Agilex 7 F-tile અને Intel Arria 10 ડિઝાઇન માટે ઉપલબ્ધ નથી. |
• ઈ-ટાઈલ: 0x80 • H-ટાઈલ: 0x0 |
RW |
| 0x4 (3) | રેટ સ્વિચ થઈ ગયું: • બીટ [1] સૂચવે છે કે રેટ સ્વિચિંગ થઈ ગયું છે. |
0x0 | RO |
| 0x5 (4) | સિસ્ટમ રૂપરેખાંકન સ્થિતિ: • બીટ [31]: સિસ્ટમ તૈયાર • બીટ [30]: IWF_EN • બીટ [29]: STARTUP_SEQ_EN • બીટ [28:4]: આરક્ષિત • બીટ [3]: EXT_PACKET_EN • બીટ [2:0]: આરક્ષિત |
0x0 | RO |
| 0x6 (4) | CPRI વાટાઘાટો પૂર્ણ: • બીટ [3:0]: બીટ રેટ પૂર્ણ • બીટ [19:16]: પ્રોટોકોલ પૂર્ણ |
0x0 | RW |
| 0x7 (4) | CPRI વાટાઘાટો પૂર્ણ: • બીટ [3:0]: ઝડપી C&M પૂર્ણ • બીટ [19:16]: ઝડપી VSS પૂર્ણ |
0x0 | RW |
| 0x8 - 0x1F | આરક્ષિત. | ||
| 0x20 | eCPRI ભૂલ વિક્ષેપ: • બીટ [0] વિક્ષેપ સૂચવે છે. |
0x0 | RO |
| 0x21 | બાહ્ય પેકેટ ભૂલ | 0x0 | RO |
| 0x22 | બાહ્ય PTP પેકેટ્સ TX સ્ટાર્ટ ઓફ પેકેટ (SOP) કાઉન્ટ | 0x0 | RO |
| 0x23 | બાહ્ય PTP પેકેટ્સ TX પેકેટનો અંત (EOP) કાઉન્ટ | 0x0 | RO |
| 0x24 | બાહ્ય પરચુરણ પેકેટો TX SOP કાઉન્ટ | 0x0 | RO |
| 0x25 | બાહ્ય પરચુરણ પેકેટો TX EOP કાઉન્ટ | 0x0 | RO |
| 0x26 | બાહ્ય RX પેકેટની SOP ગણતરી | 0x0 | RO |
| 0x27 | બાહ્ય RX પેકેટ્સ EOP કાઉન્ટ | 0x0 | RO |
| 0x28 | બાહ્ય પેકેટની ભૂલની ગણતરી | 0x0 | RO |
| 0x29 - 0x2C | આરક્ષિત. | ||
| 0x2D | બાહ્ય PTP ટાઈમસ્ટamp ફિંગરપ્રિન્ટ ભૂલની ગણતરી | 0x0 | RO |
| 0x2E | બાહ્ય PTP ટાઈમસ્ટamp ફિંગરપ્રિન્ટ ભૂલ | 0x0 | RO |
| 0x2F | બાહ્ય Rx ભૂલ સ્થિતિ | 0x0 | RO |
| 0x30 - 0x47 | આરક્ષિત. | ||
| 0x48 | eCPRI પેકેટમાં ભૂલ | RO | |
| 0x49 | eCPRI TX SOP ગણતરી | RO | |
| 0x4A | eCPRI TX EOP કાઉન્ટ | RO | |
| 0x4B | eCPRI RX SOP કાઉન્ટ | RO | |
| 0x4 સી | eCPRI RX EOP કાઉન્ટ | RO | |
| 0x4D | eCPRI પેકેટની ભૂલની ગણતરી | RO | |
સંબંધિત માહિતી
- નિયંત્રણ, સ્થિતિ અને આંકડા નોંધણી વર્ણનો
25G ઇથરનેટ સ્ટ્રેટિક્સ 10 FPGA IP માટે માહિતી નોંધણી કરો - પુનઃરૂપરેખાંકન અને સ્થિતિ રજીસ્ટર
વર્ણનો ઈથરનેટ માટે ઈ-ટાઈલ હાર્ડ આઈપી માટેની માહિતી રજીસ્ટર કરો - રજીસ્ટર કરે છે
eCPRI Intel FPGA IP માટે માહિતી નોંધણી કરો
eCPRI Intel FPGA IP ડિઝાઇન Example વપરાશકર્તા માર્ગદર્શિકા આર્કાઇવ્સ
આ વપરાશકર્તા માર્ગદર્શિકાના નવીનતમ અને પહેલાનાં સંસ્કરણો માટે, eCPRI Intel FPGA IP ડિઝાઇન Ex નો સંદર્ભ લોample વપરાશકર્તા માર્ગદર્શિકા HTML સંસ્કરણ. સંસ્કરણ પસંદ કરો અને ડાઉનલોડ પર ક્લિક કરો. જો IP અથવા સૉફ્ટવેર સંસ્કરણ સૂચિબદ્ધ નથી, તો અગાઉના IP અથવા સૉફ્ટવેર સંસ્કરણ માટે વપરાશકર્તા માર્ગદર્શિકા લાગુ થાય છે.
ઈસીપીઆરઆઈ ઈન્ટેલ એફપીજીએ આઈપી ડિઝાઈન એક્સ માટે દસ્તાવેજ પુનરાવર્તન ઈતિહાસample વપરાશકર્તા માર્ગદર્શિકા
| દસ્તાવેજ સંસ્કરણ | ઇન્ટેલ ક્વાર્ટસ પ્રાઇમ વર્ઝન |
IP સંસ્કરણ | ફેરફારો |
| 2023.05.19 | 23.1 | 2.0.3 | • સિમ્યુલેટીંગ ધ ડિઝાઈનને અપડેટ કરેલ એક્સampક્વિક સ્ટાર્ટ ગાઈડ પ્રકરણમાં ટેસ્ટબેન્ચ વિભાગ. • ઉત્પાદન કુટુંબનું નામ "Intel Agilex 7" માં અપડેટ કર્યું. |
| 2022.11.15 | 22.3 | 2.0.1 | વિભાગમાં VCS સિમ્યુલેટર માટે અપડેટ કરેલ સૂચનાઓ: ડિઝાઇનનું અનુકરણ કરવું Exampલે ટેસ્ટબેન્ચ. |
| 2022.07.01 | 22.1 | 1.4.1 | • હાર્ડવેર ડિઝાઇન એક્સ ઉમેર્યુંampઇન્ટેલ એજીલેક્સ 7 એફ-ટાઇલ ઉપકરણ વિવિધતાઓ માટે સપોર્ટ. નીચેની ડેવલપમેન્ટ કિટ્સ માટે ઉમેરાયેલ સપોર્ટ: — Intel Agilex 7 I-Series FPGA ડેવલપમેન્ટ કિટ — Intel Agilex 7 I-Series Transceiver-SoC ડેવલપમેન્ટ કિટ • QuestaSim સિમ્યુલેટર માટે ઉમેરાયેલ સપોર્ટ. • ModelSim*SE સિમ્યુલેટર માટે સપોર્ટ દૂર કર્યો. |
| 2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-tile ઉપકરણો માટે ઉમેરાયેલ આધાર. • મલ્ટિ-ચેનલ ડિઝાઇન માટે ઉમેરાયેલ સપોર્ટ. • અપડેટ કરેલ કોષ્ટક: eCPRI Intel FPGA IP હાર્ડવેર ડિઝાઇન Example નોંધણી નકશો. • NCSim સિમ્યુલેટર માટે સપોર્ટ દૂર કર્યો. |
| 2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-tile ઉપકરણો માટે ઉમેરાયેલ આધાર. |
| 2021.01.08 | 20.3 | 1.2.0 | • eCPRI Intel Stratix 10 FPGA IP ડિઝાઇન Ex માંથી દસ્તાવેજનું શીર્ષક બદલ્યું છેampમાટે વપરાશકર્તા માર્ગદર્શિકા eCPRI Intel FPGA IP ડિઝાઇન Example વપરાશકર્તા માર્ગદર્શિકા. • Intel Arria 10 ડિઝાઇન માટે ઉમેરાયેલ સપોર્ટ. • eCPRI IP ડિઝાઇન ભૂતપૂર્વample હવે ઇન્ટરવર્કિંગ ફંક્શન (IWF) ફીચર સપોર્ટ સાથે ઉપલબ્ધ છે. • સ્પષ્ટ કરવા માટે એક નોંધ ઉમેરી કે eCPRI ડિઝાઇન ભૂતપૂર્વample IWF સુવિધા સાથે માત્ર 9.8 Gbps CPRI માટે ઉપલબ્ધ છે લાઇન બીટ રેટ. • ડિઝાઇન જનરેટ કરતી વખતે વિભાગમાં ઉમેરાયેલ શરતોampસાથે લે ઇન્ટરવર્કિંગ ફંક્શન (IWF) સપોર્ટ પેરામીટર સક્ષમ. • ઉમેરાયેલ એસampલે સિમ્યુલેશન ટેસ્ટ રન આઉટપુટ IWF ફીચર સાથે ડિઝાઇન સિમ્યુલેટીંગ વિભાગમાં સક્ષમ છે Exampલે ટેસ્ટબેન્ચ. • ઈથરનેટ આઈપીમાં ડાયનેમિક પુનઃરૂપરેખાંકનને સક્ષમ કરતો નવો વિભાગ ઉમેરાયો. • અપડેટ કરેલ હાર્ડવેર ટેસ્ટ sampવિભાગમાં le આઉટપુટ eCPRI ઇન્ટેલ એફપીજીએ આઇપી ડિઝાઇનનું પરીક્ષણ કરી રહ્યું છેample |
| 2020.06.15 | 20.1 | 1.1.0 | • 10G ડેટા રેટ માટે સમર્થન ઉમેર્યું. • flow.c file હવે ડિઝાઇન એક્સ સાથે ઉપલબ્ધ છેampલૂપબેક મોડ પસંદ કરવા માટે le જનરેશન. • s માં ફેરફાર કર્યોampસિમ્યુલેશન ટેસ્ટ માટે le આઉટપુટ વિભાગમાં ચાલે છેampલે ટેસ્ટબેન્ચ. • કમ્પાઇલિંગ અને કન્ફિગરીંગ વિભાગમાં 10G ડેટા રેટ ડિઝાઇન ચલાવવા માટે આવર્તન મૂલ્ય ઉમેર્યું ડિઝાઇન Exampલે હાર્ડવેર માં. • eCPRI Intel FPGA IP ડિઝાઇન એક્સ ટેસ્ટિંગ વિભાગમાં નીચેના ફેરફારો કર્યા છેampલે: - 10G અને 25G વચ્ચે ડેટા રેટ બદલવા માટે આદેશો ઉમેર્યા — ઉમેરાયેલ એસampડેટા રેટ સ્વિચિંગ માટે le આઉટપુટ — ઇ-ટાઇલ ઉપકરણની વિવિધતાઓમાં લૂપબેક પસંદ કરવા TEST_MODE ચલ માહિતી ઉમેરવામાં આવી. • સંશોધિત eCPRI Intel FPGA IP હાર્ડવેર ડિઝાઇન એક્સampલેસ હાઇ લેવલ બ્લોક ડાયાગ્રામમાં નવો સમાવેશ થાય છે બ્લોક્સ • અપડેટ કરેલ કોષ્ટક: ડિઝાઇન Exampનવા સિગ્નલનો સમાવેશ કરવા માટે ઇન્ટરફેસ સિગ્નલ્સ. • અપડેટેડ ડિઝાઇન એક્સample નોંધણી નકશો વિભાગ. • નવો પરિશિષ્ટ વિભાગ ઉમેર્યો: એક્ઝિક્યુટેબલ અને લિંકિંગ ફોર્મેટ (.elf) પ્રોગ્રામિંગ જનરેટ અને ડાઉનલોડ કરવું File . |
| 2020.04.13 | 19.4 | 1.1.0 | પ્રારંભિક પ્રકાશન. |
A. એક્ઝિક્યુટેબલ અને લિંકિંગ ફોર્મેટ (.elf) પ્રોગ્રામિંગ જનરેટ અને ડાઉનલોડ કરવું File
આ વિભાગ .elf કેવી રીતે જનરેટ અને ડાઉનલોડ કરવું તેનું વર્ણન કરે છે file બોર્ડ માટે:
- ડિરેક્ટરી બદલોample_dir>/સંશ્લેષણ/ક્વાટસ.
- Intel Quartus Prime Pro Edition સોફ્ટવેરમાં, Open Project પર ક્લિક કરો અને ઓપન કરોample_dir>/synthesis/quartus/epri_ed.qpf. હવે Eclipse માટે ટૂલ્સ ➤ Nios II સોફ્ટવેર બિલ્ડ ટૂલ્સ પસંદ કરો.
આકૃતિ 10. ગ્રહણ માટે Nios II સોફ્ટવેર બિલ્ડ ટૂલ્સ
- વર્કસ્પેસ લોન્ચર વિન્ડો પ્રોમ્પ્ટ દેખાય છે. વર્કસ્પેસમાં આ રીતે પાથનો ઉલ્લેખ કરોample_dir>/synthesis/quatus તમારા Eclipse પ્રોજેક્ટને સંગ્રહિત કરવા માટે. નવી Nios II – Eclipse વિન્ડો દેખાય છે.
આકૃતિ 11. વર્કસ્પેસ લોન્ચર વિન્ડો
- Nios II – Eclipse વિન્ડોમાં, Project Explorer ટૅબ હેઠળ રાઇટ-ક્લિક કરો અને નવું ➤ Nios II બોર્ડ સપોર્ટ પેકેજ પસંદ કરો. નવી વિન્ડો દેખાય છે.
આકૃતિ 12. પ્રોજેક્ટ એક્સપ્લોરર ટેબ
- Nios II બોર્ડ સપોર્ટ પેકેજ વિન્ડોમાં:
• પ્રોજેક્ટ નામ પરિમાણમાં, તમારા ઇચ્છિત પ્રોજેક્ટ નામનો ઉલ્લેખ કરો.
• SOPC માહિતીમાં File નામ પરિમાણ, ના સ્થાન પર બ્રાઉઝ કરોample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. સમાપ્ત ક્લિક કરો.
આકૃતિ 13. Nios II બોર્ડ સપોર્ટ પેકેજ વિન્ડો
- નવો બનાવેલ પ્રોજેક્ટ Nios II Eclipse વિન્ડોમાં Project Explorer ટેબ હેઠળ દેખાય છે. પ્રોજેક્ટ એક્સપ્લોરર ટૅબ હેઠળ જમણું-ક્લિક કરો અને Nios II ➤ Nios II કમાન્ડ શેલ પસંદ કરો.
આકૃતિ 14. પ્રોજેક્ટ એક્સપ્લોરર- Nios II કમાન્ડ શેલ
- Nios II કમાન્ડ શેલમાં, નીચેના ત્રણ આદેશો ટાઈપ કરો: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir એપ્લિકેશન –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- આ .elf file નીચેના સ્થાને ઉત્પન્ન થાય છે:ample_dir>/ synthesis/ip_components/software/ /એપ.
- .elf ને બોર્ડ પર ડાઉનલોડ કરવા માટે Nios II કમાન્ડ શેલમાં નીચેનો આદેશ ટાઈપ કરો:
• Intel Stratix 10 માટે: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
• Intel Agilex 7 માટે: nios2-ડાઉનલોડ -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

ઑનલાઇન સંસ્કરણ
પ્રતિસાદ મોકલો
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP ડિઝાઇન Example વપરાશકર્તા માર્ગદર્શિકા
દસ્તાવેજો / સંસાધનો
![]() |
ઇન્ટેલ eCPRI ઇન્ટેલ FPGA IP ડિઝાઇન [પીડીએફ] વપરાશકર્તા માર્ગદર્શિકા eCPRI Intel FPGA IP ડિઝાઇન, eCPRI, Intel FPGA IP ડિઝાઇન, FPGA IP ડિઝાઇન, IP ડિઝાઇન, ડિઝાઇન |
