Intel logoeCPRI Intel® FPGA IP Design
Example Giya sa Gumagamit
Gi-update alang sa Intel®
Quartus®
Panguna nga Disenyo nga Suite: 23.1
Bersyon sa IP: 2.0.3

Dali nga Giya sa Pagsugod

Ang gipalambo nga Common Public Radio Interface (eCPRI) Intel® FPGA IP core nagpatuman sa eCPRI specification version 2.0. Ang eCPRI Intel FPGA IP naghatag ug simulation testbench ug hardware design example nga nagsuporta sa compilation ug hardware testing. Kung makamugna ka sa disenyo exampUg, ang editor sa parameter awtomatikong nagmugna sa files gikinahanglan sa simulate, compile, ug pagsulay sa disenyo exampsa hardware.
Ang gihugpong nga disenyo sa hardware example nagdagan sa:

  • Intel Agilex™ 7 I-Series FPGA Development Kit
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit
  • Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit alang sa H-tile design examples
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit alang sa E-tile design examples
  • Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

Naghatag ang Intel og compilation-only exampAng proyekto nga imong magamit aron dali nga mabanabana ang IP core area ug timing.
Ang testbench ug disenyo exampGisuportahan sa le ang 25G ug 10G nga mga rate sa datos alang sa Intel Stratix 10 H-tile o E-tile ug Intel Agilex 7 E-tile o F-tile device nga mga variation sa eCPRI IP.

Mubo nga sulat: Ang disenyo sa eCPRI IP example uban sa interworking function (IWF) anaa lamang alang sa 9.8 Gbps CPRI linya bit rate sa kasamtangan nga pagpagawas.
Mubo nga sulat: Ang disenyo sa eCPRI IP exampWala gisuportahan sa le ang dinamikong pag-configure alang sa 10G data rate sa mga disenyo sa Intel Arria 10.

Ang eCPRI Intel FPGA IP core design example nagsuporta sa mosunod nga mga bahin:

  • Internal TX sa RX serial loopback mode
  • Traffic generator ug checker
  • Panguna nga mga kapabilidad sa pagsusi sa pakete
  • Abilidad sa paggamit sa System Console sa pagpadagan sa disenyo ug pag-reset sa disenyo alang sa pagsulay pag-usab nga katuyoan

Intel Corporation. Tanang katungod gigahin. Ang Intel, ang logo sa Intel, ug uban pang mga marka sa Intel mao ang mga marka sa pamatigayon sa Intel Corporation o mga subsidiary niini. Gigarantiya sa Intel ang paghimo sa iyang mga produkto nga FPGA ug semiconductor sa kasamtangang mga espesipikasyon subay sa standard warranty sa Intel, apan adunay katungod sa paghimog mga pagbag-o sa bisan unsang produkto ug serbisyo bisan unsang orasa nga wala’y pahibalo. Ang Intel walay responsibilidad o tulubagon nga naggikan sa aplikasyon o paggamit sa bisan unsang impormasyon, produkto, o serbisyo nga gihulagway dinhi gawas sa dayag nga giuyonan sa pagsulat sa Intel. Gitambagan ang mga kostumer sa Intel nga makuha ang pinakabag-o nga bersyon sa mga detalye sa aparato sa dili pa magsalig sa bisan unsang gipatik nga kasayuran ug sa dili pa magbutang mga order alang sa mga produkto o serbisyo. *Ang ubang mga ngalan ug mga tatak mahimong maangkon nga gipanag-iya sa uban.

ISO 9001:2015 Rehistrado

Hulagway 1. Mga Lakang sa Pag-uswag alang sa Disenyo ExampleeCPRI Intel FPGA IP Design - Hulagway 1

May Kalabutan nga Impormasyon

  • eCPRI Intel FPGA IP User Guide
  • eCPRI Intel FPGA IP Release Notes

1.1. Mga Kinahanglanon sa Hardware ug Software
Para testingan ang exampsa disenyo, gamita ang mosunod nga hardware ug software:

  • Intel Quartus® Prime Pro Edition software nga bersyon 23.1
  • System Console
  • Gisuportahan nga mga Simulator:
    — Siemens* EDA QuestaSim*
    — Synopsys* VCS*
    — Synopsys VCS MX
    — Aldec* Riviera-PRO*
    — Indayog* Xcelium*
  • Development Kit:
    — Intel Agilex 7 I-Series FPGA Development Kit
    — Intel Agilex 7 I-Series Transceiver-SoC Development Kit
    — Intel Agilex 7 F-Series Transceiver-SoC Development Kit
    — Intel Stratix 10 GX Transceiver Signal Integrity Development Kit alang sa H-tile device variation design example
    - Intel Stratix 10 TX Transceiver Signal Integrity Development alang sa E-tile device nga lainlain nga disenyo example
    — Intel Arria 10 GX Transceiver Signal Integrity Development Kit

May Kalabutan nga Impormasyon

  • Intel Agilex 7 I-Series FPGA Development Kit Giya sa Gumagamit
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit Giya sa Gumagamit
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Giya sa Gumagamit
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Giya sa Gumagamit
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Giya sa Gumagamit
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Giya sa Gumagamit

1.2. Paghimo sa Disenyo
Kinahanglanon: Sa higayon nga madawat nimo ang eCPRI web-core IP, i-save ang web-core installer sa lokal nga lugar. Pagdalagan ang installer gamit ang Windows/Linux. Kung giaghat, i-install ang webkinauyokan sa parehas nga lokasyon sama sa folder sa Intel Quartus Prime.
Ang eCPRI Intel FPGA IP makita na karon sa IP Catalog.
Kung wala ka pa usa ka proyekto sa Intel Quartus Prime Pro Edition diin i-integrate ang imong eCPRI Intel FPGA IP core, kinahanglan ka maghimo usa.

  1. Sa software nga Intel Quartus Prime Pro Edition, i-klik File ➤ Bag-ong Project Wizard aron makahimo og bag-ong proyekto sa Intel Quartus Prime, o i-klik File ➤ Buksan ang Proyekto aron maablihan ang kasamtangan nga proyekto sa Intel Quartus Prime. Ang wizard nag-aghat kanimo sa pagtino sa usa ka aparato.
  2. Ipiho ang pamilya sa aparato ug usa ka aparato nga nagtagbo sa mga kinahanglanon sa grado sa tulin.
  3. I-klik ang Tapos.
  4. Sa IP Catalog, pangitaa ug doble-klik ang eCPRI Intel FPGA IP. Ang Bag-ong IP Variant nga bintana makita.

Sunda kini nga mga lakang aron makamugna ang eCPRI IP hardware design example ug testbench:

  1. Sa IP Catalog, pangitaa ug doble-klik ang eCPRI Intel FPGA IP. Ang Bag-ong IP Variant nga bintana makita.
  2. I-klik ang OK. Ang parameter editor makita.
    Hulagway 2. Example Design Tab sa eCPRI Intel FPGA IP Parameter EditoreCPRI Intel FPGA IP Design - Hulagway 2
  3. Itakda ang usa ka top-level nga ngalan para sa imong custom IP variation. Gitipigan sa editor sa parameter ang mga setting sa pagbag-o sa IP sa a file ginganlan .ip.
  4. I-klik ang OK. Ang parameter editor makita.
  5. Sa tab nga Kinatibuk-an, ipiho ang mga parameter alang sa imong IP core variation.
    Mubo nga sulat: • Kinahanglan nimo nga i-on ang Streaming parameter sa eCPRI IP parameter editor kung imong gimugna ang ex designampuban ang Interworking Function (IWF) Support parameter nga gi-enable,
    • Kinahanglan nimo nga itakda ang CPRI Line Bit Rate (Gbit/s) ngadto sa Uban sa dihang magmugna sa disenyo example uban sa Interworking Function (IWF) Suporta parameter enabled.
  6. Sa Example Design tab, pilia ang simulation option aron makamugna sa testbench, pilia ang synthesis option aron makamugna sa hardware exampAng disenyo, ug pilia ang opsyon sa synthesis ug simulation aron makamugna ang testbench ug ang hardware design example.
  7. Para sa Pinulongan para sa top level simulation file, pilia ang Verilog o VHDL.
    Mubo nga sulat: Kini nga kapilian magamit ra kung imong pilion ang kapilian nga Simulation alang sa imong exampdisenyo.
  8. Alang sa Pinulongan alang sa taas nga lebel nga synthesis file, pilia ang Verilog o VHDL.
    Mubo nga sulat: Kini nga kapilian magamit ra kung imong pilion ang opsyon sa Synthesis alang sa imong exampdisenyo.
  9. Para sa Gidaghanon sa mga Channel, mahimo nimong isulod ang gidaghanon sa mga channel (1 hangtod 4) nga gituyo alang sa imong disenyo. Default nga bili mao ang 1.
  10. I-klik ang Paghimo Exampug Disenyo. Ang Pagpili ExampAng bintana sa Direktoryo sa Disenyo makita.
  11. Kung gusto nimo usbon ang disenyo example direktoryo nga agianan o ngalan gikan sa mga default nga gipakita (ecpri_0_testbench), pag-browse sa bag-ong dalan ug i-type ang bag-ong disenyo exampang ngalan sa direktoryo.
  12. I-klik ang OK.

May Kalabutan nga Impormasyon
eCPRI Intel FPGA IP User Guide
1.3. Istruktura sa Direktoryo
Ang eCPRI IP core design example file ang mga direktoryo naglangkob sa mosunod nga namugna files alang sa disenyo example.

Hulagway 3. Direktoryo nga Istruktura sa Namugna nga Example DisenyoeCPRI Intel FPGA IP Design - Hulagway 3

Mubo nga sulat:

  1. Naa ra sa Intel Arria 10 IP design exampang kalainan.
  2. Anaa ra sa Intel Stratix 10 (H-tile o E-tile) nga disenyo sa IP exampang kalainan.
  3. Naa ra sa Intel Agilex E-tile IP design exampang kalainan.

Talaan 1. eCPRI Intel FPGA IP Core Testbench File Mga paghulagway

File Mga ngalan  Deskripsyon
Key Testbench ug Simulation Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv Top-level nga testbench file. Gi-instantiate sa testbench ang DUT wrapper ug gipadagan ang mga buluhaton sa Verilog HDL aron makamugna ug makadawat mga pakete.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT wrapper nga instantiates DUT ug uban pang testbench nga mga sangkap.
<design_example_dir>/simulation/ed_fw/flow.c C-code tinubdan file.
Mga Script sa Testbench
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do Ang script sa Siemens EDA QuestaSim aron mapadagan ang testbench.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh Ang script sa Synopsys VCS aron mapadagan ang testbench.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Ang Synopsys VCS MX script (kombinar Verilog HDL ug
SystemVerilog uban sa VHDL) aron modagan ang testbench.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl Ang Aldec* Riviera-PRO nga script aron ipadagan ang testbench.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh Ang script sa Cadence* Xcelium aron ipadagan ang testbench.

Talaan 2. eCPRI Intel FPGA IP Core Hardware Design Example File Mga paghulagway

File Mga ngalan Mga paghulagway
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Intel Quartus Prime nga proyekto file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Setting sa proyekto sa Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Mga Limitasyon sa Disenyo sa Synopsy files. Mahimo nimong kopyahon ug usbon kini filealang sa imong kaugalingon nga disenyo sa Intel Stratix 10.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Top-level nga Verilog HDL nga disenyo example file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT wrapper nga instantiates DUT ug uban pang testbench nga mga sangkap.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Panguna file alang sa pag-access sa System Console (Anaa sa Intel Stratix 10 H-tile ug E-tile nga mga disenyo).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Panguna file alang sa pag-access sa System Console (Anaa sa mga disenyo sa Intel Arria 10).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Panguna file alang sa pag-access sa System Console (Anaa sa mga disenyo sa Intel Agilex 7).

1.4. Pagsundog sa Disenyo Exampsa Testbench
Hulagway 4. PamaagieCPRI Intel FPGA IP Design - Hulagway 4

Sunda kini nga mga lakang aron ma-simulate ang testbench:

  1. Sa command prompt, usba ang direktoryo sa simulation sa testbenchample_dir>/simulation/setup_scripts.
  2. Para sa Intel Agilex F-tile device variation, sunda kini nga mga lakang:
    a. Pagdala ngadto sa <design_example_dir>/simulation/quartus directory ug padagana kining duha ka command sa ubos: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Sa laing bahin, mahimo nimong ablihan ang ecpri_ed.qpf nga proyekto sa Intel Quartus Prime Pro Edition ug ipahigayon ang compilation hangtod sa Support Logic Generation s.tage.
    b. Pagdala ngadto sa <design_example_dir>/simulation/setup_scripts directory.
    c. Pagdalagan ang mosunod nga sugo: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. Pagdalagan ang simulation script para sa gisuportahan nga simulator nga imong gusto. Ang script nag-compile ug nagpadagan sa testbench sa simulator. Tan-awa ang lamesa Mga Lakang sa Pag-simulate sa Testbench.
    Mubo nga sulat: Ang suporta sa VHDL nga lengguwahe para sa simulation anaa lamang sa QuestaSim ug VCS MX simulators. Ang suporta sa Verilog nga pinulongan alang sa simulation anaa alang sa tanang mga simulator nga gilista sa Talaan: Mga Lakang sa Pag-simulate sa Testbench.
  4. Analisaha ang mga resulta. Ang malampuson nga testbench nagpadala ug nakadawat og mga pakete, ug nagpakita sa "PASED".

Talaan 3. Mga Lakang sa Pag-simulate sa Testbench

Simulator Mga instruksyon
QuestaSim Sa command line, i-type ang vsim -do run_vsim.do Kung gusto nimo nga mag-simulate nga dili ipataas ang QuestaSim GUI, i-type ang vsim -c -do run_vsim.do
VCS • Sa command line, type sh run_vcs.sh
• Pagdala ngadto sa <design_example_dir>/simulation/setup_scripts/synopsys/vcs ug padagana ang mosunod nga sugo: sh run_vcs.sh
VCS MX Sa command line, type sh run_vcsmx.sh
Riviera-PRO Sa command line, type vsim -c -do run_rivierapro.tcl
Mubo nga sulat: Gisuportahan lamang sa Intel Stratix 10 H-tile design variation.
Xcelium(1) Sa command line, type sh run_xcelium.sh
  1. Kini nga simulator wala gisuportahan alang sa eCPRI Intel FPGA IP design example namugna uban sa IWF bahin nakahimo.

Sampang Output: Ang mosunod nga sampAng output naghulagway sa usa ka malampuson nga simulation test run sa eCPRI IP design exampAng walay feature sa IWF nga naka-enable sa Gidaghanon sa mga Channel = 4:

# Naghulat alang sa pag-align sa RX
Ang # RX deskew naka-lock
Ang # RX lane alignment naka-lock
# Naghulat nga klaro ang sayup sa link
# Klaro nga sayup sa link
# Address sa Tinubdan sa MAC 0_0 Channel 0: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 0: 00007788
# MAC Destinasyon nga Address 0_0 Channel 0: 33445566
# MAC Destinasyon nga Address 0_1 Channel 0: 00007788
# MAC Destinasyon nga Address 1_0 Channel 0: 11223344
# MAC Destinasyon nga Address 1_1 Channel 0: 00005566
# MAC Destinasyon nga Address 2_0 Channel 0: 22334455
# MAC Destinasyon nga Address 2_1 Channel 0: 00006677
# MAC Destinasyon nga Address 3_0 Channel 0: 44556677
# MAC Destinasyon nga Address 3_1 Channel 0: 00008899
# MAC Destinasyon nga Address 4_0 Channel 0: 66778899
# MAC Destinasyon nga Address 4_1 Channel 0: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 0: 778899aa
# MAC Destination Address 5_1 Channel 0: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 0: 8899aabb
# MAC Destination Address 6_1 Channel 0: 0000ccdd
# MAC Destination Address 7_0 Channel 0: 99aabbcc
# MAC Destination Address 7_1 Channel 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 0: 00000241
# eCPRI nga bersyon Channel 0: 2
# Address sa Tinubdan sa MAC 0_0 Channel 1: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 1: 00007788
# MAC Destinasyon nga Address 0_0 Channel 1: 33445566
# MAC Destinasyon nga Address 0_1 Channel 1: 00007788
# MAC Destinasyon nga Address 1_0 Channel 1: 11223344
# MAC Destinasyon nga Address 1_1 Channel 1: 00005566
# MAC Destinasyon nga Address 2_0 Channel 1: 22334455
# MAC Destinasyon nga Address 2_1 Channel 1: 00006677
# MAC Destinasyon nga Address 3_0 Channel 1: 44556677
# MAC Destinasyon nga Address 3_1 Channel 1: 00008899
# MAC Destinasyon nga Address 4_0 Channel 1: 66778899
# MAC Destinasyon nga Address 4_1 Channel 1: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 1: 778899aa
# MAC Destination Address 5_1 Channel 1: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 1: 8899aabb
# MAC Destination Address 6_1 Channel 1: 0000ccdd
# MAC Destination Address 7_0 Channel 1: 99aabbcc
# MAC Destination Address 7_1 Channel 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 1: 00000241
# eCPRI nga bersyon Channel 1: 2
# Address sa Tinubdan sa MAC 0_0 Channel 2: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 2: 00007788
# MAC Destinasyon nga Address 0_0 Channel 2: 33445566
# MAC Destinasyon nga Address 0_1 Channel 2: 00007788
# MAC Destinasyon nga Address 1_0 Channel 2: 11223344
# MAC Destinasyon nga Address 1_1 Channel 2: 00005566
# MAC Destinasyon nga Address 2_0 Channel 2: 22334455
# MAC Destinasyon nga Address 2_1 Channel 2: 00006677
# MAC Destinasyon nga Address 3_0 Channel 2: 44556677
# MAC Destinasyon nga Address 3_1 Channel 2: 00008899
# MAC Destinasyon nga Address 4_0 Channel 2: 66778899
# MAC Destinasyon nga Address 4_1 Channel 2: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 2: 778899aa
# MAC Destination Address 5_1 Channel 2: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 2: 8899aabb
# MAC Destination Address 6_1 Channel 2: 0000ccdd
# MAC Destination Address 7_0 Channel 2: 99aabbcc
# MAC Destination Address 7_1 Channel 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 2: 00000241
# eCPRI nga bersyon Channel 2: 2
# Address sa Tinubdan sa MAC 0_0 Channel 3: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 3: 00007788
# MAC Destinasyon nga Address 0_0 Channel 3: 33445566
# MAC Destinasyon nga Address 0_1 Channel 3: 00007788
# MAC Destinasyon nga Address 1_0 Channel 3: 11223344
# MAC Destinasyon nga Address 1_1 Channel 3: 00005566
# MAC Destinasyon nga Address 2_0 Channel 3: 22334455
# MAC Destinasyon nga Address 2_1 Channel 3: 00006677
# MAC Destinasyon nga Address 3_0 Channel 3: 44556677
# MAC Destinasyon nga Address 3_1 Channel 3: 00008899
# MAC Destinasyon nga Address 4_0 Channel 3: 66778899
# MAC Destinasyon nga Address 4_1 Channel 3: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 3: 778899aa
# MAC Destination Address 5_1 Channel 3: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 3: 8899aabb
# MAC Destination Address 6_1 Channel 3: 0000ccdd
# MAC Destination Address 7_0 Channel 3: 99aabbcc
# MAC Destination Address 7_1 Channel 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 3: 00000241
# eCPRI nga bersyon Channel 3: 2
# _________________________________________________________
# INFO: Wala sa kahimtang sa pag-reset
# _________________________________________________________
#
#
# Channel 0 eCPRI TX SOP nga ihap : 0
# Channel 0 eCPRI TX EOPs ihap : 0
# Channel 0 eCPRI RX SOP nga ihap : 0
# Channel 0 eCPRI RX EOPs ihap : 0
# Channel 0 External PTP TX SOP nga ihap : 0
# Channel 0 External PTP TX EOPs ihap : 0
# Channel 0 External MISC TX SOP nga ihap : 0
# Channel 0 External MISC TX EOPs ihap : 0
# Channel 0 External RX SOPs count: 0
# Channel 0 External RX EOPs ihap : 0
# Channel 1 eCPRI TX SOP nga ihap : 0
# Channel 1 eCPRI TX EOPs ihap : 0
# Channel 1 eCPRI RX SOP nga ihap : 0
# Channel 1 eCPRI RX EOPs ihap : 0
# Channel 1 External PTP TX SOP nga ihap : 0
# Channel 1 External PTP TX EOPs ihap : 0
# Channel 1 External MISC TX SOP nga ihap : 0
# Channel 1 External MISC TX EOPs ihap : 0
# Channel 1 External RX SOPs count: 0
# Channel 1 External RX EOPs ihap : 0
# Channel 2 eCPRI TX SOP nga ihap : 0
# Channel 2 eCPRI TX EOPs ihap : 0
# Channel 2 eCPRI RX SOP nga ihap : 0
# Channel 2 eCPRI RX EOPs ihap : 0
# Channel 2 External PTP TX SOP nga ihap : 0
# Channel 2 External PTP TX EOPs ihap : 0
# Channel 2 External MISC TX SOP nga ihap : 0
# Channel 2 External MISC TX EOPs ihap : 0
# Channel 2 External RX SOPs count: 0
# Channel 2 External RX EOPs ihap : 0
# Channel 3 eCPRI TX SOP nga ihap : 0
# Channel 3 eCPRI TX EOPs ihap : 0
# Channel 3 eCPRI RX SOP nga ihap : 0
# Channel 3 eCPRI RX EOPs ihap : 0
# Channel 3 External PTP TX SOP nga ihap : 0
# Channel 3 External PTP TX EOPs ihap : 0
# Channel 3 External MISC TX SOP nga ihap : 0
# Channel 3 External MISC TX EOPs ihap : 0
# Channel 3 External RX SOPs count: 0
# Channel 3 External RX EOPs ihap : 0
# _________________________________________________________
# INFO: Pagsugod sa pagpadala sa mga pakete
# _________________________________________________________
#
#
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 0 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 0 eCPRI TX
# INFO: Naghulat sa Channel 0 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 0 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 0 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 0 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 1 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 1 eCPRI TX
# INFO: Naghulat sa Channel 1 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 1 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 1 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 1 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 2 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 2 eCPRI TX
# INFO: Naghulat sa Channel 2 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 2 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 2 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 2 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 3 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 3 eCPRI TX
# INFO: Naghulat sa Channel 3 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 3 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 3 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 3 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# _________________________________________________________
# INFO: Hunonga ang pagpadala sa mga pakete
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Pagsusi sa mga istatistika sa pakete
# _________________________________________________________
#
#
# Channel 0 eCPRI SOP nga gipadala: 300
# Channel 0 eCPRI EOPs nga gipadala: 300
# Channel 0 eCPRI SOPs nadawat: 300
# Channel 0 eCPRI EOPs nadawat: 300
# Channel 0 eCPRI Error nga gitaho: 0
# Channel 0 External PTP SOP nga gipadala: 4
# Channel 0 External PTP EOPs nga gipadala: 4
# Channel 0 External MISC SOPs nga gipadala: 128
# Channel 0 External MISC EOPs nga gipadala: 128
# Channel 0 External SOPs nadawat: 132
# Channel 0 External EOPs nadawat: 132
# Channel 0 External PTP SOPs nadawat: 4
# Channel 0 External PTP EOPs nadawat: 4
# Channel 0 External MISC SOPs nadawat: 128
# Channel 0 External MISC EOPs nakadawat: 128
# Channel 0 External Error nga gitaho: 0
# Channel 0 External Timetamp Gi-report ang Fingerprint Error: 0
# Channel 1 eCPRI SOP nga gipadala: 300
# Channel 1 eCPRI EOPs nga gipadala: 300
# Channel 1 eCPRI SOPs nadawat: 300
# Channel 1 eCPRI EOPs nadawat: 300
# Channel 1 eCPRI Error nga gitaho: 0
# Channel 1 External PTP SOP nga gipadala: 4
# Channel 1 External PTP EOPs nga gipadala: 4
# Channel 1 External MISC SOPs nga gipadala: 128
# Channel 1 External MISC EOPs nga gipadala: 128
# Channel 1 External SOPs nadawat: 132
# Channel 1 External EOPs nadawat: 132
# Channel 1 External PTP SOPs nadawat: 4
# Channel 1 External PTP EOPs nadawat: 4
# Channel 1 External MISC SOPs nadawat: 128
# Channel 1 External MISC EOPs nakadawat: 128
# Channel 1 External Error nga gitaho: 0
# Channel 1 External Timetamp Gi-report ang Fingerprint Error: 0
# Channel 2 eCPRI SOP nga gipadala: 300
# Channel 2 eCPRI EOPs nga gipadala: 300
# Channel 2 eCPRI SOPs nadawat: 300
# Channel 2 eCPRI EOPs nadawat: 300
# Channel 2 eCPRI Error nga gitaho: 0
# Channel 2 External PTP SOP nga gipadala: 4
# Channel 2 External PTP EOPs nga gipadala: 4
# Channel 2 External MISC SOPs nga gipadala: 128
# Channel 2 External MISC EOPs nga gipadala: 128
# Channel 2 External SOPs nadawat: 132
# Channel 2 External EOPs nadawat: 132
# Channel 2 External PTP SOPs nadawat: 4
# Channel 2 External PTP EOPs nadawat: 4
# Channel 2 External MISC SOPs nadawat: 128
# Channel 2 External MISC EOPs nakadawat: 128
# Channel 2 External Error nga gitaho: 0
# Channel 2 External Timetamp Gi-report ang Fingerprint Error: 0
# Channel 3 eCPRI SOP nga gipadala: 300
# Channel 3 eCPRI EOPs nga gipadala: 300
# Channel 3 eCPRI SOPs nadawat: 300
# Channel 3 eCPRI EOPs nadawat: 300
# Channel 3 eCPRI Error nga gitaho: 0
# Channel 3 External PTP SOP nga gipadala: 4
# Channel 3 External PTP EOPs nga gipadala: 4
# Channel 3 External MISC SOPs nga gipadala: 128
# Channel 3 External MISC EOPs nga gipadala: 128
# Channel 3 External SOPs nadawat: 132
# Channel 3 External EOPs nadawat: 132
# Channel 3 External PTP SOPs nadawat: 4
# Channel 3 External PTP EOPs nadawat: 4
# Channel 3 External MISC SOPs nadawat: 128
# Channel 3 External MISC EOPs nakadawat: 128
# Channel 3 External Error nga gitaho: 0
# Channel 3 External Timetamp Gi-report ang Fingerprint Error: 0
# _________________________________________________________
# INFO: PASSED na ang test
#
# _________________________________________________________

Sampang Output: Ang mosunod nga sampAng output naghulagway sa usa ka malampuson nga simulation test run sa eCPRI IP design example nga adunay feature sa IWF nga naka-enable sa Gidaghanon sa mga Channel = 4:

# I-enable ang CPRI TX
# CPRI Channel 0 L1_CONFIG : 00000001
# CPRI Channel 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 1 L1_CONFIG : 00000001
# CPRI Channel 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 2 L1_CONFIG : 00000001
# CPRI Channel 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 3 L1_CONFIG : 00000001
# CPRI Channel 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Naghulat alang sa pag-align sa RX
Ang # RX deskew naka-lock
Ang # RX lane alignment naka-lock
# Naghulat nga klaro ang sayup sa link
# Klaro nga sayup sa link
# Address sa Tinubdan sa MAC 0_0 Channel 0: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 0: 00007788
# MAC Destinasyon nga Address 0_0 Channel 0: 33445566
# MAC Destinasyon nga Address 0_1 Channel 0: 00007788
# MAC Destinasyon nga Address 1_0 Channel 0: 11223344
# MAC Destinasyon nga Address 1_1 Channel 0: 00005566
# MAC Destinasyon nga Address 2_0 Channel 0: 22334455
# MAC Destinasyon nga Address 2_1 Channel 0: 00006677
# MAC Destinasyon nga Address 3_0 Channel 0: 44556677
# MAC Destinasyon nga Address 3_1 Channel 0: 00008899
# MAC Destinasyon nga Address 4_0 Channel 0: 66778899
# MAC Destinasyon nga Address 4_1 Channel 0: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 0: 778899aa
# MAC Destination Address 5_1 Channel 0: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 0: 8899aabb
# MAC Destination Address 6_1 Channel 0: 0000ccdd
# MAC Destination Address 7_0 Channel 0: 99aabbcc
# MAC Destination Address 7_1 Channel 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 0: 00000241
# eCPRI nga bersyon Channel 0: 2
# Address sa Tinubdan sa MAC 0_0 Channel 1: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 1: 00007788
# MAC Destinasyon nga Address 0_0 Channel 1: 33445566
# MAC Destinasyon nga Address 0_1 Channel 1: 00007788
# MAC Destinasyon nga Address 1_0 Channel 1: 11223344
# MAC Destinasyon nga Address 1_1 Channel 1: 00005566
# MAC Destinasyon nga Address 2_0 Channel 1: 22334455
# MAC Destinasyon nga Address 2_1 Channel 1: 00006677
# MAC Destinasyon nga Address 3_0 Channel 1: 44556677
# MAC Destinasyon nga Address 3_1 Channel 1: 00008899
# MAC Destinasyon nga Address 4_0 Channel 1: 66778899
# MAC Destinasyon nga Address 4_1 Channel 1: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 1: 778899aa
# MAC Destination Address 5_1 Channel 1: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 1: 8899aabb
# MAC Destination Address 6_1 Channel 1: 0000ccdd
# MAC Destination Address 7_0 Channel 1: 99aabbcc
# MAC Destination Address 7_1 Channel 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 1: 00000241
# eCPRI nga bersyon Channel 1: 2
# Address sa Tinubdan sa MAC 0_0 Channel 2: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 2: 00007788
# MAC Destinasyon nga Address 0_0 Channel 2: 33445566
# MAC Destinasyon nga Address 0_1 Channel 2: 00007788
# MAC Destinasyon nga Address 1_0 Channel 2: 11223344
# MAC Destinasyon nga Address 1_1 Channel 2: 00005566
# MAC Destinasyon nga Address 2_0 Channel 2: 22334455
# MAC Destinasyon nga Address 2_1 Channel 2: 00006677
# MAC Destinasyon nga Address 3_0 Channel 2: 44556677
# MAC Destinasyon nga Address 3_1 Channel 2: 00008899
# MAC Destinasyon nga Address 4_0 Channel 2: 66778899
# MAC Destinasyon nga Address 4_1 Channel 2: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 2: 778899aa
# MAC Destination Address 5_1 Channel 2: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 2: 8899aabb
# MAC Destination Address 6_1 Channel 2: 0000ccdd
# MAC Destination Address 7_0 Channel 2: 99aabbcc
# MAC Destination Address 7_1 Channel 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 2: 00000241
# eCPRI nga bersyon Channel 2: 2
# Address sa Tinubdan sa MAC 0_0 Channel 3: 33445566
# Address sa Tinubdan sa MAC 0_1 Channel 3: 00007788
# MAC Destinasyon nga Address 0_0 Channel 3: 33445566
# MAC Destinasyon nga Address 0_1 Channel 3: 00007788
# MAC Destinasyon nga Address 1_0 Channel 3: 11223344
# MAC Destinasyon nga Address 1_1 Channel 3: 00005566
# MAC Destinasyon nga Address 2_0 Channel 3: 22334455
# MAC Destinasyon nga Address 2_1 Channel 3: 00006677
# MAC Destinasyon nga Address 3_0 Channel 3: 44556677
# MAC Destinasyon nga Address 3_1 Channel 3: 00008899
# MAC Destinasyon nga Address 4_0 Channel 3: 66778899
# MAC Destinasyon nga Address 4_1 Channel 3: 0000aabb
# MAC Destinasyon nga Address 5_0 Channel 3: 778899aa
# MAC Destination Address 5_1 Channel 3: 0000bbcc
# MAC Destinasyon nga Address 6_0 Channel 3: 8899aabb
# MAC Destination Address 6_1 Channel 3: 0000ccdd
# MAC Destination Address 7_0 Channel 3: 99aabbcc
# MAC Destination Address 7_1 Channel 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# I-enable ang interrupt eCPRI Common Control Channel 3: 00000241
# eCPRI nga bersyon Channel 3: 2
# Naghulat alang sa CPRI nga makab-ot ang HSYNC link up state
Ang # CPRI Channel 0 HSYNC nga estado nakab-ot
Ang # CPRI Channel 1 HSYNC nga estado nakab-ot
Ang # CPRI Channel 2 HSYNC nga estado nakab-ot
Ang # CPRI Channel 3 HSYNC nga estado nakab-ot
# 11100250000 Isulat ang 1 sa nego_bitrate_complete
# 11100650000 Pagboto PROT_VER Channel 0
# _________________________________________________________
# 11100850000 Rehistro sa botohan: a0000010
# _________________________________________________________
# 13105050000 Pagboto PROT_VER Channel 1
# _________________________________________________________
# 13105250000 Rehistro sa botohan: a0800010
# _________________________________________________________
# 13105950000 Pagboto PROT_VER Channel 2
# _________________________________________________________
# 13106150000 Rehistro sa botohan: a1000010
# _________________________________________________________
# 13106850000 Pagboto PROT_VER Channel 3
# _________________________________________________________
# 13107050000 Rehistro sa botohan: a1800010
# _________________________________________________________
# 13107750000 Isulat ang 1 sa nego_protol_complete
# 13108150000 Pagboto CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# _________________________________________________________
# 13108350000 Rehistro sa botohan: a0000020
# _________________________________________________________
# 14272050000 Pagboto CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# _________________________________________________________
# 14272250000 Rehistro sa botohan: a0800020
# _________________________________________________________
# 14272950000 Pagboto CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# _________________________________________________________
# 14273150000 Rehistro sa botohan: a1000020
# _________________________________________________________
# 14273850000 Pagboto CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# _________________________________________________________
# 14274050000 Rehistro sa botohan: a1800020
# _________________________________________________________
# 14274750000 Isulat ang 1 sa nego_cm_complete
# 14275150000 Isulat ang 1 sa nego_vss_complete
# Naghulat alang sa CPRI Channel 0 nga makab-ot ang HSYNC ug pagkasunod-sunod sa pagsugod FSM STATE_F
# CPRI Channel 0 HSYNC & startup sequence FSM STATE_F nakab-ot
# Naghulat alang sa CPRI Channel 1 nga makab-ot ang HSYNC ug pagkasunod-sunod sa pagsugod FSM STATE_F
# CPRI Channel 1 HSYNC & startup sequence FSM STATE_F nakab-ot
# Naghulat alang sa CPRI Channel 2 nga makab-ot ang HSYNC ug pagkasunod-sunod sa pagsugod FSM STATE_F
# CPRI Channel 2 HSYNC & startup sequence FSM STATE_F nakab-ot
# Naghulat alang sa CPRI Channel 3 nga makab-ot ang HSYNC ug pagkasunod-sunod sa pagsugod FSM STATE_F
# CPRI Channel 3 HSYNC & startup sequence FSM STATE_F nakab-ot
# _________________________________________________________
# INFO: Wala sa kahimtang sa pag-reset
# _________________________________________________________
#
#
# Channel 0 eCPRI TX SOP nga ihap : 0
# Channel 0 eCPRI TX EOPs ihap : 0
# Channel 0 eCPRI RX SOP nga ihap : 0
# Channel 0 eCPRI RX EOPs ihap : 0
# Channel 0 External PTP TX SOP nga ihap : 0
# Channel 0 External PTP TX EOPs ihap : 0
# Channel 0 External MISC TX SOP nga ihap : 0
# Channel 0 External MISC TX EOPs ihap : 0
# Channel 0 External RX SOPs count: 0
# Channel 0 External RX EOPs ihap : 0
# Channel 1 eCPRI TX SOP nga ihap : 0
# Channel 1 eCPRI TX EOPs ihap : 0
# Channel 1 eCPRI RX SOP nga ihap : 0
# Channel 1 eCPRI RX EOPs ihap : 0
# Channel 1 External PTP TX SOP nga ihap : 0
# Channel 1 External PTP TX EOPs ihap : 0
# Channel 1 External MISC TX SOP nga ihap : 0
# Channel 1 External MISC TX EOPs ihap : 0
# Channel 1 External RX SOPs count: 0
# Channel 1 External RX EOPs ihap : 0
# Channel 2 eCPRI TX SOP nga ihap : 0
# Channel 2 eCPRI TX EOPs ihap : 0
# Channel 2 eCPRI RX SOP nga ihap : 0
# Channel 2 eCPRI RX EOPs ihap : 0
# Channel 2 External PTP TX SOP nga ihap : 0
# Channel 2 External PTP TX EOPs ihap : 0
# Channel 2 External MISC TX SOP nga ihap : 0
# Channel 2 External MISC TX EOPs ihap : 0
# Channel 2 External RX SOPs count: 0
# Channel 2 External RX EOPs ihap : 0
# Channel 3 eCPRI TX SOP nga ihap : 0
# Channel 3 eCPRI TX EOPs ihap : 0
# Channel 3 eCPRI RX SOP nga ihap : 0
# Channel 3 eCPRI RX EOPs ihap : 0
# Channel 3 External PTP TX SOP nga ihap : 0
# Channel 3 External PTP TX EOPs ihap : 0
# Channel 3 External MISC TX SOP nga ihap : 0
# Channel 3 External MISC TX EOPs ihap : 0
# Channel 3 External RX SOPs count: 0
# Channel 3 External RX EOPs ihap : 0
# _________________________________________________________
# INFO: Pagsugod sa pagpadala sa mga pakete
# _________________________________________________________
#
#
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 0 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 0 eCPRI TX
# INFO: Naghulat sa Channel 0 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 0 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 0 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 0 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 1 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 1 eCPRI TX
# INFO: Naghulat sa Channel 1 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 1 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 1 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 1 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 2 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 2 eCPRI TX
# INFO: Naghulat sa Channel 2 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 2 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 2 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 2 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# INFO: Naghulat nga makompleto ang pagbalhin sa trapiko sa Channel 3 eCPRI TX
# INFO: Nakumpleto ang pagbalhin sa trapiko sa Channel 3 eCPRI TX
# INFO: Naghulat sa Channel 3 eCPRI External TX PTP traffic transfer sa
kompleto
# INFO: Channel 3 eCPRI External TX PTP traffic transfer nahuman
# INFO: Naghulat sa Channel 3 eCPRI External TX Misc nga pagbalhin sa trapiko sa
kompleto
# INFO: Channel 3 eCPRI External TX Misc nga pagbalhin sa trapiko nahuman
# _________________________________________________________
# INFO: Hunonga ang pagpadala sa mga pakete
# _________________________________________________________
#
#
# _________________________________________________________
# INFO: Pagsusi sa mga istatistika sa pakete
# _________________________________________________________
#
#
# Channel 0 eCPRI SOP nga gipadala: 50
# Channel 0 eCPRI EOPs nga gipadala: 50
# Channel 0 eCPRI SOPs nadawat: 50
# Channel 0 eCPRI EOPs nadawat: 50
# Channel 0 eCPRI Error nga gitaho: 0
# Channel 0 External PTP SOP nga gipadala: 4
# Channel 0 External PTP EOPs nga gipadala: 4
# Channel 0 External MISC SOPs nga gipadala: 128
# Channel 0 External MISC EOPs nga gipadala: 128
# Channel 0 External SOPs nadawat: 132
# Channel 0 External EOPs nadawat: 132
# Channel 0 External PTP SOPs nadawat: 4
# Channel 0 External PTP EOPs nadawat: 4
# Channel 0 External MISC SOPs nadawat: 128
# Channel 0 External MISC EOPs nakadawat: 128
# Channel 0 External Error nga gitaho: 0
# Channel 0 External Timetamp Gi-report ang Fingerprint Error: 0
# Channel 1 eCPRI SOP nga gipadala: 50
# Channel 1 eCPRI EOPs nga gipadala: 50
# Channel 1 eCPRI SOPs nadawat: 50
# Channel 1 eCPRI EOPs nadawat: 50
# Channel 1 eCPRI Error nga gitaho: 0
# Channel 1 External PTP SOP nga gipadala: 4
# Channel 1 External PTP EOPs nga gipadala: 4
# Channel 1 External MISC SOPs nga gipadala: 128
# Channel 1 External MISC EOPs nga gipadala: 128
# Channel 1 External SOPs nadawat: 132
# Channel 1 External EOPs nadawat: 132
# Channel 1 External PTP SOPs nadawat: 4
# Channel 1 External PTP EOPs nadawat: 4
# Channel 1 External MISC SOPs nadawat: 128
# Channel 1 External MISC EOPs nakadawat: 128
# Channel 1 External Error nga gitaho: 0
# Channel 1 External Timetamp Gi-report ang Fingerprint Error: 0
# Channel 2 eCPRI SOP nga gipadala: 50
# Channel 2 eCPRI EOPs nga gipadala: 50
# Channel 2 eCPRI SOPs nadawat: 50
# Channel 2 eCPRI EOPs nadawat: 50
# Channel 2 eCPRI Error nga gitaho: 0
# Channel 2 External PTP SOP nga gipadala: 4
# Channel 2 External PTP EOPs nga gipadala: 4
# Channel 2 External MISC SOPs nga gipadala: 128
# Channel 2 External MISC EOPs nga gipadala: 128
# Channel 2 External SOPs nadawat: 132
# Channel 2 External EOPs nadawat: 132
# Channel 2 External PTP SOPs nadawat: 4
# Channel 2 External PTP EOPs nadawat: 4
# Channel 2 External MISC SOPs nadawat: 128
# Channel 2 External MISC EOPs nakadawat: 128
# Channel 2 External Error nga gitaho: 0
# Channel 2 External Timetamp Gi-report ang Fingerprint Error: 0
# Channel 3 eCPRI SOP nga gipadala: 50
# Channel 3 eCPRI EOPs nga gipadala: 50
# Channel 3 eCPRI SOPs nadawat: 50
# Channel 3 eCPRI EOPs nadawat: 50
# Channel 3 eCPRI Error nga gitaho: 0
# Channel 3 External PTP SOP nga gipadala: 4
# Channel 3 External PTP EOPs nga gipadala: 4
# Channel 3 External MISC SOPs nga gipadala: 128
# Channel 3 External MISC EOPs nga gipadala: 128
# Channel 3 External SOPs nadawat: 132
# Channel 3 External EOPs nadawat: 132
# Channel 3 External PTP SOPs nadawat: 4
# Channel 3 External PTP EOPs nadawat: 4
# Channel 3 External MISC SOPs nadawat: 128
# Channel 3 External MISC EOPs nakadawat: 128
# Channel 3 External Error nga gitaho: 0
# Channel 3 External Timetamp Gi-report ang Fingerprint Error: 0
# _________________________________________________________
# INFO: PASSED na ang test
#
# _________________________________________________________

1.4.1. Pag-enable sa Dynamic Reconfiguration sa Ethernet IP
Sa kasagaran, ang dinamikong reconfiguration kay disabled sa eCPRI IP design example ug kini magamit lamang sa Intel Stratix 10 (E-tile ug H-tile) ug Intel Agilex 7 (E-tile) nga disenyo examples.

  1. Pangitaa ang mosunod nga linya sa test_wrapper.sv gikan sa namugna <design_example_dir>/simulation/testbench nga direktoryo: parameter ETHERNET_DR_EN = 0
  2. Usba ang bili gikan sa 0 ngadto sa 1: parameter ETHERNET_DR_EN = 1
  3. Balika ang simulation gamit ang parehas nga nahimo nga exampang direktoryo sa disenyo.

1.5. Pag-compile sa Compilation-Only Project
Sa pag-compile sa compilation-only exampsa proyekto, sunda kini nga mga lakang:

  1. Siguradoha ang disenyo sa compilation exampkompleto na ang henerasyon.
  2. Sa software nga Intel Quartus Prime Pro Edition, ablihi ang proyekto sa Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Sa Processing menu, i-klik ang Start Compilation.
  4. Human sa malampuson nga paghugpong, ang mga taho alang sa tayming ug alang sa paggamit sa kahinguhaan anaa sa imong sesyon sa Intel Quartus Prime Pro Edition. Adto sa Processing ➤ Compilation Report to view ang detalyado nga report sa paghugpong.
    May Kalabutan nga Impormasyon
    Mga Agos sa Disenyo nga Gibase sa Block

1.6. Pag-compile ug Pag-configure sa Disenyo Exampsa Hardware
Sa pag-compile sa hardware design example ug i-configure kini sa imong Intel device, sunda kini nga mga lakang:

  1. Siguroha ang disenyo sa hardware exampkompleto na ang henerasyon.
  2. Sa Intel Quartus Prime Pro Edition software, ablihi ang Intel Quartus Prime nga proyektoample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Sa Processing menu, i-klik ang Start Compilation.
  4. Human sa malampuson nga paghugpong, usa ka .sof file anaa saample_dir>/ synthesis/quartus/output_files direktoryo. Sunda kini nga mga lakang sa programa sa hardware design exampsa Intel FPGA device:
    a. Ikonektar ang Development Kit sa host computer.
    b. Ilunsad ang aplikasyon sa Clock Control, nga kabahin sa development kit, ug itakda ang bag-ong mga frequency alang sa ex design.ample. Sa ubos mao ang setting sa frequency sa aplikasyon sa Clock Control:
    • Kung imong gipunting ang imong desinyo sa Intel Stratix 10 GX SI Development Kit:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 ug OUT5- 307.2 MHz
    • Kung imong gipunting ang imong disenyo sa Intel Stratix 10 TX SI Development Kit:
    — U1, CLK4- 322.265625 MHz (Para sa 25G data rate)
    — U6- 156.25 MHz (Para sa 10G data rate)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • Kung imong gipunting ang imong disenyo sa Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Kung imong gipunting ang imong disenyo sa Intel Arria 10 GX SI Development Kit:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c. Sa Tools menu, i-klik ang Programmer.
    d. Sa Programmer, i-klik ang Hardware Setup.
    e. Pagpili ug programming device.
    f. Pilia ug idugang ang Development Kit diin ang imong Intel Quartus Prime Pro Edition nga sesyon makakonektar.
    g. Siguroha nga ang Mode gitakda sa JTAG.
    h. Pilia ang device ug i-klik ang Add Device. Ang Programmer nagpakita og block diagram sa mga koneksyon tali sa mga device sa imong board.
    i. Ikarga ang .sof file sa imong tagsa-tagsa ka Intel FPGA device.
    j. I-load ang Executable ug Linking format (.elf) file sa imong Intel Stratix 10 o
    Intel Agilex 7 device kung nagplano ka nga ipahigayon ang dinamikong reconfiguration (DR) aron mabalhin ang data rate tali sa 25G ug 10G. Sunda ang mga instruksyon gikan sa Generating and Downloading the Executable and Linking Format (.elf) Programming File sa pahina 38 aron mamugna ang .elf file.
    k. Sa laray sa imong .sof, susiha ang Program/Configure nga kahon para sa .sof file.
    l. I-klik ang Start.

May Kalabutan nga Impormasyon

  • Disenyo nga Gibase sa Block
  • Giya sa Gumagamit sa Intel Quartus Prime Programmer
  • Pag-analisar ug Pag-debug sa mga Disenyo gamit ang System Console
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Giya sa Gumagamit
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Giya sa Gumagamit
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Giya sa Gumagamit
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Giya sa Gumagamit

1.7. Pagsulay sa eCPRI Intel FPGA IP Design Example
Human nimo makolekta ang eCPRI Intel FPGA IP core design exampug i-configure kini sa imong Intel FPGA device, mahimo nimong gamiton ang System Console sa pagprograma sa IP core ug sa iyang embedded Native PHY IP core registers.
Aron ma-on ang System Console ug sulayan ang disenyo sa hardware example, sunda kini nga mga lakang:

  1. Pagkahuman sa disenyo sa hardware example gi-configure sa Intel device, sa Intel Quartus Prime Pro Edition software, sa Tools menu, i-klik ang System Debugging Tools ➤ System Console.
  2. Sa Tcl Console pane, usba ang direktoryo sa <design_example_dir>/ synthesis/quartus/hardware_test ug i-type ang mosunod nga sugo aron maablihan ang koneksyon sa JTAG master ug sugdi ang pagsulay:
    • tinubdan ecpri_agilex.tcl alang sa Intel Agilex 7 mga disenyo
    • tinubdan ecpri_s10.tcl alang sa Intel Stratix 10 mga disenyo
    • tinubdan ecpri_a10.tcl alang sa Intel Arria 10 disenyo
  3. Para sa imong Intel Stratix 10 o Intel Agilex 7 E-tile device nga mga variation, kinahanglan nimo nga buhaton ang internal o external loopback command kausa human nimo iprograma ang .sof file:
    a. Usba ang TEST_MODE variable sa dagan.c file sa pagpili sa loopback mode:
    TEST_MODE Aksyon
    0 Serial loopback makahimo alang sa simulation lamang
    1 Ang serial loopback makahimo alang sa hardware lamang
    2 Serial loopback ug calibration
    3 Pag-calibrate lamang

    Kinahanglan nimong i-compile ug i-regenerate ang NIOS II software sa matag higayon nga imong usbon ang dagan.c file.
    b. I-regenerate ang .elf file ug programa sa board sa makausa pa ug iprograma usab ang .sof file.

  4. Sulayi ang operasyon sa disenyo pinaagi sa mga sugo nga gisuportahan sa script sa system console. Ang system console script naghatag ug mapuslanong mga sugo para sa pagbasa sa mga estadistika ug mga feature nga makapaarang sa disenyo.

Talaan 4. System Console Script Commands

Sugo Deskripsyon
loop_on Makapahimo sa TX ngadto sa RX internal serial loopback. Paggamit alang sa Intel Stratix 10 H-tile ug Intel Arria 10 nga mga aparato lamang.
loop_off Gipugngan ang TX ngadto sa RX internal nga serial loopback. Paggamit alang sa Intel Stratix 10 H-tile ug Intel Arria 10 nga mga aparato lamang.
link _ init _ int _1pbk Makapahimo sa TX ngadto sa RX internal nga serial loopback sulod sa transceiver ug mopahigayon sa transceiver calibration flow. Magamit sa Intel Stratix 10 E-tile ug Intel Agilex 7 E-tile nga mga disenyo lamang.
link _ init _ ext _1pbk Makapahimo sa TX ngadto sa RX external loopback ug nagpahigayon sa transceiver calibration flow. Magamit sa Intel Stratix 10 E-tile ug Intel Agilex 7 E-tile nga mga disenyo lamang.
traffic gen disable Gi-disable ang traffic generator ug checker.
estadistika sa chkmac Nagpakita sa mga estadistika alang sa Ethernet MAC.
basaha_ pagsulay_ estadistika Ipakita ang mga estadistika sa sayup alang sa generator sa trapiko ug mga checker.
ext _ padayon _ mode _en I-reset ang tibuok nga sistema sa disenyo, ug makapahimo sa traffic generator nga makamugna og padayon nga traffic packets.
dr _ 25g _ to _ lOg _etile Gibalhin ang data rate sa Ethernet MAC gikan sa 25G ngadto sa 10G. Paggamit alang sa Intel Stratix 10 E-tile ug Intel Agilex 7 E-tile nga mga himan lamang.
dr_25g_to_10g_htile Gibalhin ang data rate sa Ethernet MAC gikan sa 25G ngadto sa 10G. Gamita para sa H-tile nga mga himan lamang
dr_10g_to_25g_etile Gibalhin ang data rate sa Ethernet MAC gikan sa 10G ngadto sa 25G. Paggamit alang sa Intel Stratix 10 E-tile ug Intel Agilex 7 E-tile nga mga himan lamang.
dr _ 25g _ to _ lOg _htile Gibalhin ang data rate sa Ethernet MAC gikan sa 10G ngadto sa 25G. Gamita para sa H-tile nga mga himan lamang.

Ang mosunod nga sample output naghulagway sa usa ka malampuson nga pagsulay run:
System Console Printout (Gidaghanon sa mga Channel = 1)
Channel 0 EXT PTP TX SOP Ihap: 256
Channel 0 EXT PTP TX EOP Ihap: 256
Channel 0 EXT MISC TX SOP Ihap: 36328972
Channel 0 EXT MISC TX EOP Ihap: 36369511
Channel 0 EXT RX SOP Ihap: 36410364
Channel 0 EXT RX EOP Ihap: 36449971
Channel 0 EXT Checker Error: 0
Channel 0 EXT Checker Error Ihap: 0
Channel 0 EXT PTP Fingerprint Error: 0
Channel 0 EXT PTP Fingerprint Error Ihap: 0
Channel 0 TX SOP Ihap: 1337760
Channel 0 TX EOP Ihap: 1339229
Channel 0 RX SOP Ihap: 1340728
Channel 0 RX EOP Ihap: 1342555
Channel 0 Checker Error: 0
Channel 0 Checker Error Ihap: 0

=================================================================== ============================
=============
ETHERNET MAC STATISTICS PARA SA Channel 0 (Rx)

=================================================================== ============================
=============
Mga Fragment nga Frame: 0
Mga Jabbered Frame: 0
Husto nga Laki nga adunay FCS Err Frame: 0
Multicast data Err Frames: 0
Data sa broadcast Err Frames: 0
Unicast data Err Frames: 0
64 Byte nga mga Frame : 3641342
65 – 127 Byte nga mga Frame : 0
128 – 255 Byte nga mga Frame : 37404809
256 – 511 Byte nga mga Frame : 29128650
512 – 1023 Byte nga mga Frame : 0
1024 – 1518 Byte nga mga Frame : 0
1519 – MAX Byte Frames : 0
> MAX Byte nga mga Frame : 0
Multicast data OK Frame: 70174801
Data sa broadcast OK Frame: 0
Unicast data OK Frames: 0
Multicast Control Frames: 0
Mga Frame sa Pagkontrol sa Broadcast: 0
Unicast Control Frames: 0
Ihunong ang Control Frame: 0
Payload Octets OK: 11505935812
OK ra ang Frame Octets : 12918701444
Rx Maximum Frame Length: 1518
Bisan unsang Laki nga adunay FCS Err Frame: 0
Multicast control Err Frame: 0
Pagkontrol sa broadcast Err Frame: 0
Unicast control Err Frames: 0
Ihunong ang pagkontrol sa Err Frames: 0
Rx Frame Nagsugod: 70174801

Ang mosunod mao ang sampang output alang sa 25G ngadto sa 10G DR test run:
System Console Printout (25G to 10G DR E-tile)

Pagsugod sa Dynamic Reconfiguration para sa Ethernet 25G -> 10G
DR Malampusong 25G -> 10G
RX PHY Register Access: Pagsusi sa Clock Frequencies (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY Status Polling
Rx Frequency Lock Status 0x0000000f
Ang Mac Clock sa OK nga Kondisyon? 0x00000001
Rx Frame Error ? 0x00000000
Rx PHY Hingpit nga Nahiangay? 0x00000001
Pagboto sa RX PHY Channel 0
Ang RX PHY Channel 0 kay nagdagan na!

System Console Printout (25G to 10G DR H-tile)
Pagsugod sa Dynamic Reconfiguration para sa Ethernet 25G -> 10G
DR Malampusong 25G -> 10G
RX PHY Register Access: Pagsusi sa Clock Frequencies (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY Status Polling
Rx Frequency Lock Status 0x00000001
Ang Mac Clock sa OK nga Kondisyon? 0x00000007
Rx Frame Error ? 0x00000000
Rx PHY Hingpit nga Nahiangay? 0x00000001
Pagboto sa RX PHY Channel 0
Ang RX PHY Channel 0 kay nagdagan na!

System Console Printout (10G to 25G DR E-tile)
Pagsugod sa Dynamic Reconfiguration para sa Ethernet 10G -> 25G
DR Malampusong 10G -> 25G
RX PHY Register Access: Pagsusi sa Clock Frequencies (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY Status Polling
Rx Frequency Lock Status 0x0000000f
Ang Mac Clock sa OK nga Kondisyon? 0x00000001
Rx Frame Error ? 0x00000000
Rx PHY Hingpit nga Nahiangay? 0x00000001
Pagboto sa RX PHY Channel 0
Ang RX PHY Channel 0 kay nagdagan na!

System Console Printout (10G to 25G DR H-tile)
Pagsugod sa Dynamic Reconfiguration para sa Ethernet 10G -> 25G
DR Malampusong 10G -> 25G
RX PHY Register Access: Pagsusi sa Clock Frequencies (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY Status Polling
Rx Frequency Lock Status 0x00000001
Ang Mac Clock sa OK nga Kondisyon? 0x00000007
Rx Frame Error ? 0x00000000
Rx PHY Hingpit nga Nahiangay? 0x00000001
Pagboto sa RX PHY Channel 0
Ang RX PHY Channel 0 kay nagdagan na!

Disenyo Exampang Deskripsyon

Ang disenyo example nagpakita sa batakang pagpaandar sa eCPRI IP core. Mahimo nimong makamugna ang disenyo gikan sa Example Design tab sa eCPRI IP parameter editor.

2.1. Mga bahin

  • Internal nga TX ug RX serial loopback mode
  • Awtomatikong nagmugna og fixed size packets
  • Panguna nga mga kapabilidad sa pagsusi sa pakete
  • Abilidad sa paggamit sa System Console sa pagsulay sa disenyo ug pag-reset sa disenyo alang sa re-testing nga katuyoan

2.2. Disenyo sa Hardware Example
Figure 5. Block Diagram alang sa Intel Agilex 7 F-tile DesignseCPRI Intel FPGA IP Design - Hulagway 5

Intel Corporation. Tanang katungod gigahin. Ang Intel, ang logo sa Intel, ug uban pang mga marka sa Intel mao ang mga marka sa pamatigayon sa Intel Corporation o mga subsidiary niini. Gigarantiya sa Intel ang paghimo sa iyang mga produkto nga FPGA ug semiconductor sa kasamtangang mga espesipikasyon subay sa standard warranty sa Intel, apan adunay katungod sa paghimog mga pagbag-o sa bisan unsang produkto ug serbisyo bisan unsang orasa nga wala’y pahibalo. Ang Intel walay responsibilidad o tulubagon nga naggikan sa aplikasyon o paggamit sa bisan unsang impormasyon, produkto, o serbisyo nga gihulagway dinhi gawas sa dayag nga giuyonan sa pagsulat sa Intel. Gitambagan ang mga kostumer sa Intel nga makuha ang pinakabag-o nga bersyon sa mga detalye sa aparato sa dili pa magsalig sa bisan unsang gipatik nga kasayuran ug sa dili pa magbutang mga order alang sa mga produkto o serbisyo. *Ang ubang mga ngalan ug mga tatak mahimong maangkon nga gipanag-iya sa uban.

Figure 6. Block Diagram alang sa Intel Agilex 7 E-tile DesignseCPRI Intel FPGA IP Design - Hulagway 6Figure 7. Block Diagram alang sa Intel Stratix 10 DesignseCPRI Intel FPGA IP Design - Hulagway 7

Figure 8. Block Diagram alang sa Intel Arria 10 DesignseCPRI Intel FPGA IP Design - Hulagway 8Ang eCPRI Intel FPGA IP core hardware design example naglakip sa mosunod nga mga sangkap:
eCPRI Intel FPGA IP
Gidawat ang datos gikan sa mga traffic generator nga gi-instantiate sulod sa test wrapper ug unahon ang data para sa transmission sa Ethernet IP.

Ethernet IP

  • F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-tile nga mga disenyo)
  • E-tile Hard IP alang sa Ethernet (Intel Stratix 10 o Intel Agilex 7 E-tile nga mga disenyo)
  • 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-tile nga mga disenyo)
  • Ubos nga Latency Ethernet 10G MAC IP ug 1G/10GbE ug 10GBASE-KR PHY IP (Intel Arria 10 nga mga disenyo)

Precision Time Protocol (PTP) IO PLL
Para sa Intel Stratix 10 H-tile nga mga disenyo—Gipadali aron makamugna ang latency measurement input reference clock para sa Ethernet IP ug sampling clock alang sa Time of Day (TOD) subsystem. Para sa 25G Ethernet Intel Stratix 10 FPGA IP nga adunay feature nga IEEE 1588v2, girekomenda ka sa Intel nga itakda ang frequency niini nga orasan ngadto sa 156.25 MHz. Tan-awa ang 25G Ethernet Intel Stratix 10 FPGA IP User Guide ug Intel Stratix 10 H-tile Transceiver PHY User Guide para sa dugang impormasyon. Ang PTP IOPLL nagmugna usab sa reperensya nga orasan para sa eCPRI IO PLL sa paagi nga nag-cascade.
Para sa mga disenyo sa Intel Arria 10—Gihimo aron makamugna ang 312.5 MHz ug 156.25 MHz  nga mga input sa orasan para sa Low Latency Ethernet 10G MAC IP ug 1G/10GbE, 10GBASE-KR PHY IP, ug eCPRI IP .

eCPRI IO PLL
Naghimo og core clock output nga 390.625 MHz para sa TX ug RX nga agianan sa eCPRI IP, ug mga sangkap sa trapiko.
Mubo nga sulat: Kini nga bloke anaa lamang sa disenyo exampnga gihimo alang sa Intel Stratix 10 ug Intel Agilex 7 nga mga aparato.

Mubo nga sulat: Ang kasamtangan nga bersyon sa eCPRI Intel FPGA IP nagsuporta lamang sa IWF type 0. Para sa Intel Agilex 7 F-tile nga mga himan, ang disenyo exampAng gipagana sa IWF nga bahin dili suportado.
Kung makamugna ka sa disenyo example uban ang Interworking Function (IWF) Support parameter nga gipalong, ang packet traffic moagos direkta gikan sa test wrapper module ngadto sa Avalon-ST source/sink interface ug external source/sink interface sa eCPRI IP.
Kung makamugna ka sa disenyo exampuban ang Interworking Function (IWF) Support parameter nga gi-on, ang packet traffic moagos ngadto sa IWF Avalon-ST sink interface gikan sa test wrapper module una, ug gikan sa IWF Avalon-ST source interface ngadto sa eCPRI Avalon-ST source/sink interface.
CPRI MAC
Naghatag sa bahin sa CPRI sa layer 1 ug full layer 2 nga mga protocol alang sa pagbalhin sa eroplano sa gumagamit, C&M, ug kasayuran sa pag-synchronize tali sa REC ug RE ingon man tali sa duha nga RE,
CPRI PHY
Naghatag sa nahabilin nga bahin sa CPRI layer 1 protocol alang sa line coding, pagtul-id sa gamay nga sayup / pagkakita, ug uban pa.

Mubo nga sulat: Ang CPRI MAC ug CPRI PHY IP gi-instantiate sa kini nga disenyo exampGi-configure nga modagan sa usa ka rate sa linya sa CPRI nga 9.8 Gbps lamang. Ang disenyo exampDili mosuporta ang line rate auto-negotiation sa kasamtangang pagpagawas.

Test Wrapper
Naglangkob sa mga generator sa trapiko ug mga checker nga nagmugna og lain-laing set sa data packets sa Avalon Streaming (Avalon-ST) nga mga interface sa eCPRI IP sama sa ubos:

  • eCPRI packets ngadto sa Avalon-ST source/sink interfaces (IWF feature disabled):
    - Nagsuporta lamang sa tipo sa mensahe 2.
    — Back-to-back mode generation nga adunay incremental pattern mode generation ug payload size nga 72 bytes para sa matag pakete.
    — Ma-configure pinaagi sa CSR aron modagan sa bisan unsang dili padayon o padayon nga mode.
    — TX/RX packet statistic status nga magamit aron ma-access pinaagi sa CSR.
  • eCPRI packets ngadto sa Avalon-ST source/sink interfaces (IWF feature enabled):
    - Gisuportahan lamang ang tipo sa mensahe 0 sa karon nga pagpagawas.
    — Ang incremental pattern mode generation nga adunay interpacket gap generation ug payload size nga 240 bytes alang sa matag pakete.
    — Ma-configure pinaagi sa CSR aron modagan sa bisan unsang dili padayon o padayon nga mode.
    — TX/RX packet statistic status nga magamit aron ma-access pinaagi sa CSR.
  • Precision Time Protocol (1588 PTP) packet ug non-PTP miscellaneous packets ngadto sa External source/sink interfaces:
    — Static Ethernet header generation nga adunay pre-defined parameters: Ethertype0x88F7, Message type- Opcode 0 (Sync), ug PTP version-0.
    — Pre-defined pattern mode generation nga adunay interpacket gap sa 2 cycles ug payload size nga 57 bytes alang sa matag pakete.
    — 128 ka pakete ang namugna sa panahon sa matag usa ka segundo.
    — Ma-configure pinaagi sa CSR aron modagan sa bisan unsang dili padayon o padayon nga mode.
    — TX/RX packet statistic status nga magamit aron ma-access pinaagi sa CSR.
  • External non-PTP miscellaneous packets:
    — Static Ethernet Header nga henerasyon nga adunay pre-defined parameter, Ethertype- 0x8100 (non-PTP).
    — PRBS pattern mode generation nga adunay interpacket gap sa 2 cycles ug payload size nga 128 bytes para sa matag packet.
    — Ma-configure pinaagi sa CSR aron modagan sa bisan unsang dili padayon o padayon nga mode.
    — TX/RX packet statistic status nga magamit aron ma-access pinaagi sa CSR.

Subsystem sa Oras sa Adlaw (TOD).
Naglangkob sa duha ka IEEE 1588 TOD modules para sa TX ug RX, ug usa ka IEEE 1588 TOD Synchronizer module nga gihimo sa Intel Quartus Prime software.
Nios® II Subsystem
Naglangkob sa Avalon-MM bridge nga nagtugot sa Avalon-MM data arbitration tali sa Nios II processor, test wrapper, ug Avalon® -MM address decoder blocks.
Ang Nios II maoy responsable sa paghimo sa data rate switching base sa output gikan sa rate_switch register value sa test wrapper. Kini nga block nagprograma sa gikinahanglan nga rehistro sa higayon nga kini makadawat og sugo gikan sa test wrapper.

Nota: Kini nga bloke wala diha sa disenyo exampnamugna alang sa Intel Arria 10 ug Intel Agilex 7 F-tile nga mga himan.
System Console
Naghatag usa ka user-friendly nga interface aron mahimo nimo ang una nga lebel sa pag-debug ug pag-monitor sa kahimtang sa IP, ug ang mga generator sa trapiko ug mga checker.
Demo Control
Kini nga module naglangkob sa reset synchronizer modules, ug In-system Source and Probe (ISSP) modules para sa disenyo sa sistema sa pag-debug ug proseso sa pagsugod.

May Kalabutan nga Impormasyon

  • 25G Ethernet Intel Stratix 10 FPGA IP Giya sa Gumagamit
  • E-tile Hard IP User Guide
  • eCPRI Intel FPGA IP User Guide
  • 25G Ethernet Intel Stratix 10 FPGA IP Design Example Giya sa Gumagamit
  • E-tile Hard IP alang sa Intel Stratix 10 Design Examples Giya sa Gumagamit
  • Intel Stratix 10 L- ug H-Tile Transceiver PHY Giya sa Gumagamit
  • Giya sa Gumagamit sa E-Tile Transceiver PHY
  • Intel Stratix 10 10GBASE-KR PHY IP Giya sa Gumagamit
  • E-tile Hard IP Intel Agilex Design Example Giya sa Gumagamit

2.3. Disenyo sa Simulation Example
Ang disenyo sa eCPRI example makamugna og usa ka simulation testbench ug simulation files nga nagpasiugda sa eCPRI Intel FPGA IP core kung imong pilion ang opsyon sa Simulation o Synthesis & Simulation.

Figure 9. eCPRI Intel FPGA IP Simulation Block DiagrameCPRI Intel FPGA IP Design - Hulagway 9

Mubo nga sulat: Ang Nios II Subsystem block wala sa disenyo exampnamugna alang sa Intel Arria 10 ug Intel Agilex 7 F-tile nga mga himan.
Niini nga disenyo exampSa pagkakaron, ang simulation testbench naghatag ug batakang kagamitan sama sa pagsugod ug paghulat sa lock, pagpadala ug pagdawat sa mga pakete.

Ang malampuson nga pagsulay run nagpakita sa output nga nagpamatuod sa mosunod nga kinaiya:

  1. Ang lohika sa kliyente nag-reset sa IP core.
  2. Ang lohika sa kliyente naghulat alang sa pag-align sa RX datapath.
  3. Ang lohika sa kliyente nagpadala sa mga pakete sa interface sa Avalon-ST.
  4. Dawata ug susiha ang sulod ug pagkahusto sa mga pakete.
  5. Ipakita ang "Pagsulay PASSED" nga mensahe.

2.4. Mga Signal sa Interface
Talaan 5. Disenyo ExampMga Signal sa Interface

Signal Direksyon Deskripsyon
clk_ref Input Reperensya nga orasan alang sa Ethernet MAC.
• Para sa Intel Stratix 10 E-tile, Intel Agilex 7 E-tile ug F-tile nga mga disenyo, 156.25 MHz clock input para sa E-tile Ethernet Hard IP core o F-tile Ethernet Hard IP core. Sumpaysumpaya ang i_clk_ref[0] sa Ethernet Hard IP.
• Para sa Intel Stratix 10 H-tile nga mga disenyo, usa ka 322.2625 MHz clock input para sa Transceiver ATX PLL ug 25G Ethernet IP. Sumpaysumpaya ang pll_refclk0[0] sa Transceiver ATX PLL ug clk_ref[0] sa 25G Ethernet IP.
• Para sa Intel Arria 10 nga mga disenyo, usa ka 322.265625 MHz clock input para sa Transceiver ATX PLL ug 1G/ 10GbE ug 10GBase-KR PHY IP. Sumpaysumpaya ang pll_refclk0[0] sa Transceiver ATX PLL ug rx_cdr_ref_clk_10g[0] sa 1G/ 10GbE ug 10G BASE-KR PHY IP.
tod_sync_sampling_clk Input Para sa mga disenyo sa Intel Arria 10, usa ka 250 MHz clock input para sa TOD subsystem.
clk100 Input Oras sa pagdumala. Kini nga orasan gigamit sa pagmugna og latency_clk para sa PTP. Pagmaneho sa 100 MHz.
mgmt_reset_n Input I-reset ang signal alang sa sistema sa Nios II.
tx_serial Output TX serial data. Nagsuporta hangtod sa 4 ka channel.
rx_serial Input RX serial data. Nagsuporta hangtod sa 4 ka channel.
iwf_cpri_ehip_ref_clk Input E-tile CPRI PHY reference clock input. Kini nga orasan naa ra sa Intel Stratix 10 E-tile ug Intel
Agilex 7 E-tile nga mga disenyo. Pagdrayb sa 153.6 MHz alang sa 9.8 Gbps CPRI line rate.
iwf_cpri_pll_refclk0 Output Reperensya nga orasan sa CPRI TX PLL.
• Para sa Intel Stratix 10 H-tile nga mga disenyo: Pagmaneho sa 307.2 MHz para sa CPRI data rate 9.8 Gbps.
• Para sa Intel Stratix 10 E-tile ug Intel Agilex 7 E- tile nga mga disenyo: Pagmaneho sa 156.25 MHz alang sa CPRI data rate 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Output CPRI receiver CDR reference clock. Kini nga orasan anaa lamang sa Intel Stratix 10 H-tile nga mga disenyo.
Pagdrayb sa 307.2 MHz alang sa 9.8 Gbps CPRI line rate.
iwf_cpri_xcvr_txdataout Output Ang CPRI nagpadala sa serial data. Nagsuporta hangtod sa 4 ka channel.
iwf_cpri_xcvr_rxdatain Output CPRI receiver serial data. Nagsuporta hangtod sa 4 ka channel.
cpri_gmii_clk Input CPRI GMII 125 MHz input nga orasan.

May Kalabutan nga Impormasyon
Mga Signal sa Interface sa PHY
Naglista sa PHY interface signal sa 25G Ethernet Intel FPGA IP.

2.5. Disenyo Example Register nga Mapa
Sa ubos mao ang register mapping para sa eCPRI IP core design example:
Talaan 6. eCPRI Intel FPGA IP Design Example Register Mapping

Address  Pagrehistro
0x20100000 – 0x201FFFFF(2) IOPLL Re-configuration Register.
0x20200000 – 0x203FFFFF Ethernet MAC Avalon-MM Register
0x20400000 – 0x205FFFFF Ethernet MAC Lumad nga PHY Avalon-MM Register
0x20600000 – 0x207FFFFF(2) Lumad nga PHY RS-FEC Avalon-MM Register.
0x40000000 – 0x5FFFFFFFF eCPRI IP Avalon-MM Register
0x80000000 – 0x9FFFFFFFF Ethernet Design Test Generator/Verifier Avalon-MM Register

Talaan 7. Nios II Register Mapping
Ang mga rehistro sa ubos nga lamesa anaa lamang sa disenyo exampnamugna alang sa Intel Stratix 10 o Intel Agilex 7 E-tile nga mga himan.

Address  Pagrehistro
0x00100000 – 0x001FFFFF IOPLL Re-configuration Register
0x00200000 – 0x003FFFFF Ethernet MAC Avalon-MM Register
0x00400000 – 0x005FFFFF Ethernet MAC Lumad nga PHY Avalon-MM Register
0x00600000 – 0x007FFFFF Lumad nga PHY RS-FEC Avalon-MM Register

Mubo nga sulat: Mahimo nimong ma-access ang Ethernet MAC ug Ethernet MAC Native PHY AVMM registers gamit ang word offset imbes nga byte offset.
Para sa detalyadong impormasyon sa Ethernet MAC, Ethernet MAC Native PHY, ug eCPRI IP core register nga mga mapa, tan-awa ang tagsa-tagsa nga mga giya sa tiggamit.

(2) Anaa ra sa disenyo exampnamugna alang sa Intel Stratix 10 ug Intel Agilex 7 E-tile nga mga himan.

Talaan 8. eCPRI Intel FPGA IP Hardware Design Example Register nga Mapa

Pulong Offset  Uri sa Pagparehistro  Default nga Bili  Uri sa Pag-access
0x0 Pagsugod sa Pagpadala Data:
• Bit 1: PTP, non-PTP type
• Bit 0: eCPRI type
0x0 RW
0x1 Padayon nga Packet Enable 0x0 RW
0x2 Klaro nga Sayop 0x0 RW
0x3 (3) Pagbalhin sa Rate:
• Bit [7]- Nagpakita sa tile:
— 1'b0: H-tile
— 1'b1: E-tile
• Bit [6:4]- Nagpakita sa Ethernet data rate switching:
— 3’b000: 25G hangtod 10G
— 3’b001: 10G hangtod 25G
• Bit [0]- Pagpalihok sa rate sa pagbalhin. Gikinahanglan nga itakda kining bit 0 ug poll hangtod ang bit 0 klaro para sa rate switching.
Mubo nga sulat: Kini nga rehistro dili magamit alang sa Intel Agilex 7 F-tile ug Intel Arria 10 nga mga disenyo.
• E-tile: 0x80
• H-tile: 0x0
RW
0x4 (3) Nahuman ang Rate Switch:
• Ang bit [1] nagpakita nga nahuman na ang pagbalhin sa rate.
0x0 RO
0x5 (4) Status sa Pag-configure sa Sistema:
• Bit [31]: Andam na ang sistema
• Bit [30]: IWF_EN
• Bit [29]: STARTUP_SEQ_EN
• Bit [28:4]: Gireserba
• Bit [3]: EXT_PACKET_EN
• Bit [2:0]: Gireserba
0x0 RO
0x6 (4) Kompleto ang Negosasyon sa CPRI:
• Bit [3:0]: Kumpleto ang bit rate
• Bit [19:16]: Nakompleto ang protocol
0x0 RW
0x7 (4) Kompleto ang Negosasyon sa CPRI:
• Bit [3:0]: Kumpleto ang paspas nga C&M
• Bit [19:16]: Kumpleto ang paspas nga VSS
0x0 RW
0x8 – 0x1F Gireserba.
0x20 eCPRI Error Interrupt:
• Ang bit [0] nagpaila sa interrupt.
0x0 RO
0x21 Sayop sa External Packets 0x0 RO
0x22 External PTP Packets TX Start of Packet (SOP) Count 0x0 RO
0x23 External PTP Packets TX End of Packet (EOP) Count 0x0 RO
0x24 External Miscellaneous Packets TX SOP Ihap 0x0 RO
0x25 External Miscellaneous Packets TX EOP Count 0x0 RO
0x26 External RX Packet SOP Ihap 0x0 RO
0x27 External RX Packet EOP Ihap 0x0 RO
0x28 Ihap sa Sayop sa External Packets 0x0 RO
0x29 – 0x2C Gireserba.
0x2D External nga PTP Timetamp Ihap sa Sayop sa Fingerprint 0x0 RO
0x2E External nga PTP Timetamp Sayop sa Fingerprint 0x0 RO
0x2F Eksternal nga Rx Error Status 0x0 RO
0x30 – 0x47 Gireserba.
0x48 eCPRI Packet Error RO
0x49 Ihap sa eCPRI TX SOP RO
0x4A Ihap sa eCPRI TX EOP RO
0x4B Ihap sa eCPRI RX SOP RO
0x4C Ihap sa eCPRI RX EOP RO
0x4D Ihap sa Error sa mga Pakete sa eCPRI RO

May Kalabutan nga Impormasyon

  • Control, Status, ug Statistics Register Deskripsyon
    Pagrehistro sa impormasyon para sa 25G Ethernet Stratix 10 FPGA IP
  • Reconfiguration ug Status Register
    Mga Deskripsyon Irehistro ang impormasyon para sa E-tile Hard IP para sa Ethernet
  • Mga rehistro
    Pagrehistro sa impormasyon para sa eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Design Example User Guide Archives

Para sa pinakabag-o ug naunang mga bersyon niini nga giya sa user, tan-awa ang eCPRI Intel FPGA IP Design Example User Guide HTML nga bersyon. Pilia ang bersyon ug i-klik ang Download. Kung ang usa ka IP o software nga bersyon wala gilista, ang giya sa gumagamit alang sa miaging IP o software nga bersyon magamit.

Kasaysayan sa Pagbag-o sa Dokumento para sa eCPRI Intel FPGA IP Design Example Giya sa Gumagamit

Bersyon sa Dokumento Intel Quartus
Panguna nga Bersyon
Bersyon sa IP Mga kausaban
2023.05.19 23.1 2.0.3 • Gi-update ang Simulating the Design Example Testbench nga seksyon sa Quick Start Guide nga kapitulo.
• Gi-update ang ngalan sa pamilya sa produkto ngadto sa "Intel Agilex 7".
2022.11.15 22.3 2.0.1 Gi-update nga mga panudlo alang sa VCS simulator sa seksyon: Pag-simulate sa Design Exampsa Testbench.
2022.07.01 22.1 1.4.1 • Gidugang ang hardware design exampAng suporta alang sa Intel Agilex 7 F-tile device variation.
• Gidugang nga suporta alang sa mosunod nga mga development kit:
— Intel Agilex 7 I-Series FPGA Development Kit
— Intel Agilex 7 I-Series Transceiver-SoC Development Kit
• Gidugang nga suporta alang sa QuestaSim simulator.
• Gikuha ang suporta alang sa ModelSim* SE simulator.
2021.10.01 21.2 1.3.1 • Gidugang nga suporta alang sa Intel Agilex 7 F-tile nga mga himan.
• Gidugang nga suporta alang sa mga disenyo sa multi-channel.
• Gi-update nga Talaan: eCPRI Intel FPGA IP Hardware Design Example Register nga Mapa.
• Gikuha ang suporta alang sa NCSim simulator.
2021.02.26 20.4 1.3.0 • Gidugang nga suporta alang sa Intel Agilex 7 E-tile nga mga himan.
2021.01.08 20.3 1.2.0 • Giusab ang titulo sa dokumento gikan sa eCPRI Intel Stratix 10 FPGA IP Design Example Giya sa Gumagamit sa
eCPRI Intel FPGA IP Design Example Giya sa Gumagamit.
• Gidugang nga suporta alang sa Intel Arria 10 nga mga disenyo.
• Ang eCPRI IP design exampAng le anaa na karon uban sa interworking function (IWF) feature nga suporta.
• Gidugang ang usa ka nota aron maklaro nga ang disenyo sa eCPRI example nga adunay feature sa IWF anaa lamang sa 9.8 Gbps CPRI
linya bit rate.
• Gidugang nga mga kondisyon sa seksyon nga Pagmugna sa Disenyo sa paghimo sa disenyo example uban
Interworking Function (IWF) Suporta parametro gipalihok.
• Gidugang sample simulation test run output nga adunay feature sa IWF nga naka-enable sa seksyon nga Simulating the Design
Exampsa Testbench.
• Gidugang bag-ong seksyon nga Makapahimo sa Dynamic Reconfiguration sa Ethernet IP.
• Gi-update nga pagsulay sa hardware sampang output sa seksyon
Pagsulay sa eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • Gidugang nga suporta alang sa 10G data rate.
• dagan.c file naa na kay design example generation aron mapili ang loopback mode.
• Giusab ang sample output alang sa simulation test run sa seksyon Simulating the Design Exampsa Testbench.
• Gidugang frequency bili alang sa pagpadagan sa 10G data rate design sa seksyon Compiling ug Configuring sa
Disenyo Exampsa Hardware.
• Naghimo sa pagsunod sa mga pagbag-o sa seksyon Pagsulay sa eCPRI Intel FPGA IP Design Example:
- Gidugang nga mga mando aron mabalhin ang rate sa datos tali sa 10G ug 25G
- Gidugang sample output alang sa data rate switching
- Gidugang ang TEST_MODE variable nga impormasyon aron mapili ang loopback sa mga variation sa E-tile device.
• Giusab nga eCPRI Intel FPGA IP Hardware Design Examples High Level Block Diagram aron maapil ang bag-o
mga bloke.
• Gi-update nga Talaan: Disenyo Example Interface Signals nga maglakip sa bag-ong signal.
• Gi-update nga Disenyo ExampAng seksyon sa Register Map.
• Gidugang bag-ong seksyon sa apendise: Pagmugna ug Pag-download sa Executable ug Linking Format (.elf) Programming File .
2020.04.13 19.4 1.1.0 Inisyal nga pagpagawas.

A. Paghimo ug Pag-download sa Executable ug Linking Format (.elf) Programming File

Kini nga seksyon naghulagway kon unsaon paghimo ug pag-download sa .elf file sa board:

  1. Usba ang direktoryo sa <design_example_dir>/synthesis/quatus.
  2. Sa software nga Intel Quartus Prime Pro Edition, i-klik ang Open Project ug ablihi ang <design_example_dir>/synthesis/quartus/epri_ed.qpf. Karon pilia ang Tools ➤ Nios II Software Build Tools for Eclipse.
    Figure 10. Nios II Software Build Tools para sa EclipseeCPRI Intel FPGA IP Design - Hulagway 10
  3. Ang window sa Workspace Launcher nga prompt makita. Sa Workspace ipiho ang agianan ingon <design_example_dir>/synthesis/quatus para tipigan ang imong proyekto sa Eclipse. Ang bag-ong Nios II - Eclipse nga bintana makita.
    Figure 11. Window sa Workspace LaunchereCPRI Intel FPGA IP Design - Hulagway 11
  4. Sa Nios II – Eclipse nga bintana, i-right-click ubos sa Project Explorer tab, ug pilia ang Bag-ong ➤ Nios II Board Support Package. Ang bag-ong bintana makita.
    Hulagway 12. Project Explorer TabeCPRI Intel FPGA IP Design - Hulagway 12
  5. Sa bintana sa Nios II Board Support Package:
    • Diha sa Project name parameter, ipiho ang imong gusto nga ngalan sa proyekto.
    • Sa Impormasyon sa SOPC File ngalan parameter, browse sa nahimutangan sa <design_example_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. I-klik Finish.
    Figure 13. Nios II Board Support Package WindoweCPRI Intel FPGA IP Design - Hulagway 13
  6. Ang bag-ong gibuhat nga proyekto makita ubos sa Project Explorer tab sa Nios II Eclipse window. Pag-right-click ubos sa Project Explorer tab, ug pilia ang Nios II ➤ Nios II Command Shell.
    Hulagway 14. Project Explorer- Nios II Command ShelleCPRI Intel FPGA IP Design - Hulagway 14
  7. Sa Nios II Command Shell, i-type ang tulo ka mosunod nga mga sugo: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. Ang .elf file gihimo sa mosunod nga lokasyon: <design_example_dir>/ synthesis/ip_components/software//app.
  9. Isulat ang mosunod nga sugo sa Nios II Command Shell aron i-download ang .elf sa pisara:
    • Para sa Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Para sa Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

Intel logoeCPRI Intel FPGA IP Design - icon Online nga Bersyon
eCPRI Intel FPGA IP Design - icon 1 Ipadala ang Feedback
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design Example Giya sa Gumagamit

Mga Dokumento / Mga Kapanguhaan

Intel eCPRI Intel FPGA IP Design [pdf] Giya sa Gumagamit
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

Mga pakisayran

Pagbilin ug komento

Ang imong email address dili mamantala. Ang gikinahanglan nga mga natad gimarkahan *