eCPRI Intel® FPGA IP வடிவமைப்பு
Example பயனர் வழிகாட்டி
Intel®க்கு புதுப்பிக்கப்பட்டது
குவார்டஸ்®
பிரைம் டிசைன் சூட்: 23.1
ஐபி பதிப்பு: 2.0.3
விரைவு தொடக்க வழிகாட்டி
மேம்படுத்தப்பட்ட பொதுவான பொது வானொலி இடைமுகம் (eCPRI) Intel® FPGA IP கோர் eCPRI விவரக்குறிப்பு பதிப்பு 2.0 ஐ செயல்படுத்துகிறது. ஈசிபிஆர்ஐ இன்டெல் எஃப்பிஜிஏ ஐபி ஒரு சிமுலேஷன் டெஸ்ட்பெஞ்ச் மற்றும் வன்பொருள் வடிவமைப்பை வழங்குகிறது.ampதொகுத்தல் மற்றும் வன்பொருள் சோதனையை ஆதரிக்கும் le. நீங்கள் வடிவமைப்பை உருவாக்கும் போது முன்னாள்ample, அளவுரு எடிட்டர் தானாகவே உருவாக்குகிறது fileவடிவமைப்பை உருவகப்படுத்தவும், தொகுக்கவும் மற்றும் சோதிக்கவும் அவசியம்ampவன்பொருளில் le.
தொகுக்கப்பட்ட வன்பொருள் வடிவமைப்பு முன்னாள்ample இயங்குகிறது:
- Intel Agilex™ 7 I-Series FPGA டெவலப்மெண்ட் கிட்
- Intel Agilex 7 I-Series Transceiver-SoC டெவலப்மெண்ட் கிட்
- Intel Agilex 7 F-Series Transceiver-SoC டெவலப்மெண்ட் கிட்
- எச்-டைல் வடிவமைப்பிற்கான Intel Stratix® 10 GX டிரான்ஸ்ஸீவர் சிக்னல் ஒருமைப்பாடு மேம்பாட்டு கிட்ampலெஸ்
- E-டைல் வடிவமைப்பிற்கான Intel Stratix 10 TX டிரான்ஸ்ஸீவர் சிக்னல் ஒருமைப்பாடு மேம்பாட்டு கிட்ampலெஸ்
- இன்டெல் அர்ரியா® 10 ஜிஎக்ஸ் டிரான்ஸ்ஸீவர் சிக்னல் இன்டெக்ரிட்டி டெவலப்மென்ட் கிட்
இன்டெல் ஒரு தொகுப்பை மட்டுமே வழங்குகிறதுampஐபி கோர் பகுதி மற்றும் நேரத்தை விரைவாக மதிப்பிட நீங்கள் பயன்படுத்தக்கூடிய le திட்டம்.
டெஸ்ட்பெஞ்ச் மற்றும் வடிவமைப்பு முன்னாள்ample ஆனது Intel Stratix 25 H-tile அல்லது E-tile மற்றும் Intel Agilex 10 E-tile அல்லது F-tile சாதனத்தின் eCPRI IPக்கான 10G மற்றும் 7G தரவு விகிதங்களை ஆதரிக்கிறது.
குறிப்பு: eCPRI IP வடிவமைப்பு முன்னாள்ampதற்போதைய வெளியீட்டில் 9.8 ஜிபிபிஎஸ் சிபிஆர்ஐ லைன் பிட் வீதத்திற்கு மட்டுமே le வித் இன்டர்வொர்க்கிங் ஃபங்ஷன் (IWF) கிடைக்கிறது.
குறிப்பு: eCPRI IP வடிவமைப்பு முன்னாள்ampIntel Arria 10 வடிவமைப்புகளில் 10G தரவு வீதத்திற்கான டைனமிக் மறுகட்டமைப்பை le ஆதரிக்கவில்லை.
eCPRI இன்டெல் FPGA ஐபி கோர் வடிவமைப்பு முன்னாள்ample பின்வரும் அம்சங்களை ஆதரிக்கிறது:
- உள் TX முதல் RX வரையிலான தொடர் லூப்பேக் பயன்முறை
- போக்குவரத்து ஜெனரேட்டர் மற்றும் செக்கர்
- அடிப்படை பாக்கெட் சோதனை திறன்கள்
- வடிவமைப்பை இயக்குவதற்கு சிஸ்டம் கன்சோலைப் பயன்படுத்தும் திறன் மற்றும் மறு-சோதனை நோக்கத்திற்காக வடிவமைப்பை மீட்டமைக்கும் திறன்
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ISO 9001:2015 பதிவு செய்யப்பட்டது
படம் 1. வடிவமைப்பிற்கான வளர்ச்சி படிகள் Example
தொடர்புடைய தகவல்
- eCPRI இன்டெல் FPGA IP பயனர் கையேடு
- eCPRI இன்டெல் FPGA IP வெளியீட்டு குறிப்புகள்
1.1 வன்பொருள் மற்றும் மென்பொருள் தேவைகள்
முன்னாள் சோதிக்கample வடிவமைப்பு, பின்வரும் வன்பொருள் மற்றும் மென்பொருளைப் பயன்படுத்தவும்:
- Intel Quartus® Prime Pro பதிப்பு மென்பொருள் பதிப்பு 23.1
- சிஸ்டம் கன்சோல்
- ஆதரிக்கப்படும் சிமுலேட்டர்கள்:
- சீமென்ஸ்* EDA QuestaSim*
— சுருக்கம்* VCS*
— சுருக்கம் VCS MX
— ஆல்டெக்* ரிவியரா-புரோ*
- கேடென்ஸ்* எக்ஸ்செலியம்* - டெவலப்மெண்ட் கிட்:
— Intel Agilex 7 I-Series FPGA டெவலப்மெண்ட் கிட்
— Intel Agilex 7 I-Series Transceiver-SoC டெவலப்மெண்ட் கிட்
— Intel Agilex 7 F-Series Transceiver-SoC டெவலப்மெண்ட் கிட்
— இன்டெல் ஸ்ட்ராடிக்ஸ் 10 ஜிஎக்ஸ் டிரான்ஸ்ஸீவர் சிக்னல் இன்டெக்ரிட்டி டெவலப்மெண்ட் கிட் எச்-டைல் சாதன மாறுபாடு வடிவமைப்பிற்கான முன்னாள்ample
— E-டைல் சாதன மாறுபாடு வடிவமைப்பிற்கான Intel Stratix 10 TX டிரான்ஸ்ஸீவர் சிக்னல் ஒருமைப்பாடு மேம்பாடு example
— இன்டெல் அர்ரியா 10 ஜிஎக்ஸ் டிரான்ஸ்ஸீவர் சிக்னல் இன்டெக்ரிட்டி டெவலப்மென்ட் கிட்
தொடர்புடைய தகவல்
- Intel Agilex 7 I-Series FPGA டெவலப்மெண்ட் கிட் பயனர் கையேடு
- Intel Agilex 7 I-Series Transceiver-SoC டெவலப்மெண்ட் கிட் பயனர் வழிகாட்டி
- Intel Agilex 7 F-Series Transceiver-SoC டெவலப்மெண்ட் கிட் பயனர் வழிகாட்டி
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit பயனர் வழிகாட்டி
- இன்டெல் ஸ்ட்ராடிக்ஸ் 10 டிஎக்ஸ் டிரான்ஸ்ஸீவர் சிக்னல் ஒருமைப்பாடு மேம்பாட்டு கிட் பயனர் வழிகாட்டி
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit பயனர் வழிகாட்டி
1.2 வடிவமைப்பை உருவாக்குதல்
முன்நிபந்தனை: நீங்கள் eCPRI ஐப் பெற்றவுடன் web-கோர் ஐபி, சேமிக்கவும் web-கோர் நிறுவி உள்ளூர் பகுதிக்கு. விண்டோஸ்/லினக்ஸ் மூலம் நிறுவியை இயக்கவும். கேட்கும் போது, நிறுவவும் webஇன்டெல் குவார்டஸ் பிரைம் கோப்புறையின் அதே இடத்திற்கு மையமானது.
eCPRI Intel FPGA IP இப்போது IP அட்டவணையில் தோன்றும்.
உங்கள் eCPRI Intel FPGA ஐபி கோர் ஒருங்கிணைக்க, உங்களிடம் ஏற்கனவே Intel Quartus Prime Pro பதிப்பு திட்டம் இல்லையென்றால், நீங்கள் ஒன்றை உருவாக்க வேண்டும்.
- Intel Quartus Prime Pro பதிப்பு மென்பொருளில், கிளிக் செய்யவும் File ➤ புதிய Intel Quartus Prime திட்டத்தை உருவாக்க புதிய திட்ட வழிகாட்டி அல்லது கிளிக் செய்யவும் File ➤ ஏற்கனவே உள்ள இன்டெல் குவார்டஸ் பிரைம் திட்டத்தை திறக்க ப்ராஜெக்ட்டைத் திறக்கவும். ஒரு சாதனத்தைக் குறிப்பிட வழிகாட்டி உங்களைத் தூண்டுகிறது.
- சாதனக் குடும்பம் மற்றும் வேக தரத் தேவைகளைப் பூர்த்தி செய்யும் சாதனத்தைக் குறிப்பிடவும்.
- முடி என்பதைக் கிளிக் செய்யவும்.
- IP அட்டவணையில், eCPRI இன்டெல் FPGA IP ஐக் கண்டுபிடித்து இருமுறை கிளிக் செய்யவும். புதிய ஐபி மாறுபாடு சாளரம் தோன்றும்.
eCPRI IP வன்பொருள் வடிவமைப்பை உருவாக்க, இந்தப் படிகளைப் பின்பற்றவும்ampலீ மற்றும் டெஸ்ட்பெஞ்ச்:
- IP அட்டவணையில், eCPRI இன்டெல் FPGA IP ஐக் கண்டுபிடித்து இருமுறை கிளிக் செய்யவும். புதிய ஐபி மாறுபாடு சாளரம் தோன்றும்.
- சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
படம் 2. Example Design Tab in eCPRI Intel FPGA IP அளவுரு எடிட்டரில்
- உயர்மட்ட பெயரைக் குறிப்பிடவும் உங்கள் தனிப்பயன் IP மாறுபாட்டிற்கு. அளவுரு எடிட்டர் ஐபி மாறுபாடு அமைப்புகளை a இல் சேமிக்கிறது file பெயரிடப்பட்டது .ip.
- சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
- பொது தாவலில், உங்கள் ஐபி கோர் மாறுபாட்டிற்கான அளவுருக்களைக் குறிப்பிடவும்.
குறிப்பு: • நீங்கள் வடிவமைப்பை உருவாக்கும் போது eCPRI IP அளவுரு எடிட்டரில் ஸ்ட்ரீமிங் அளவுருவை இயக்க வேண்டும்ample உடன் இண்டர்வொர்க்கிங் செயல்பாடு (IWF) ஆதரவு அளவுரு இயக்கப்பட்டது,
• வடிவமைப்பை உருவாக்கும் போது CPRI லைன் பிட் வீதத்தை (Gbit/s) மற்றவர்களுக்கு அமைக்க வேண்டும்.ample இன்டர்வொர்க்கிங் செயல்பாடு (IWF) ஆதரவு அளவுரு இயக்கப்பட்டது. - முன்னாள் மீதுample டிசைன் டேப், டெஸ்ட்பெஞ்சை உருவாக்க உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுக்கவும், வன்பொருளை உருவாக்குவதற்கான தொகுப்பு விருப்பத்தைத் தேர்ந்தெடுக்கவும்.ample வடிவமைப்பு, மற்றும் டெஸ்ட்பெஞ்ச் மற்றும் வன்பொருள் வடிவமைப்பு இரண்டையும் உருவாக்குவதற்கு தொகுப்பு மற்றும் உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுக்கவும்.ampலெ.
- மேல் நிலை உருவகப்படுத்துதலுக்கான மொழிக்கு file, Verilog அல்லது VHDL ஐத் தேர்ந்தெடுக்கவும்.
குறிப்பு: உங்கள் முன்னாள் நபருக்கான உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுக்கும்போது மட்டுமே இந்த விருப்பம் கிடைக்கும்ample வடிவமைப்பு. - மேல் நிலை தொகுப்புக்கான மொழிக்கு file, Verilog அல்லது VHDL ஐத் தேர்ந்தெடுக்கவும்.
குறிப்பு: உங்கள் முன்னாள் நபருக்கான தொகுப்பு விருப்பத்தைத் தேர்ந்தெடுக்கும்போது மட்டுமே இந்த விருப்பம் கிடைக்கும்ample வடிவமைப்பு. - சேனல்களின் எண்ணிக்கைக்கு, உங்கள் வடிவமைப்பிற்காக வடிவமைக்கப்பட்ட சேனல்களின் எண்ணிக்கையை (1 முதல் 4 வரை) உள்ளிடலாம். இயல்புநிலை மதிப்பு 1.
- Ex ஐ உருவாக்கு என்பதைக் கிளிக் செய்யவும்ample வடிவமைப்பு. தேர்ந்தெடு முன்னாள்ample வடிவமைப்பு அடைவு சாளரம் தோன்றும்.
- நீங்கள் வடிவமைப்பை மாற்ற விரும்பினால், முன்னாள்ampகாட்டப்படும் இயல்புநிலையிலிருந்து le அடைவு பாதை அல்லது பெயர் (ecpri_0_testbench), புதிய பாதையில் உலாவவும் மற்றும் புதிய வடிவமைப்பைத் தட்டச்சு செய்யவும்ample அடைவு பெயர்.
- சரி என்பதைக் கிளிக் செய்யவும்.
தொடர்புடைய தகவல்
eCPRI இன்டெல் FPGA IP பயனர் கையேடு
1.3 அடைவு அமைப்பு
eCPRI IP கோர் வடிவமைப்பு முன்னாள்ample file கோப்பகங்களில் பின்வரும் உருவாக்கம் உள்ளது fileகள் வடிவமைப்பிற்கான முன்னாள்ampலெ.
படம் 3. உருவாக்கப்பட்ட Ex இன் அடைவு அமைப்புample வடிவமைப்பு
குறிப்பு:
- Intel Arria 10 IP வடிவமைப்பில் மட்டுமே உள்ளதுample மாறுபாடு .
- இன்டெல் ஸ்ட்ராடிக்ஸ் 10 (எச்-டைல் அல்லது ஈ-டைல்) ஐபி வடிவமைப்பில் மட்டுமே உள்ளதுample மாறுபாடு .
- Intel Agilex E-tile IP வடிவமைப்பில் மட்டுமே உள்ளதுample மாறுபாடு .
அட்டவணை 1. eCPRI இன்டெல் FPGA ஐபி கோர் டெஸ்ட்பெஞ்ச் File விளக்கங்கள்
| File பெயர்கள் | விளக்கம் |
| முக்கிய டெஸ்ட்பெஞ்ச் மற்றும் சிமுலேஷன் Files | |
| <design_example_dir>/simulation/testbench/ ecpri_tb.sv | உயர்மட்ட சோதனை பெஞ்ச் file. டெஸ்ட்பெஞ்ச் DUT ரேப்பரைத் துரிதப்படுத்துகிறது மற்றும் பாக்கெட்டுகளை உருவாக்க மற்றும் ஏற்றுக்கொள்ள Verilog HDL பணிகளை இயக்குகிறது. |
| <design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT மற்றும் பிற டெஸ்ட்பெஞ்ச் கூறுகளை உடனுக்குடன் வழங்கும் DUT ரேப்பர். |
| <design_example_dir>/simulation/ed_fw/flow.c | சி-குறியீடு மூல file. |
| டெஸ்ட்பெஞ்ச் ஸ்கிரிப்ட்கள் | |
| <design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | சீமென்ஸ் EDA QuestaSim ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்குகிறது. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | சினாப்சிஸ் விசிஎஸ் ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்குகிறது. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | சினாப்சிஸ் VCS MX ஸ்கிரிப்ட் (ஒருங்கிணைந்த வெரிலாக் HDL மற்றும் SystemVerilog with VHDL) டெஸ்ட்பெஞ்சை இயக்க. |
| <design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | டெஸ்ட்பெஞ்சை இயக்க Aldec* Riviera-PRO ஸ்கிரிப்ட். |
| <design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | டெஸ்ட்பெஞ்சை இயக்குவதற்கான கேடென்ஸ்* Xcelium ஸ்கிரிப்ட். |
அட்டவணை 2. eCPRI இன்டெல் FPGA IP கோர் ஹார்டுவேர் வடிவமைப்பு Example File விளக்கங்கள்
| File பெயர்கள் | விளக்கங்கள் |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qpf | இன்டெல் குவார்டஸ் பிரைம் திட்டம் file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qsf | இன்டெல் குவார்டஸ் பிரைம் திட்ட அமைப்பு file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.sdc | சுருக்க வடிவமைப்பு கட்டுப்பாடுகள் fileகள். இவற்றை நகலெடுத்து மாற்றலாம் fileஉங்கள் சொந்த Intel Stratix 10 வடிவமைப்பிற்கான கள். |
| <design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | உயர்மட்ட வெரிலாக் HDL வடிவமைப்பு முன்னாள்ample file. |
| <design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT மற்றும் பிற டெஸ்ட்பெஞ்ச் கூறுகளை உடனுக்குடன் வழங்கும் DUT ரேப்பர். |
| <design_example_dir>/synthesis/quartus/ecpri_s10.tcl | முக்கிய file சிஸ்டம் கன்சோலை அணுகுவதற்கு (இன்டெல் ஸ்ட்ராடிக்ஸ் 10 எச்-டைல் மற்றும் ஈ-டைல் டிசைன்களில் கிடைக்கிறது). |
| <design_example_dir>/synthesis/quartus/ecpri_a10.tcl | முக்கிய file சிஸ்டம் கன்சோலை அணுகுவதற்கு (இன்டெல் அரியா 10 டிசைன்களில் கிடைக்கிறது). |
| <design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | முக்கிய file சிஸ்டம் கன்சோலை அணுகுவதற்கு (Intel Agilex 7 வடிவமைப்புகளில் கிடைக்கிறது). |
1.4 வடிவமைப்பை உருவகப்படுத்துதல் Example டெஸ்ட்பெஞ்ச்
படம் 4. நடைமுறை
சோதனை பெஞ்சை உருவகப்படுத்த இந்த படிகளைப் பின்பற்றவும்:
- கட்டளை வரியில், testbench உருவகப்படுத்துதல் கோப்பகத்திற்கு மாற்றவும்ample_dir>/simulation/setup_scripts.
- Intel Agilex F-tile சாதன மாறுபாடுகளுக்கு, இந்தப் படிகளைப் பின்பற்றவும்:
அ. செல்லவும்ample_dir>/simulation/quartus அடைவு மற்றும் இந்த இரண்டு கட்டளைகளை கீழே இயக்கவும்: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
மாற்றாக, நீங்கள் ecpri_ed.qpf திட்டத்தை Intel Quartus Prime Pro பதிப்பில் திறந்து, ஆதரவு லாஜிக் ஜெனரேஷன் வரை தொகுக்கலாம்.tage.
பி. செல்லவும்ample_dir>/simulation/setup_scripts அடைவு.
c. பின்வரும் கட்டளையை இயக்கவும்: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - உங்கள் விருப்பப்படி ஆதரிக்கப்படும் சிமுலேட்டருக்கான சிமுலேஷன் ஸ்கிரிப்டை இயக்கவும். ஸ்கிரிப்ட் தொகுத்து, சிமுலேட்டரில் டெஸ்ட்பெஞ்சை இயக்குகிறது. டெஸ்ட்பெஞ்சை உருவகப்படுத்துவதற்கான படிகள் அட்டவணையைப் பார்க்கவும்.
குறிப்பு: உருவகப்படுத்துதலுக்கான VHDL மொழி ஆதரவு QuestaSim மற்றும் VCS MX சிமுலேட்டர்களில் மட்டுமே கிடைக்கும். சிமுலேஷனுக்கான வெரிலாக் மொழி ஆதரவு அட்டவணையில் பட்டியலிடப்பட்டுள்ள அனைத்து சிமுலேட்டர்களுக்கும் கிடைக்கிறது: டெஸ்ட்பெஞ்சை உருவகப்படுத்துவதற்கான படிகள். - முடிவுகளை பகுப்பாய்வு செய்யுங்கள். வெற்றிகரமான டெஸ்ட்பெஞ்ச் பாக்கெட்டுகளை அனுப்புகிறது மற்றும் பெறுகிறது, மேலும் "PASSED" என்பதைக் காட்டுகிறது.
அட்டவணை 3. டெஸ்ட்பெஞ்சை உருவகப்படுத்துவதற்கான படிகள்
| சிமுலேட்டர் | வழிமுறைகள் |
| குவெஸ்டாசிம் | கட்டளை வரியில், vsim -do run_vsim.do என தட்டச்சு செய்யவும், QuestaSim GUI ஐ கொண்டு வராமல் உருவகப்படுத்த விரும்பினால், vsim -c -do run_vsim.do என தட்டச்சு செய்யவும். |
| வி.சி.எஸ் | • கட்டளை வரியில், sh run_vcs.sh என தட்டச்சு செய்யவும் • செல்லவும்ample_dir>/simulation/setup_scripts/ synopsys/vcs மற்றும் பின்வரும் கட்டளையை இயக்கவும்: sh run_vcs.sh |
| VCS MX | கட்டளை வரியில், sh run_vcsmx.sh என தட்டச்சு செய்யவும் |
| ரிவியரா-பிஆர்ஓ | கட்டளை வரியில், vsim -c -do run_rivierapro.tcl என தட்டச்சு செய்யவும் குறிப்பு: Intel Stratix 10 H-tile வடிவமைப்பு மாறுபாடுகளில் மட்டுமே ஆதரிக்கப்படுகிறது. |
| எக்ஸீலியம்(1) | கட்டளை வரியில், sh run_xcelium.sh என தட்டச்சு செய்யவும் |
- இந்த சிமுலேட்டர் eCPRI Intel FPGA IP வடிவமைப்பு முன்னாள் ஆதரிக்கப்படவில்லைampIWF அம்சம் இயக்கப்பட்ட le உருவாக்கப்பட்டது.
Sample வெளியீடு: பின்வரும் எஸ்ample வெளியீடு eCPRI IP வடிவமைப்பு முன்னாள் வெற்றிகரமான உருவகப்படுத்துதல் சோதனை ஓட்டத்தை விளக்குகிறதுampசேனல்களின் எண்ணிக்கை = 4 உடன் IWF அம்சம் இல்லாமல் le இயக்கப்பட்டது:
# RX சீரமைப்புக்காக காத்திருக்கிறது
# RX டெஸ்க்யூ பூட்டப்பட்டது
# RX லேன் சீரமைப்பு பூட்டப்பட்டது
# இணைப்பு பிழை தெளிவாக இருக்கும் வரை காத்திருக்கிறது
# இணைப்பு பிழை தெளிவாக உள்ளது
# MAC மூல முகவரி 0_0 சேனல் 0: 33445566
# MAC மூல முகவரி 0_1 சேனல் 0: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 0: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 0: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 0: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 0: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 0: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 0: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 0: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 0: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 0: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 0: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 0: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 0: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 0: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 0: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 0: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 0: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 0: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 0: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 0: 2
# MAC மூல முகவரி 0_0 சேனல் 1: 33445566
# MAC மூல முகவரி 0_1 சேனல் 1: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 1: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 1: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 1: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 1: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 1: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 1: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 1: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 1: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 1: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 1: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 1: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 1: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 1: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 1: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 1: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 1: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 1: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 1: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 1: 2
# MAC மூல முகவரி 0_0 சேனல் 2: 33445566
# MAC மூல முகவரி 0_1 சேனல் 2: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 2: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 2: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 2: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 2: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 2: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 2: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 2: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 2: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 2: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 2: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 2: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 2: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 2: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 2: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 2: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 2: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 2: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 2: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 2: 2
# MAC மூல முகவரி 0_0 சேனல் 3: 33445566
# MAC மூல முகவரி 0_1 சேனல் 3: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 3: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 3: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 3: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 3: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 3: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 3: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 3: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 3: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 3: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 3: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 3: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 3: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 3: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 3: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 3: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 3: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 3: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 3: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 3: 2
#_________________________________________________________
# தகவல்: மீட்டமைக்கப்பட்ட நிலையில் இல்லை
#_________________________________________________________
#
#
# சேனல் 0 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
#_________________________________________________________
# தகவல்: பாக்கெட்டுகளை அனுப்பத் தொடங்குங்கள்
#_________________________________________________________
#
#
# தகவல்: சேனல் 0 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 0 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 1 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 1 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 2 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 2 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 3 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 3 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
#_________________________________________________________
# தகவல்: பாக்கெட்டுகளை அனுப்புவதை நிறுத்துங்கள்
#_________________________________________________________
#
#
#_________________________________________________________
# தகவல்: பாக்கெட்டுகளின் புள்ளிவிவரங்களைச் சரிபார்க்கிறது
#_________________________________________________________
#
#
# சேனல் 0 eCPRI SOPகள் அனுப்பப்பட்டது: 300
# சேனல் 0 eCPRI EOPகள் அனுப்பப்பட்டது: 300
# சேனல் 0 eCPRI SOPகள் பெறப்பட்டன: 300
# சேனல் 0 eCPRI EOPகள் பெறப்பட்டன: 300
# சேனல் 0 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 0 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 0 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 0 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 0 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 0 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 0 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 0 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 0 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 0 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 0 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 0 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 0 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 1 eCPRI SOPகள் அனுப்பப்பட்டது: 300
# சேனல் 1 eCPRI EOPகள் அனுப்பப்பட்டது: 300
# சேனல் 1 eCPRI SOPகள் பெறப்பட்டன: 300
# சேனல் 1 eCPRI EOPகள் பெறப்பட்டன: 300
# சேனல் 1 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 1 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 1 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 1 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 1 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 1 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 1 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 1 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 1 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 1 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 1 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 1 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 1 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 2 eCPRI SOPகள் அனுப்பப்பட்டது: 300
# சேனல் 2 eCPRI EOPகள் அனுப்பப்பட்டது: 300
# சேனல் 2 eCPRI SOPகள் பெறப்பட்டன: 300
# சேனல் 2 eCPRI EOPகள் பெறப்பட்டன: 300
# சேனல் 2 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 2 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 2 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 2 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 2 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 2 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 2 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 2 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 2 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 2 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 2 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 2 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 2 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 3 eCPRI SOPகள் அனுப்பப்பட்டது: 300
# சேனல் 3 eCPRI EOPகள் அனுப்பப்பட்டது: 300
# சேனல் 3 eCPRI SOPகள் பெறப்பட்டன: 300
# சேனல் 3 eCPRI EOPகள் பெறப்பட்டன: 300
# சேனல் 3 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 3 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 3 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 3 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 3 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 3 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 3 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 3 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 3 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 3 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 3 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 3 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 3 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
#_________________________________________________________
# தகவல்: தேர்வில் தேர்ச்சி
#
#_________________________________________________________
Sample வெளியீடு: பின்வரும் எஸ்ample வெளியீடு eCPRI IP வடிவமைப்பு முன்னாள் வெற்றிகரமான உருவகப்படுத்துதல் சோதனை ஓட்டத்தை விளக்குகிறதுampசேனல்களின் எண்ணிக்கை = 4 உடன் IWF அம்சத்துடன் le செயல்படுத்தப்பட்டது:
# CPRI TX ஐ இயக்கவும்
# CPRI சேனல் 0 L1_CONFIG : 00000001
# CPRI சேனல் 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI சேனல் 1 L1_CONFIG : 00000001
# CPRI சேனல் 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI சேனல் 2 L1_CONFIG : 00000001
# CPRI சேனல் 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI சேனல் 3 L1_CONFIG : 00000001
# CPRI சேனல் 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX சீரமைப்புக்காக காத்திருக்கிறது
# RX டெஸ்க்யூ பூட்டப்பட்டது
# RX லேன் சீரமைப்பு பூட்டப்பட்டது
# இணைப்பு பிழை தெளிவாக இருக்கும் வரை காத்திருக்கிறது
# இணைப்பு பிழை தெளிவாக உள்ளது
# MAC மூல முகவரி 0_0 சேனல் 0: 33445566
# MAC மூல முகவரி 0_1 சேனல் 0: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 0: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 0: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 0: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 0: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 0: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 0: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 0: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 0: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 0: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 0: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 0: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 0: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 0: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 0: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 0: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 0: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 0: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 0: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 0: 2
# MAC மூல முகவரி 0_0 சேனல் 1: 33445566
# MAC மூல முகவரி 0_1 சேனல் 1: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 1: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 1: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 1: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 1: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 1: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 1: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 1: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 1: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 1: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 1: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 1: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 1: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 1: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 1: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 1: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 1: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 1: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 1: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 1: 2
# MAC மூல முகவரி 0_0 சேனல் 2: 33445566
# MAC மூல முகவரி 0_1 சேனல் 2: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 2: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 2: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 2: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 2: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 2: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 2: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 2: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 2: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 2: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 2: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 2: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 2: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 2: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 2: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 2: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 2: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 2: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 2: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 2: 2
# MAC மூல முகவரி 0_0 சேனல் 3: 33445566
# MAC மூல முகவரி 0_1 சேனல் 3: 00007788
# MAC இலக்கு முகவரி 0_0 சேனல் 3: 33445566
# MAC இலக்கு முகவரி 0_1 சேனல் 3: 00007788
# MAC இலக்கு முகவரி 1_0 சேனல் 3: 11223344
# MAC இலக்கு முகவரி 1_1 சேனல் 3: 00005566
# MAC இலக்கு முகவரி 2_0 சேனல் 3: 22334455
# MAC இலக்கு முகவரி 2_1 சேனல் 3: 00006677
# MAC இலக்கு முகவரி 3_0 சேனல் 3: 44556677
# MAC இலக்கு முகவரி 3_1 சேனல் 3: 00008899
# MAC இலக்கு முகவரி 4_0 சேனல் 3: 66778899
# MAC இலக்கு முகவரி 4_1 சேனல் 3: 0000aabb
# MAC இலக்கு முகவரி 5_0 சேனல் 3: 778899aa
# MAC இலக்கு முகவரி 5_1 சேனல் 3: 0000bbcc
# MAC இலக்கு முகவரி 6_0 சேனல் 3: 8899aabb
# MAC இலக்கு முகவரி 6_1 சேனல் 3: 0000ccdd
# MAC இலக்கு முகவரி 7_0 சேனல் 3: 99aabbcc
# MAC இலக்கு முகவரி 7_1 சேனல் 3: 0000ddee
# eCPRI காமன் கண்ட்ரோல் சேனல் 3: 00000041
# குறுக்கீடு eCPRI காமன் கண்ட்ரோல் சேனல் 3: 00000241 ஐ இயக்கவும்
# eCPRI பதிப்பு சேனல் 3: 2
# CPRI க்கு HSYNC இணைப்பு நிலையை அடைய காத்திருக்கிறது
# CPRI சேனல் 0 HSYNC நிலையை அடைந்தது
# CPRI சேனல் 1 HSYNC நிலையை அடைந்தது
# CPRI சேனல் 2 HSYNC நிலையை அடைந்தது
# CPRI சேனல் 3 HSYNC நிலையை அடைந்தது
# 11100250000 nego_bitrate_completeக்கு 1 ஐ எழுதவும்
# 11100650000 வாக்குப்பதிவு PROT_VER சேனல் 0
#_________________________________________________________
# 11100850000 வாக்குப்பதிவு: a0000010
#_________________________________________________________
# 13105050000 வாக்குப்பதிவு PROT_VER சேனல் 1
#_________________________________________________________
# 13105250000 வாக்குப்பதிவு: a0800010
#_________________________________________________________
# 13105950000 வாக்குப்பதிவு PROT_VER சேனல் 2
#_________________________________________________________
# 13106150000 வாக்குப்பதிவு: a1000010
#_________________________________________________________
# 13106850000 வாக்குப்பதிவு PROT_VER சேனல் 3
#_________________________________________________________
# 13107050000 வாக்குப்பதிவு: a1800010
#_________________________________________________________
# 13107750000 nego_protol_complete க்கு 1 ஐ எழுதவும்
# 13108150000 வாக்குப்பதிவு CM_STATUS.rx_fast_cm_ptr_valid Channel 0
#_________________________________________________________
# 13108350000 வாக்குப்பதிவு: a0000020
#_________________________________________________________
# 14272050000 வாக்குப்பதிவு CM_STATUS.rx_fast_cm_ptr_valid Channel 1
#_________________________________________________________
# 14272250000 வாக்குப்பதிவு: a0800020
#_________________________________________________________
# 14272950000 வாக்குப்பதிவு CM_STATUS.rx_fast_cm_ptr_valid Channel 2
#_________________________________________________________
# 14273150000 வாக்குப்பதிவு: a1000020
#_________________________________________________________
# 14273850000 வாக்குப்பதிவு CM_STATUS.rx_fast_cm_ptr_valid Channel 3
#_________________________________________________________
# 14274050000 வாக்குப்பதிவு: a1800020
#_________________________________________________________
# 14274750000 nego_cm_complete க்கு 1 ஐ எழுதவும்
# 14275150000 nego_vss_completeக்கு 1 ஐ எழுதவும்
# CPRI சேனல் 0க்காக காத்திருக்கிறது HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைய
# CPRI சேனல் 0 HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைந்தது
# CPRI சேனல் 1க்காக காத்திருக்கிறது HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைய
# CPRI சேனல் 1 HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைந்தது
# CPRI சேனல் 2க்காக காத்திருக்கிறது HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைய
# CPRI சேனல் 2 HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைந்தது
# CPRI சேனல் 3க்காக காத்திருக்கிறது HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைய
# CPRI சேனல் 3 HSYNC & ஸ்டார்ட்அப் வரிசை FSM STATE_F அடைந்தது
#_________________________________________________________
# தகவல்: மீட்டமைக்கப்பட்ட நிலையில் இல்லை
#_________________________________________________________
#
#
# சேனல் 0 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 0 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 1 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 2 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 eCPRI RX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற PTP TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற PTP TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற MISC TX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற MISC TX EOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற RX SOPகளின் எண்ணிக்கை : 0
# சேனல் 3 வெளிப்புற RX EOPகளின் எண்ணிக்கை : 0
#_________________________________________________________
# தகவல்: பாக்கெட்டுகளை அனுப்பத் தொடங்குங்கள்
#_________________________________________________________
#
#
# தகவல்: சேனல் 0 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 0 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 0 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 1 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 1 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 1 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 2 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 2 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 2 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 3 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிவடையும் வரை காத்திருக்கிறது
# தகவல்: சேனல் 3 eCPRI TX டிராஃபிக் பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX PTP போக்குவரத்து பரிமாற்றம் முடிந்தது
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றத்திற்காக காத்திருக்கிறது
முழுமையான
# தகவல்: சேனல் 3 eCPRI வெளிப்புற TX மற்ற போக்குவரத்து பரிமாற்றம் முடிந்தது
#_________________________________________________________
# தகவல்: பாக்கெட்டுகளை அனுப்புவதை நிறுத்துங்கள்
#_________________________________________________________
#
#
#_________________________________________________________
# தகவல்: பாக்கெட்டுகளின் புள்ளிவிவரங்களைச் சரிபார்க்கிறது
#_________________________________________________________
#
#
# சேனல் 0 eCPRI SOPகள் அனுப்பப்பட்டது: 50
# சேனல் 0 eCPRI EOPகள் அனுப்பப்பட்டது: 50
# சேனல் 0 eCPRI SOPகள் பெறப்பட்டன: 50
# சேனல் 0 eCPRI EOPகள் பெறப்பட்டன: 50
# சேனல் 0 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 0 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 0 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 0 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 0 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 0 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 0 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 0 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 0 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 0 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 0 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 0 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 0 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 1 eCPRI SOPகள் அனுப்பப்பட்டது: 50
# சேனல் 1 eCPRI EOPகள் அனுப்பப்பட்டது: 50
# சேனல் 1 eCPRI SOPகள் பெறப்பட்டன: 50
# சேனல் 1 eCPRI EOPகள் பெறப்பட்டன: 50
# சேனல் 1 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 1 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 1 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 1 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 1 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 1 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 1 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 1 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 1 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 1 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 1 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 1 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 1 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 2 eCPRI SOPகள் அனுப்பப்பட்டது: 50
# சேனல் 2 eCPRI EOPகள் அனுப்பப்பட்டது: 50
# சேனல் 2 eCPRI SOPகள் பெறப்பட்டன: 50
# சேனல் 2 eCPRI EOPகள் பெறப்பட்டன: 50
# சேனல் 2 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 2 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 2 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 2 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 2 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 2 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 2 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 2 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 2 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 2 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 2 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 2 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 2 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 3 eCPRI SOPகள் அனுப்பப்பட்டது: 50
# சேனல் 3 eCPRI EOPகள் அனுப்பப்பட்டது: 50
# சேனல் 3 eCPRI SOPகள் பெறப்பட்டன: 50
# சேனல் 3 eCPRI EOPகள் பெறப்பட்டன: 50
# சேனல் 3 eCPRI பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 3 வெளிப்புற PTP SOPகள் அனுப்பப்பட்டது: 4
# சேனல் 3 வெளிப்புற PTP EOPகள் அனுப்பப்பட்டது: 4
# சேனல் 3 வெளிப்புற MISC SOPகள் அனுப்பப்பட்டது: 128
# சேனல் 3 வெளிப்புற MISC EOPகள் அனுப்பப்பட்டது: 128
# சேனல் 3 பெறப்பட்ட வெளிப்புற SOPகள்: 132
# சேனல் 3 வெளிப்புற EOPகள் பெறப்பட்டன: 132
# சேனல் 3 பெறப்பட்ட வெளிப்புற PTP SOPகள்: 4
# சேனல் 3 வெளிப்புற PTP EOPகள் பெறப்பட்டன: 4
# சேனல் 3 வெளிப்புற MISC SOPகள் பெறப்பட்டன: 128
# சேனல் 3 வெளிப்புற MISC EOPகள் பெறப்பட்டன: 128
# சேனல் 3 வெளிப்புறப் பிழை புகாரளிக்கப்பட்டது: 0
# சேனல் 3 வெளிப்புற நேரம்amp கைரேகை பிழை புகாரளிக்கப்பட்டது: 0
#_________________________________________________________
# தகவல்: தேர்வில் தேர்ச்சி
#
#_________________________________________________________
1.4.1. ஈதர்நெட் ஐபிக்கு டைனமிக் மறுகட்டமைப்பை இயக்குகிறது
முன்னிருப்பாக, eCPRI IP வடிவமைப்பில் டைனமிக் மறுகட்டமைப்பு முடக்கப்பட்டுள்ளது.ample மற்றும் இது Intel Stratix 10 (E-tile மற்றும் H-tile) மற்றும் Intel Agilex 7 (E-tile) வடிவமைப்பிற்கு மட்டுமே பொருந்தும்ampலெஸ்.
- உருவாக்கப்பட்டதிலிருந்து test_wrapper.sv இல் பின்வரும் வரியைப் பார்க்கவும்ample_dir>/simulation/testbench அடைவு: அளவுரு ETHERNET_DR_EN = 0
- மதிப்பை 0 இலிருந்து 1க்கு மாற்றவும்: அளவுரு ETHERNET_DR_EN = 1
- அதே உருவாக்கப்பட்ட முன்னாள் பயன்படுத்தி உருவகப்படுத்துதலை மீண்டும் இயக்கவும்ample வடிவமைப்பு அடைவு.
1.5 தொகுத்தல்-மட்டும் திட்டத்தை தொகுத்தல்
தொகுப்பை மட்டும் தொகுக்க முன்னாள்ampதிட்டம், இந்த வழிமுறைகளைப் பின்பற்றவும்:
- தொகுத்தல் வடிவமைப்பை உறுதி செய்யவும் example தலைமுறை முடிந்தது.
- Intel Quartus Prime Pro பதிப்பு மென்பொருளில், Intel Quartus Prime Pro பதிப்புத் திட்டத்தைத் திறக்கவும்ample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- செயலாக்க மெனுவில், தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும்.
- வெற்றிகரமான தொகுத்தலுக்குப் பிறகு, உங்கள் இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு அமர்வில் நேரம் மற்றும் வளங்களைப் பயன்படுத்துவதற்கான அறிக்கைகள் கிடைக்கும். செயலாக்கம் ➤ தொகுத்தல் அறிக்கை என்பதற்குச் செல்லவும் view தொகுப்பு பற்றிய விரிவான அறிக்கை.
தொடர்புடைய தகவல்
தொகுதி அடிப்படையிலான வடிவமைப்பு ஓட்டங்கள்
1.6 வடிவமைப்பை தொகுத்தல் மற்றும் கட்டமைத்தல் Exampஹார்டுவேரில் le
வன்பொருள் வடிவமைப்பை தொகுக்க முன்னாள்ample மற்றும் அதை உங்கள் இன்டெல் சாதனத்தில் உள்ளமைக்கவும், இந்த படிகளைப் பின்பற்றவும்:
- வன்பொருள் வடிவமைப்பை உறுதிப்படுத்தவும் முன்னாள்ample தலைமுறை முடிந்தது.
- Intel Quartus Prime Pro பதிப்பு மென்பொருளில், Intel Quartus Prime திட்டத்தைத் திறக்கவும்ample_dir>/synthesis/quartus/ecpri_ed.qpf.
- செயலாக்க மெனுவில், தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும்.
- வெற்றிகரமான தொகுப்புக்குப் பிறகு, a .sof file இல் கிடைக்கிறதுample_dir>/ தொகுப்பு/குவார்டஸ்/வெளியீடு_fileகள் அடைவு. வன்பொருள் வடிவமைப்பை நிரல் செய்ய இந்தப் படிகளைப் பின்பற்றவும்ampஇன்டெல் FPGA சாதனத்தில் le:
அ. டெவலப்மெண்ட் கிட்டை ஹோஸ்ட் கணினியுடன் இணைக்கவும்.
பி. டெவலப்மென்ட் கிட்டின் ஒரு பகுதியாக இருக்கும் கடிகாரக் கட்டுப்பாடு பயன்பாட்டைத் துவக்கி, வடிவமைப்பிற்கான புதிய அதிர்வெண்களை அமைக்கவும்ampலெ. கடிகார கட்டுப்பாட்டு பயன்பாட்டில் உள்ள அதிர்வெண் அமைப்பு கீழே உள்ளது:
• Intel Stratix 10 GX SI டெவலப்மெண்ட் கிட்டில் உங்கள் வடிவமைப்பை இலக்காகக் கொண்டால்:
— U5, OUT8- 100 மெகா ஹெர்ட்ஸ்
— U6, OUT3- 322.265625 மெகா ஹெர்ட்ஸ்
— U6, OUT4 மற்றும் OUT5- 307.2 MHz
• நீங்கள் Intel Stratix 10 TX SI டெவலப்மெண்ட் கிட்டில் உங்கள் வடிவமைப்பை இலக்காகக் கொண்டிருந்தால்:
— U1, CLK4- 322.265625 MHz (25G தரவு வீதத்திற்கு)
— U6- 156.25 MHz (10G தரவு விகிதத்திற்கு)
— U3, OUT3- 100 மெகா ஹெர்ட்ஸ்
— U3, OUT8- 153.6 மெகா ஹெர்ட்ஸ்
• நீங்கள் Intel Agilex 7 F-Series Transceiver-SoC டெவலப்மெண்ட் கிட்டில் உங்கள் வடிவமைப்பை இலக்காகக் கொண்டிருந்தால்:
- U37, CLK1A- 100 MHz
- U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 மெகா ஹெர்ட்ஸ்
• Intel Arria 10 GX SI டெவலப்மெண்ட் கிட்டில் உங்கள் வடிவமைப்பை இலக்காகக் கொண்டால்:
- U52, CLK0- 156.25 MHz
- U52, CLK1- 250 MHz
- U52, CLK3- 125 MHz
- Y5- 307.2 MHz
- Y6- 322.265625 MHz
c. கருவிகள் மெனுவில், புரோகிராமர் என்பதைக் கிளிக் செய்யவும்.
ஈ. புரோகிராமரில், வன்பொருள் அமைவு என்பதைக் கிளிக் செய்யவும்.
இ. நிரலாக்க சாதனத்தைத் தேர்ந்தெடுக்கவும்.
f. உங்கள் Intel Quartus Prime Pro பதிப்பு அமர்வு இணைக்கக்கூடிய டெவலப்மெண்ட் கிட்டைத் தேர்ந்தெடுத்துச் சேர்க்கவும்.
g. பயன்முறை J க்கு அமைக்கப்பட்டிருப்பதை உறுதிசெய்யவும்TAG.
ம. சாதனத்தைத் தேர்ந்தெடுத்து, சாதனத்தைச் சேர் என்பதைக் கிளிக் செய்யவும். புரோகிராமர் உங்கள் போர்டில் உள்ள சாதனங்களுக்கிடையேயான இணைப்புகளின் தொகுதி வரைபடத்தைக் காண்பிக்கும்.
நான். .sof ஐ ஏற்றவும் file உங்கள் தொடர்புடைய Intel FPGA சாதனத்திற்கு.
ஜே. இயங்கக்கூடிய மற்றும் இணைக்கும் வடிவமைப்பை (.elf) ஏற்றவும் file உங்கள் Intel Stratix 10 அல்லது
Intel Agilex 7 சாதனம் 25G மற்றும் 10G க்கு இடையில் டேட்டா விகிதத்தை மாற்ற டைனமிக் மறுகட்டமைப்பை (DR) செய்ய திட்டமிட்டால். இயங்கக்கூடிய மற்றும் இணைக்கும் வடிவமைப்பு (.elf) நிரலாக்கத்தை உருவாக்குதல் மற்றும் பதிவிறக்குதல் ஆகியவற்றிலிருந்து வழிமுறைகளைப் பின்பற்றவும் File பக்கம் 38 இல் .elf ஐ உருவாக்க file.
கே. உங்கள் .sof உடன் உள்ள வரிசையில், .sofக்கான நிரல்/கட்டமைவு பெட்டியை சரிபார்க்கவும். file.
எல். தொடங்கு என்பதைக் கிளிக் செய்யவும்.
தொடர்புடைய தகவல்
- தொகுதி அடிப்படையிலான வடிவமைப்பு
- இன்டெல் குவார்டஸ் பிரைம் புரோகிராமர் பயனர் கையேடு
- சிஸ்டம் கன்சோல் மூலம் வடிவமைப்புகளை பகுப்பாய்வு செய்தல் மற்றும் பிழைத்திருத்தம் செய்தல்
- Intel Agilex 7 F-Series Transceiver-SoC டெவலப்மெண்ட் கிட் பயனர் வழிகாட்டி
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit பயனர் வழிகாட்டி
- இன்டெல் ஸ்ட்ராடிக்ஸ் 10 டிஎக்ஸ் டிரான்ஸ்ஸீவர் சிக்னல் ஒருமைப்பாடு மேம்பாட்டு கிட் பயனர் வழிகாட்டி
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit பயனர் வழிகாட்டி
1.7 eCPRI இன்டெல் FPGA ஐபி டிசைனை சோதித்தல் Example
நீங்கள் eCPRI இன்டெல் FPGA ஐபி கோர் வடிவமைப்பை தொகுத்த பிறகு, முன்னாள்ample மற்றும் அதை உங்கள் Intel FPGA சாதனத்தில் உள்ளமைக்கவும், நீங்கள் IP கோர் மற்றும் அதன் உட்பொதிக்கப்பட்ட நேட்டிவ் PHY IP கோர் பதிவேடுகளை நிரல் செய்ய சிஸ்டம் கன்சோலைப் பயன்படுத்தலாம்.
சிஸ்டம் கன்சோலை இயக்கி, வன்பொருள் வடிவமைப்பை சோதிக்கample, இந்த வழிமுறைகளைப் பின்பற்றவும்:
- வன்பொருள் வடிவமைப்பிற்குப் பிறகு முன்னாள்ample இன்டெல் சாதனத்தில் கட்டமைக்கப்பட்டுள்ளது, Intel Quartus Prime Pro பதிப்பு மென்பொருளில், கருவிகள் மெனுவில், கணினி பிழைத்திருத்த கருவிகள் ➤ கணினி கன்சோலைக் கிளிக் செய்யவும்.
- Tcl கன்சோல் பலகத்தில், கோப்பகத்தை மாற்றவும்ample_dir>/ synthesis/quartus/hardware_test மற்றும் J உடன் இணைப்பைத் திறக்க பின்வரும் கட்டளையைத் தட்டச்சு செய்யவும்TAG தேர்ச்சி பெற்று சோதனையைத் தொடங்கவும்:
Intel Agilex 7 வடிவமைப்புகளுக்கான ஆதாரம் ecpri_agilex.tcl
Intel Stratix 10 வடிவமைப்புகளுக்கான ஆதாரம் ecpri_s10.tcl
Intel Arria 10 வடிவமைப்புகளுக்கான ஆதாரம் ecpri_a10.tcl - உங்கள் Intel Stratix 10 அல்லது Intel Agilex 7 E-tile சாதன மாறுபாடுகளுக்கு, நீங்கள் .sof ஐ நிரல் செய்த பிறகு ஒருமுறை உள் அல்லது வெளிப்புற லூப்பேக் கட்டளையைச் செய்ய வேண்டும். file:
அ. ஃப்ளோ.சியில் TEST_MODE மாறியை மாற்றவும் file லூப்பேக் பயன்முறையைத் தேர்ந்தெடுக்க:சோதனை_முறை செயல் 0 சீரியல் லூப்பேக் உருவகப்படுத்துதலுக்காக மட்டுமே செயல்படுத்தப்படுகிறது 1 வன்பொருளுக்கு மட்டும் சீரியல் லூப்பேக் இயக்கப்படும் 2 தொடர் சுழற்சி மற்றும் அளவுத்திருத்தம் 3 அளவுத்திருத்தம் மட்டுமே நீங்கள் Flow.cஐ மாற்றும் போதெல்லாம் NIOS II மென்பொருளை மீண்டும் தொகுத்து மீண்டும் உருவாக்க வேண்டும் file.
பி. .elf ஐ மீண்டும் உருவாக்கவும் file மேலும் ஒரு முறை போர்டுக்கு நிரல் செய்து .sof ஐ மீண்டும் நிரல் செய்யவும் file. - கணினி கன்சோல் ஸ்கிரிப்ட்டில் ஆதரிக்கப்படும் கட்டளைகள் மூலம் வடிவமைப்பு செயல்பாட்டை சோதிக்கவும். கணினி கன்சோல் ஸ்கிரிப்ட் புள்ளிவிவரங்களைப் படிக்க பயனுள்ள கட்டளைகளையும் வடிவமைப்பில் செயல்படுத்தும் அம்சங்களையும் வழங்குகிறது.
அட்டவணை 4. சிஸ்டம் கன்சோல் ஸ்கிரிப்ட் கட்டளைகள்
| கட்டளை | விளக்கம் |
| லூப்_ஆன் | TX to RX இன்டர்னல் சீரியல் லூப்பேக்கை இயக்குகிறது. Intel Stratix 10 H-tile மற்றும் Intel Arria 10 சாதனங்களுக்கு மட்டும் பயன்படுத்தவும். |
| லூப்_ஆஃப் | TX முதல் RX வரையிலான உள் தொடர் லூப்பேக்கை முடக்குகிறது. Intel Stratix 10 H-tile மற்றும் Intel Arria 10 சாதனங்களுக்கு மட்டும் பயன்படுத்தவும். |
| இணைப்பு _ init _ int _1pbk | டிரான்ஸ்ஸீவருக்குள் TX முதல் RX இன்டர்னல் சீரியல் லூப்பேக்கை இயக்குகிறது மற்றும் டிரான்ஸ்ஸீவர் அளவுத்திருத்த ஓட்டத்தை செய்கிறது. Intel Stratix 10 E-tile மற்றும் Intel Agilex 7 E-tile வடிவமைப்புகளுக்கு மட்டுமே பொருந்தும். |
| இணைப்பு _ init _ ext _1pbk | TX முதல் RX வரை வெளிப்புற சுழற்சியை இயக்குகிறது மற்றும் டிரான்ஸ்ஸீவர் அளவுத்திருத்த ஓட்டத்தை செய்கிறது. Intel Stratix 10 E-tile மற்றும் Intel Agilex 7 E-tile வடிவமைப்புகளுக்கு மட்டுமே பொருந்தும். |
| போக்குவரத்து ஜென் முடக்கம் | போக்குவரத்து ஜெனரேட்டர் மற்றும் செக்கரை முடக்குகிறது. |
| chkmac புள்ளிவிவரங்கள் | ஈதர்நெட் MAC க்கான புள்ளிவிவரங்களைக் காட்டுகிறது. |
| படிக்க_ சோதனை_ புள்ளிவிவரங்கள் | ட்ராஃபிக் ஜெனரேட்டர் மற்றும் செக்கர்களுக்கான பிழை புள்ளிவிவரங்களைக் காண்பி. |
| ext _ தொடர்ச்சியான _ பயன்முறை _en | முழு வடிவமைப்பு அமைப்பையும் மீட்டமைக்கிறது, மேலும் டிராஃபிக் ஜெனரேட்டரை தொடர்ச்சியான போக்குவரத்து பாக்கெட்டுகளை உருவாக்க உதவுகிறது. |
| dr _ 25g _ to _ log _etile | ஈத்தர்நெட் MAC இன் தரவு வீதத்தை 25G இலிருந்து 10Gக்கு மாற்றுகிறது. Intel Stratix 10 E-tile மற்றும் Intel Agilex 7 E-tile சாதனங்களுக்கு மட்டும் பயன்படுத்தவும். |
| dr_25g_to_10g_htile | ஈத்தர்நெட் MAC இன் தரவு வீதத்தை 25G இலிருந்து 10Gக்கு மாற்றுகிறது. எச்-டைல் சாதனங்களுக்கு மட்டும் பயன்படுத்தவும் |
| dr_10g_to_25g_etile_முதல் | ஈத்தர்நெட் MAC இன் தரவு வீதத்தை 10G இலிருந்து 25Gக்கு மாற்றுகிறது. Intel Stratix 10 E-tile மற்றும் Intel Agilex 7 E-tile சாதனங்களுக்கு மட்டும் பயன்படுத்தவும். |
| dr _ 25g _ to _ log _htile | ஈத்தர்நெட் MAC இன் தரவு வீதத்தை 10G இலிருந்து 25Gக்கு மாற்றுகிறது. எச்-டைல் சாதனங்களுக்கு மட்டும் பயன்படுத்தவும். |
பின்வரும் எஸ்ample வெளியீடு வெற்றிகரமான சோதனை ஓட்டத்தை விளக்குகிறது:
சிஸ்டம் கன்சோல் பிரிண்ட்அவுட் (சேனல்களின் எண்ணிக்கை = 1)
சேனல் 0 EXT PTP TX SOP எண்ணிக்கை: 256
சேனல் 0 EXT PTP TX EOP எண்ணிக்கை: 256
சேனல் 0 EXT MISC TX SOP எண்ணிக்கை: 36328972
சேனல் 0 EXT MISC TX EOP எண்ணிக்கை: 36369511
சேனல் 0 EXT RX SOP எண்ணிக்கை: 36410364
சேனல் 0 EXT RX EOP எண்ணிக்கை: 36449971
சேனல் 0 EXT செக்கர் பிழைகள்: 0
சேனல் 0 EXT சரிபார்ப்பு பிழை எண்ணிக்கை: 0
சேனல் 0 EXT PTP கைரேகை பிழைகள்: 0
சேனல் 0 EXT PTP கைரேகை பிழை எண்ணிக்கை: 0
சேனல் 0 TX SOP எண்ணிக்கை: 1337760
சேனல் 0 TX EOP எண்ணிக்கை: 1339229
சேனல் 0 RX SOP எண்ணிக்கை: 1340728
சேனல் 0 RX EOP எண்ணிக்கை: 1342555
சேனல் 0 செக்கர் பிழைகள்: 0
சேனல் 0 செக்கர் பிழை எண்ணிக்கை: 0
===============================================================================
=============
சேனல் 0 (Rx)க்கான ஈதர்நெட் மேக் புள்ளிவிவரங்கள்
===============================================================================
=============
துண்டாக்கப்பட்ட சட்டங்கள் : 0
ஜாபர்ட் பிரேம்கள் : 0
FCS பிழை சட்டங்களுடன் சரியான அளவு : 0
மல்டிகாஸ்ட் தரவு பிழை சட்டங்கள் : 0
ஒளிபரப்பு தரவு பிழை சட்டங்கள் : 0
யூனிகாஸ்ட் தரவு பிழை சட்டங்கள் : 0
64 பைட் பிரேம்கள் : 3641342
65 - 127 பைட் பிரேம்கள் : 0
128 - 255 பைட் பிரேம்கள் : 37404809
256 - 511 பைட் பிரேம்கள் : 29128650
512 - 1023 பைட் பிரேம்கள் : 0
1024 - 1518 பைட் பிரேம்கள் : 0
1519 – அதிகபட்ச பைட் பிரேம்கள் : 0
> அதிகபட்ச பைட் பிரேம்கள் : 0
மல்டிகாஸ்ட் டேட்டா சரி ஃப்ரேம் : 70174801
ஒளிபரப்பு தரவு சரி சட்டகம் : 0
யூனிகாஸ்ட் தரவு சரி பிரேம்கள் : 0
மல்டிகாஸ்ட் கண்ட்ரோல் ஃப்ரேம்கள் : 0
ஒளிபரப்பு கட்டுப்பாட்டு சட்டங்கள் : 0
யூனிகாஸ்ட் கட்டுப்பாட்டு சட்டங்கள் : 0
இடைநிறுத்த கட்டுப்பாட்டு சட்டங்கள் : 0
பேலோட் ஆக்டெட்ஸ் சரி : 11505935812
ஃபிரேம் ஆக்டெட்ஸ் சரி : 12918701444
Rx அதிகபட்ச சட்ட நீளம் : 1518
FCS Err சட்டத்துடன் எந்த அளவும்: 0
மல்டிகாஸ்ட் கட்டுப்பாடு பிழை சட்டகம் : 0
ஒளிபரப்பு கட்டுப்பாடு பிழை சட்டகம் : 0
யூனிகாஸ்ட் கட்டுப்பாடு பிழை சட்டங்கள் : 0
இடைநிறுத்த கட்டுப்பாடு பிழை சட்டங்கள் : 0
Rx சட்டகம் தொடங்குகிறது : 70174801
பின்வருபவை எஸ்amp25G முதல் 10G DR சோதனை ஓட்டத்திற்கான வெளியீடு:
சிஸ்டம் கன்சோல் பிரிண்ட்அவுட் (25G முதல் 10G DR E-டைல்)
ஈத்தர்நெட் 25G -> 10G க்கான டைனமிக் மறுகட்டமைப்பைத் தொடங்கவும்
DR வெற்றிகரமான 25G -> 10G
RX PHY பதிவு அணுகல்: கடிகார அதிர்வெண்களை சரிபார்க்கிறது (KHz)
TXCLK :16114 (கிலோஹெர்ட்ஸ்)
RXCLK :16113 (கிலோஹெர்ட்ஸ்)
RX PHY நிலை வாக்குப்பதிவு
Rx அதிர்வெண் பூட்டு நிலை 0x0000000f
Mac Clock சரியான நிலையில் உள்ளதா? 0x00000001
ஆர்எக்ஸ் பிரேம் பிழையா? 0x00000000
Rx PHY முழுமையாக சீரமைக்கப்பட்டதா? 0x00000001
வாக்குப்பதிவு RX PHY சேனல் 0
RX PHY சேனல் 0 இயங்குகிறது!
சிஸ்டம் கன்சோல் பிரிண்ட்அவுட் (25G முதல் 10G DR H-டைல்)
ஈத்தர்நெட் 25G -> 10G க்கான டைனமிக் மறுகட்டமைப்பைத் தொடங்கவும்
DR வெற்றிகரமான 25G -> 10G
RX PHY பதிவு அணுகல்: கடிகார அதிர்வெண்களை சரிபார்க்கிறது (KHz)
TXCLK :15625 (கிலோஹெர்ட்ஸ்)
RXCLK :15625 (கிலோஹெர்ட்ஸ்)
RX PHY நிலை வாக்குப்பதிவு
Rx அதிர்வெண் பூட்டு நிலை 0x00000001
Mac Clock சரியான நிலையில் உள்ளதா? 0x00000007
ஆர்எக்ஸ் பிரேம் பிழையா? 0x00000000
Rx PHY முழுமையாக சீரமைக்கப்பட்டதா? 0x00000001
வாக்குப்பதிவு RX PHY சேனல் 0
RX PHY சேனல் 0 இயங்குகிறது!
சிஸ்டம் கன்சோல் பிரிண்ட்அவுட் (10G முதல் 25G DR E-டைல்)
ஈத்தர்நெட் 10G -> 25G க்கான டைனமிக் மறுகட்டமைப்பைத் தொடங்கவும்
DR வெற்றிகரமான 10G -> 25G
RX PHY பதிவு அணுகல்: கடிகார அதிர்வெண்களை சரிபார்க்கிறது (KHz)
TXCLK :40283 (கிலோஹெர்ட்ஸ்)
RXCLK :40283 (கிலோஹெர்ட்ஸ்)
RX PHY நிலை வாக்குப்பதிவு
Rx அதிர்வெண் பூட்டு நிலை 0x0000000f
Mac Clock சரியான நிலையில் உள்ளதா? 0x00000001
ஆர்எக்ஸ் பிரேம் பிழையா? 0x00000000
Rx PHY முழுமையாக சீரமைக்கப்பட்டதா? 0x00000001
வாக்குப்பதிவு RX PHY சேனல் 0
RX PHY சேனல் 0 இயங்குகிறது!
சிஸ்டம் கன்சோல் பிரிண்ட்அவுட் (10G முதல் 25G DR H-டைல்)
ஈத்தர்நெட் 10G -> 25G க்கான டைனமிக் மறுகட்டமைப்பைத் தொடங்கவும்
DR வெற்றிகரமான 10G -> 25G
RX PHY பதிவு அணுகல்: கடிகார அதிர்வெண்களை சரிபார்க்கிறது (KHz)
TXCLK :39061 (கிலோஹெர்ட்ஸ்)
RXCLK :39063 (கிலோஹெர்ட்ஸ்)
RX PHY நிலை வாக்குப்பதிவு
Rx அதிர்வெண் பூட்டு நிலை 0x00000001
Mac Clock சரியான நிலையில் உள்ளதா? 0x00000007
ஆர்எக்ஸ் பிரேம் பிழையா? 0x00000000
Rx PHY முழுமையாக சீரமைக்கப்பட்டதா? 0x00000001
வாக்குப்பதிவு RX PHY சேனல் 0
RX PHY சேனல் 0 இயங்குகிறது!
வடிவமைப்பு முன்னாள்ample விளக்கம்
வடிவமைப்பு முன்னாள்ample eCPRI IP மையத்தின் அடிப்படை செயல்பாட்டை நிரூபிக்கிறது. நீங்கள் Ex இலிருந்து வடிவமைப்பை உருவாக்கலாம்ampeCPRI IP அளவுரு எடிட்டரில் வடிவமைப்பு தாவல்.
2.1 அம்சங்கள்
- உள் TX மற்றும் RX சீரியல் லூப்பேக் பயன்முறை
- நிலையான அளவு பாக்கெட்டுகளை தானாகவே உருவாக்குகிறது
- அடிப்படை பாக்கெட் சோதனை திறன்கள்
- வடிவமைப்பைச் சோதிக்க சிஸ்டம் கன்சோலைப் பயன்படுத்தும் திறன் மற்றும் மறு-சோதனை நோக்கத்திற்காக வடிவமைப்பை மீட்டமைக்கும் திறன்
2.2 வன்பொருள் வடிவமைப்பு Example
படம் 5. Intel Agilex 7 F-டைல் வடிவமைப்புகளுக்கான பிளாக் வரைபடம்
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
படம் 6. Intel Agilex 7 E-டைல் வடிவமைப்புகளுக்கான பிளாக் வரைபடம்
படம் 7. Intel Stratix 10 வடிவமைப்புகளுக்கான பிளாக் வரைபடம்
படம் 8. Intel Arria 10 வடிவமைப்புகளுக்கான பிளாக் வரைபடம்
eCPRI இன்டெல் FPGA ஐபி கோர் ஹார்டுவேர் வடிவமைப்பு முன்னாள்ample பின்வரும் கூறுகளை உள்ளடக்கியது:
eCPRI இன்டெல் FPGA ஐபி
சோதனை ரேப்பருக்குள் உடனடி ட்ராஃபிக் ஜெனரேட்டர்களிடமிருந்து தரவை ஏற்றுக்கொள்கிறது மற்றும் ஈத்தர்நெட் ஐபிக்கு பரிமாற்றத்திற்கான தரவை முதன்மைப்படுத்துகிறது.
ஈதர்நெட் ஐபி
- எஃப்-டைல் ஈதர்நெட் இன்டெல் எஃப்பிஜிஏ ஹார்ட் ஐபி (இன்டெல் அஜிலெக்ஸ் 7 எஃப்-டைல் வடிவமைப்புகள்)
- ஈதர்நெட்டிற்கான ஈ-டைல் ஹார்ட் ஐபி (இன்டெல் ஸ்ட்ராடிக்ஸ் 10 அல்லது இன்டெல் அஜிலெக்ஸ் 7 இ-டைல் டிசைன்கள்)
- 25G ஈதர்நெட் இன்டெல் ஸ்ட்ராடிக்ஸ் 10 ஐபி (இன்டெல் ஸ்ட்ராடிக்ஸ் 10 எச்-டைல் வடிவமைப்புகள்)
- குறைந்த தாமத ஈதர்நெட் 10G MAC IP மற்றும் 1G/10GbE மற்றும் 10GBASE-KR PHY IP (Intel Arria 10 வடிவமைப்புகள்)
துல்லிய நேர நெறிமுறை (PTP) IO PLL
இன்டெல் ஸ்ட்ராடிக்ஸ் 10 எச்-டைல் வடிவமைப்புகளுக்கு - ஈத்தர்நெட் ஐபி மற்றும் எஸ்களுக்கான லேட்டன்சி அளவீட்டு உள்ளீடு குறிப்பு கடிகாரத்தை உருவாக்குவதற்கு உடனடியானதுampடைம் ஆஃப் டே (TOD) துணை அமைப்பிற்கான லிங்க் கடிகாரம். IEEE 25v10 அம்சத்துடன் 1588G Ethernet Intel Stratix 2 FPGA IPக்கு, இந்த கடிகாரத்தின் அதிர்வெண்ணை 156.25 MHz ஆக அமைக்க Intel பரிந்துரைக்கிறது. மேலும் தகவலுக்கு 25G Ethernet Intel Stratix 10 FPGA IP பயனர் கையேடு மற்றும் Intel Stratix 10 H-tile Transceiver PHY பயனர் வழிகாட்டியைப் பார்க்கவும். PTP IOPLL ஆனது eCPRI IO PLLக்கான குறிப்புக் கடிகாரத்தையும் அடுக்கு முறையில் உருவாக்குகிறது.
Intel Arria 10 வடிவமைப்புகளுக்கு - லோ லேட்டன்சி ஈதர்நெட் 312.5G MAC IP மற்றும் 156.25G/10GbE, 1GBASE-KR PHY IP, மற்றும் eCPRI IP ஆகியவற்றிற்கான 10 MHz மற்றும் 10 MHz கடிகார உள்ளீடுகளை உருவாக்குவதற்கு உடனுக்குடன்.
eCPRI IO PLL
eCPRI IP இன் TX மற்றும் RX பாதை மற்றும் ட்ராஃபிக் கூறுகளுக்கு 390.625 MHz இன் முக்கிய கடிகார வெளியீட்டை உருவாக்குகிறது.
குறிப்பு: இந்த தொகுதி முன்னாள் வடிவமைப்பில் மட்டுமே உள்ளதுampஇன்டெல் ஸ்ட்ராடிக்ஸ் 10 மற்றும் இன்டெல் அஜிலெக்ஸ் 7 சாதனங்களுக்காக உருவாக்கப்பட்டது.
குறிப்பு: eCPRI இன்டெல் FPGA IP இன் தற்போதைய பதிப்பு IWF வகை 0 ஐ மட்டுமே ஆதரிக்கிறது. Intel Agilex 7 F-tile சாதனங்களுக்கு, வடிவமைப்பு முன்னாள்ampIWF அம்சத்துடன் le இயக்கப்பட்டது ஆதரிக்கப்படவில்லை.
நீங்கள் வடிவமைப்பை உருவாக்கும் போது முன்னாள்ampLe with Interworking Function (IWF) ஆதரவு அளவுரு அணைக்கப்பட்டது, பாக்கெட் ட்ராஃபிக் நேரடியாக சோதனை ரேப்பர் தொகுதியிலிருந்து Avalon-ST மூல/மடு இடைமுகம் மற்றும் eCPRI IP இன் வெளிப்புற மூல/மடு இடைமுகத்திற்கு செல்கிறது.
நீங்கள் வடிவமைப்பை உருவாக்கும் போது முன்னாள்ampLe with Interworking Function (IWF) ஆதரவு அளவுரு இயக்கப்பட்டது, முதலில் சோதனை ரேப்பர் தொகுதியிலிருந்து IWF Avalon-ST சிங்க் இடைமுகத்திற்கு பாக்கெட் ட்ராஃபிக் பாய்கிறது, மேலும் IWF Avalon-ST மூல இடைமுகத்திலிருந்து eCPRI Avalon-ST மூல/மடுவுக்கு வருகிறது. இடைமுகம்.
சிபிஆர்ஐ மேக்
லேயர் 1 இன் CPRI பகுதி மற்றும் முழு அடுக்கு 2 நெறிமுறைகளை வழங்குகிறது, பயனர் விமானம், C&M, மற்றும் REC மற்றும் RE இடையே மற்றும் இரண்டு RE இடையே ஒத்திசைவு தகவல் பரிமாற்றம்,
CPRI PHY (சிபிஆர்ஐ பிஎச்ஒய்)
வரி குறியீட்டு முறை, பிட் பிழை திருத்தம்/கண்டறிதல் மற்றும் பலவற்றிற்கான CPRI லேயர் 1 நெறிமுறையின் மீதமுள்ள பகுதியை வழங்குகிறது.
குறிப்பு: CPRI MAC மற்றும் CPRI PHY IP ஆகியவை இந்த வடிவமைப்பில் நிறுவப்பட்டுள்ளனample ஒற்றை CPRI வரி விகிதத்தில் 9.8 Gbps மட்டுமே இயங்கும் வகையில் கட்டமைக்கப்பட்டுள்ளது. வடிவமைப்பு முன்னாள்ampதற்போதைய வெளியீட்டில் வரி விகிதம் தானியங்கு பேச்சுவார்த்தையை le ஆதரிக்கவில்லை.
சோதனை ரேப்பர்
கீழே உள்ள eCPRI IP இன் Avalon Streaming (Avalon-ST) இடைமுகங்களுக்கு வெவ்வேறு தரவு பாக்கெட்டுகளை உருவாக்கும் டிராஃபிக் ஜெனரேட்டர்கள் மற்றும் செக்கர்களைக் கொண்டுள்ளது:
- Avalon-ST மூல/மடு இடைமுகங்களுக்கான eCPRI பாக்கெட்டுகள் (IWF அம்சம் முடக்கப்பட்டுள்ளது):
— செய்தி வகை 2 ஐ மட்டுமே ஆதரிக்கிறது.
- ஒவ்வொரு பாக்கெட்டுக்கும் 72 பைட்டுகள் பேலோட் அளவு மற்றும் அதிகரிக்கும் பேட்டர்ன் பயன்முறை உருவாக்கம் கொண்ட பேக்-டு-பேக் பயன்முறை உருவாக்கம்.
— தொடர்ச்சியான அல்லது தொடர்ச்சியான பயன்முறையில் இயங்குவதற்கு CSR வழியாக கட்டமைக்கக்கூடியது.
- CSR வழியாக அணுகுவதற்கு TX/RX பாக்கெட் புள்ளிவிவர நிலை உள்ளது. - Avalon-ST மூல/மடு இடைமுகங்களுக்கான eCPRI பாக்கெட்டுகள் (IWF அம்சம் இயக்கப்பட்டது):
— தற்போதைய வெளியீட்டில் செய்தி வகை 0 ஐ மட்டுமே ஆதரிக்கிறது.
- ஒவ்வொரு பாக்கெட்டுக்கும் 240 பைட்டுகள் பேலோட் அளவு மற்றும் பேலோட் அளவு இடைப்பாக்கெட் இடைவெளி உருவாக்கம் கொண்ட அதிகரிக்கும் பேட்டர்ன் பயன்முறை உருவாக்கம்.
— தொடர்ச்சியான அல்லது தொடர்ச்சியான பயன்முறையில் இயங்குவதற்கு CSR வழியாக கட்டமைக்கக்கூடியது.
- CSR வழியாக அணுகுவதற்கு TX/RX பாக்கெட் புள்ளிவிவர நிலை உள்ளது. - துல்லிய நேர நெறிமுறை (1588 PTP) பாக்கெட் மற்றும் PTP அல்லாத இதர பாக்கெட்டுகள் வெளிப்புற மூல/மடு இடைமுகங்களுக்கு:
— முன் வரையறுக்கப்பட்ட அளவுருக்கள் கொண்ட நிலையான ஈதர்நெட் தலைப்பு உருவாக்கம்: Ethertype0x88F7, செய்தி வகை- Opcode 0 (ஒத்திசைவு), மற்றும் PTP பதிப்பு-0.
- 2 சுழற்சிகள் மற்றும் பேலோட் அளவு 57 பைட்டுகள் கொண்ட இடைப்பட்ட இடைவெளியுடன் முன் வரையறுக்கப்பட்ட பேட்டர்ன் பயன்முறை உருவாக்கம்.
- ஒவ்வொரு வினாடிக்கும் 128 பாக்கெட்டுகள் உருவாக்கப்படுகின்றன.
— தொடர்ச்சியான அல்லது தொடர்ச்சியான பயன்முறையில் இயங்குவதற்கு CSR வழியாக கட்டமைக்கக்கூடியது.
- CSR வழியாக அணுகுவதற்கு TX/RX பாக்கெட் புள்ளிவிவர நிலை உள்ளது. - வெளிப்புற அல்லாத PTP இதர பாக்கெட்டுகள்:
— முன் வரையறுக்கப்பட்ட அளவுருவுடன் நிலையான ஈதர்நெட் தலைப்பு உருவாக்கம், ஈதர்டைப்- 0x8100 (PTP அல்லாதது).
- PRBS பேட்டர்ன் பயன்முறையில் 2 சுழற்சிகள் இடைவெளி மற்றும் ஒவ்வொரு பாக்கெட்டுக்கும் 128 பைட்டுகள் பேலோட் அளவு.
— தொடர்ச்சியான அல்லது தொடர்ச்சியான பயன்முறையில் இயங்குவதற்கு CSR வழியாக கட்டமைக்கக்கூடியது.
- CSR வழியாக அணுகுவதற்கு TX/RX பாக்கெட் புள்ளிவிவர நிலை உள்ளது.
நாள் நேரம் (TOD) துணை அமைப்பு
TX மற்றும் RX இரண்டிற்கும் இரண்டு IEEE 1588 TOD தொகுதிகள் மற்றும் Intel Quartus Prime மென்பொருளால் உருவாக்கப்பட்ட ஒரு IEEE 1588 TOD சின்க்ரோனைசர் தொகுதி உள்ளது.
Nios® II துணை அமைப்பு
நியோஸ் II செயலி, சோதனை ரேப்பர் மற்றும் Avalon® -MM முகவரி குறிவிலக்கி தொகுதிகளுக்கு இடையில் Avalon-MM தரவு நடுநிலையை அனுமதிக்கும் Avalon-MM பிரிட்ஜ் கொண்டது.
சோதனை ரேப்பரின் விகிதம்_ஸ்விட்ச் பதிவு மதிப்பிலிருந்து வெளியீட்டின் அடிப்படையில் தரவு வீத மாறுதலைச் செய்வதற்கு Nios II பொறுப்பாகும். இந்த தொகுதி சோதனை ரேப்பரிடமிருந்து கட்டளையைப் பெற்றவுடன் தேவையான பதிவேட்டை நிரல் செய்கிறது.
குறிப்பு: இந்த தொகுதி முன்னாள் வடிவமைப்பில் இல்லைampஇன்டெல் அரியா 10 மற்றும் இன்டெல் அஜிலெக்ஸ் 7 எஃப்-டைல் சாதனங்களுக்காக உருவாக்கப்பட்டது.
சிஸ்டம் கன்சோல்
முதல்-நிலை பிழைத்திருத்தம் மற்றும் ஐபி மற்றும் டிராஃபிக் ஜெனரேட்டர்கள் மற்றும் செக்கர்ஸ் நிலையை கண்காணிக்க பயனர் நட்பு இடைமுகத்தை வழங்குகிறது.
டெமோ கட்டுப்பாடு
இந்த தொகுதியானது ரீசெட் சின்க்ரோனைசர் தொகுதிகள் மற்றும் டிசைன் சிஸ்டம் பிழைத்திருத்தம் மற்றும் துவக்க செயல்முறைக்கான இன்-சிஸ்டம் சோர்ஸ் மற்றும் ப்ரோப் (ஐஎஸ்எஸ்பி) மாட்யூல்களைக் கொண்டுள்ளது.
தொடர்புடைய தகவல்
- 25G ஈதர்நெட் இன்டெல் ஸ்ட்ராடிக்ஸ் 10 FPGA IP பயனர் வழிகாட்டி
- ஈ-டைல் ஹார்ட் ஐபி பயனர் கையேடு
- eCPRI இன்டெல் FPGA IP பயனர் கையேடு
- 25G ஈத்தர்நெட் இன்டெல் ஸ்ட்ராடிக்ஸ் 10 FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி
- இன்டெல் ஸ்ட்ராடிக்ஸ் 10 டிசைன் எக்ஸ்க்கான ஈ-டைல் ஹார்ட் ஐபிamples பயனர் வழிகாட்டி
- Intel Stratix 10 L- மற்றும் H-Tile Transceiver PHY பயனர் கையேடு
- E-Tile Transceiver PHY பயனர் கையேடு
- Intel Stratix 10 10GBASE-KR PHY IP பயனர் கையேடு
- இ-டைல் ஹார்ட் ஐபி இன்டெல் அஜிலெக்ஸ் டிசைன் எக்ஸ்ample பயனர் வழிகாட்டி
2.3. உருவகப்படுத்துதல் வடிவமைப்பு Example
eCPRI வடிவமைப்பு முன்னாள்ample ஒரு உருவகப்படுத்துதல் சோதனை பெஞ்ச் மற்றும் உருவகப்படுத்துதலை உருவாக்குகிறது fileநீங்கள் உருவகப்படுத்துதல் அல்லது தொகுப்பு மற்றும் உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுக்கும்போது eCPRI இன்டெல் FPGA IP மையத்தை உடனடியாகத் தூண்டுகிறது.
படம் 9. eCPRI இன்டெல் FPGA ஐபி சிமுலேஷன் பிளாக் வரைபடம்
குறிப்பு: நியோஸ் II துணை அமைப்பு பிளாக் வடிவமைப்பு ex இல் இல்லைampஇன்டெல் அரியா 10 மற்றும் இன்டெல் அஜிலெக்ஸ் 7 எஃப்-டைல் சாதனங்களுக்காக உருவாக்கப்பட்டது.
இந்த வடிவமைப்பில் முன்னாள்ample, சிமுலேஷன் டெஸ்ட்பெஞ்ச், ஸ்டார்ட்அப் மற்றும் காத்திருப்பு பூட்டுதல், அனுப்புதல் மற்றும் பாக்கெட்டுகளைப் பெறுதல் போன்ற அடிப்படை செயல்பாடுகளை வழங்குகிறது.
வெற்றிகரமான சோதனை ஓட்டமானது பின்வரும் நடத்தையை உறுதிப்படுத்தும் வெளியீட்டைக் காட்டுகிறது:
- கிளையன்ட் லாஜிக் ஐபி மையத்தை மீட்டமைக்கிறது.
- கிளையன்ட் லாஜிக் RX டேட்டாபாத் சீரமைப்பிற்காக காத்திருக்கிறது.
- கிளையன்ட் லாஜிக் Avalon-ST இடைமுகத்தில் பாக்கெட்டுகளை அனுப்புகிறது.
- பாக்கெட்டுகளின் உள்ளடக்கம் மற்றும் சரியான தன்மையைப் பெற்று சரிபார்க்கவும்.
- "சோதனை தேர்ச்சி" செய்தியைக் காண்பி.
2.4 இடைமுக சமிக்ஞைகள்
அட்டவணை 5. வடிவமைப்பு Example இடைமுக சமிக்ஞைகள்
| சிக்னல் | திசை | விளக்கம் |
| clk_ref | உள்ளீடு | ஈதர்நெட் MAC க்கான குறிப்பு கடிகாரம். • Intel Stratix 10 E-tile, Intel Agilex 7 E-tile மற்றும் F-tile வடிவமைப்புகளுக்கு, E-tile Ethernet Hard IP core அல்லது F-tile Ethernet Hard IP coreக்கான 156.25 MHz கடிகார உள்ளீடு. ஈதர்நெட் ஹார்ட் ஐபியில் i_clk_ref[0] உடன் இணைக்கவும். • Intel Stratix 10 H-tile வடிவமைப்புகளுக்கு, Transceiver ATX PLL மற்றும் 322.2625G ஈதர்நெட் IPக்கான 25 MHz கடிகார உள்ளீடு. Transceiver ATX PLL இல் pll_refclk0[0] மற்றும் 0G ஈதர்நெட் IP இல் clk_ref[25] உடன் இணைக்கவும். • Intel Arria 10 வடிவமைப்புகளுக்கு, Transceiver ATX PLL மற்றும் 322.265625G/ 1GbE மற்றும் 10GBase-KR PHY IPக்கான 10 MHz கடிகார உள்ளீடு. Transceiver ATX PLL இல் pll_refclk0[0] மற்றும் 10G/ 0GbE மற்றும் 1G BASE-KR PHY IP இல் rx_cdr_ref_clk_10g[10] உடன் இணைக்கவும். |
| டாட்_ஒத்திசைவு_கள்ampலிங்க்_க்ளக் | உள்ளீடு | Intel Arria 10 வடிவமைப்புகளுக்கு, TOD துணை அமைப்பிற்கான 250 MHz கடிகார உள்ளீடு. |
| clk100 | உள்ளீடு | மேலாண்மை கடிகாரம். PTP க்கு latency_clk ஐ உருவாக்க இந்த கடிகாரம் பயன்படுத்தப்படுகிறது. 100 மெகா ஹெர்ட்ஸ் வேகத்தில் ஓட்டவும். |
| mgmt_மீட்டமை_n | உள்ளீடு | நியோஸ் II சிஸ்டத்திற்கான சிக்னலை மீட்டமைக்கவும். |
| tx_சீரியல் | வெளியீடு | TX தொடர் தரவு. 4 சேனல்கள் வரை ஆதரிக்கிறது. |
| rx_சீரியல் | உள்ளீடு | RX தொடர் தரவு. 4 சேனல்கள் வரை ஆதரிக்கிறது. |
| iwf_cpri_ehip_ref_clk | உள்ளீடு | மின்-டைல் CPRI PHY குறிப்பு கடிகார உள்ளீடு. இந்த கடிகாரம் Intel Stratix 10 E-tile மற்றும் Intel இல் மட்டுமே உள்ளது Agilex 7 E-டைல் வடிவமைப்புகள். 153.6 ஜிபிபிஎஸ் சிபிஆர்ஐ வரி விகிதத்தில் 9.8 மெகா ஹெர்ட்ஸ் வேகத்தில் ஓட்டவும். |
| iwf_cpri_pll_refclk0 | வெளியீடு | CPRI TX PLL குறிப்பு கடிகாரம். • Intel Stratix 10 H-டைல் வடிவமைப்புகளுக்கு: CPRI தரவு வீதமான 307.2 Gbpsக்கு 9.8 MHz வேகத்தில் இயக்கவும். • Intel Stratix 10 E-tile மற்றும் Intel Agilex 7 E-டைல் வடிவமைப்புகளுக்கு: CPRI தரவு வீதமான 156.25 Gbpsக்கு 9.8 MHz இல் இயக்கவும். |
| iwf_cpri_xcvr_cdr_refclk | வெளியீடு | CPRI ரிசீவர் CDR குறிப்பு கடிகாரம். இந்த கடிகாரம் Intel Stratix 10 H-tile வடிவமைப்புகளில் மட்டுமே உள்ளது. 307.2 Gbps CPRI வரி விகிதத்தில் 9.8 MHz இல் ஓட்டவும். |
| iwf_cpri_xcvr_txதரவுவெளியேற்றம் | வெளியீடு | CPRI தொடர் தரவுகளை அனுப்புகிறது. 4 சேனல்கள் வரை ஆதரிக்கிறது. |
| iwf_cpri_xcvr_rxdatain ஐப் பதிவிறக்கவும் | வெளியீடு | CPRI ரிசீவர் தொடர் தரவு. 4 சேனல்கள் வரை ஆதரிக்கிறது. |
| cpri_gmii_clk_ஐப் பயன்படுத்திப் பாருங்கள். | உள்ளீடு | CPRI GMII 125 MHz உள்ளீட்டு கடிகாரம். |
தொடர்புடைய தகவல்
PHY இடைமுக சமிக்ஞைகள்
25G ஈதர்நெட் இன்டெல் FPGA IP இன் PHY இடைமுக சிக்னல்களை பட்டியலிடுகிறது.
2.5. வடிவமைப்பு Example பதிவு வரைபடம்
eCPRI IP மைய வடிவமைப்பிற்கான பதிவு மேப்பிங் கீழே உள்ளதுampலெ:
அட்டவணை 6. eCPRI இன்டெல் FPGA IP வடிவமைப்பு Example பதிவு மேப்பிங்
| முகவரி | பதிவு செய்யுங்கள் |
| 0x20100000 – 0x201FFFFF(2) | IOPLL மறு கட்டமைப்பு பதிவு. |
| 0x20200000 - 0x203FFFFF | ஈதர்நெட் MAC Avalon-MM பதிவு |
| 0x20400000 - 0x205FFFFF | ஈதர்நெட் MAC நேட்டிவ் PHY Avalon-MM பதிவு |
| 0x20600000 – 0x207FFFFF(2) | இவரது PHY RS-FEC Avalon-MM பதிவு. |
| 0x40000000 - 0x5FFFFFFF | eCPRI IP Avalon-MM பதிவு |
| 0x80000000 - 0x9FFFFFFF | ஈத்தர்நெட் டிசைன் டெஸ்ட் ஜெனரேட்டர்/வெரிஃபையர் Avalon-MM பதிவு |
அட்டவணை 7. நியோஸ் II பதிவு மேப்பிங்
கீழே உள்ள அட்டவணையில் உள்ள பதிவுகள் வடிவமைப்பில் மட்டுமே கிடைக்கும்ampஇன்டெல் ஸ்ட்ராடிக்ஸ் 10 அல்லது இன்டெல் அஜிலெக்ஸ் 7 இ-டைல் சாதனங்களுக்காக உருவாக்கப்பட்டது.
| முகவரி | பதிவு செய்யுங்கள் |
| 0x00100000 - 0x001FFFFF | IOPLL மறு கட்டமைப்பு பதிவு |
| 0x00200000 - 0x003FFFFF | ஈதர்நெட் MAC Avalon-MM பதிவு |
| 0x00400000 - 0x005FFFFF | ஈதர்நெட் MAC நேட்டிவ் PHY Avalon-MM பதிவு |
| 0x00600000 - 0x007FFFFF | இவரது PHY RS-FEC Avalon-MM பதிவு |
குறிப்பு: பைட் ஆஃப்செட்டுக்குப் பதிலாக வேர்ட் ஆஃப்செட்டைப் பயன்படுத்தி ஈதர்நெட் MAC மற்றும் ஈத்தர்நெட் MAC நேட்டிவ் PHY AVMM பதிவேடுகளை அணுகலாம்.
Ethernet MAC, Ethernet MAC Native PHY மற்றும் eCPRI IP கோர் பதிவு வரைபடங்கள் பற்றிய விரிவான தகவலுக்கு, அந்தந்த பயனர் வழிகாட்டிகளைப் பார்க்கவும்.
(2) வடிவமைப்பில் மட்டுமே கிடைக்கும் example Intel Stratix 10 மற்றும் Intel Agilex 7 E-டைல் சாதனங்களுக்காக உருவாக்கப்பட்டது.
அட்டவணை 8. eCPRI இன்டெல் FPGA IP வன்பொருள் வடிவமைப்பு Example பதிவு வரைபடம்
| வார்த்தை ஆஃப்செட் | பதிவு வகை | இயல்புநிலை மதிப்பு | அணுகல் வகை |
| 0x0 | தரவை அனுப்பத் தொடங்கவும்: • பிட் 1: PTP, PTP அல்லாத வகை • பிட் 0: eCPRI வகை |
0x0 | RW |
| 0x1 | தொடர்ச்சியான பாக்கெட் இயக்கு | 0x0 | RW |
| 0x2 | தெளிவான பிழை | 0x0 | RW |
| 0x3 (3) | விகித மாற்றம்: • பிட் [7]- டைலைக் குறிக்கிறது: - 1'b0: எச்-டைல் - 1'b1: மின் ஓடு • பிட் [6:4]- ஈத்தர்நெட் தரவு வீத மாறுதலைக் குறிக்கிறது: — 3'b000: 25G முதல் 10G வரை — 3'b001: 10G முதல் 25G வரை • பிட் [0]- ஸ்விட்ச் ரேட் இயக்கப்பட்டது. இந்த பிட் 0 ஐ அமைத்து, விகித மாறுதலுக்கு பிட் 0 தெளிவாக இருக்கும் வரை வாக்கெடுப்பு செய்ய வேண்டும். குறிப்பு: இந்த பதிவு Intel Agilex 7 F-tile மற்றும் Intel Arria 10 வடிவமைப்புகளுக்குக் கிடைக்கவில்லை. |
• இ-டைல்: 0x80 • எச்-டைல்: 0x0 |
RW |
| 0x4 (3) | கட்டண மாற்றம் முடிந்தது: • பிட் [1] விகிதம் மாறுதல் முடிந்ததைக் குறிக்கிறது. |
0x0 | RO |
| 0x5 (4) | கணினி கட்டமைப்பு நிலை: • பிட் [31]: சிஸ்டம் தயார் • பிட் [30]: IWF_EN • பிட் [29]: STARTUP_SEQ_EN • பிட் [28:4]: ஒதுக்கப்பட்டது • பிட் [3]: EXT_PACKET_EN • பிட் [2:0]: ஒதுக்கப்பட்டது |
0x0 | RO |
| 0x6 (4) | CPRI பேச்சுவார்த்தை முடிந்தது: • பிட் [3:0]: பிட் விகிதம் முடிந்தது • பிட் [19:16]: நெறிமுறை முடிந்தது |
0x0 | RW |
| 0x7 (4) | CPRI பேச்சுவார்த்தை முடிந்தது: • பிட் [3:0]: வேகமாக C&M முடிந்தது • பிட் [19:16]: வேகமான VSS முடிந்தது |
0x0 | RW |
| 0x8 - 0x1F | ஒதுக்கப்பட்டது. | ||
| 0x20 | eCPRI பிழை குறுக்கீடு: • பிட் [0] குறுக்கீட்டைக் குறிக்கிறது. |
0x0 | RO |
| 0x21 | வெளிப்புற பாக்கெட்டுகளில் பிழை | 0x0 | RO |
| 0x22 | வெளிப்புற PTP பாக்கெட்டுகள் TX பாக்கெட் தொடக்கம் (SOP) எண்ணிக்கை | 0x0 | RO |
| 0x23 | வெளிப்புற PTP பாக்கெட்டுகள் TX எண்ட் ஆஃப் பாக்கெட் (EOP) எண்ணிக்கை | 0x0 | RO |
| 0x24 | வெளிப்புற இதர பாக்கெட்டுகள் TX SOP எண்ணிக்கை | 0x0 | RO |
| 0x25 | வெளிப்புற இதர பாக்கெட்டுகள் TX EOP எண்ணிக்கை | 0x0 | RO |
| 0x26 | வெளிப்புற RX பாக்கெட்டுகள் SOP எண்ணிக்கை | 0x0 | RO |
| 0x27 | வெளிப்புற RX பாக்கெட்டுகள் EOP எண்ணிக்கை | 0x0 | RO |
| 0x28 | வெளிப்புற பாக்கெட்டுகள் பிழை எண்ணிக்கை | 0x0 | RO |
| 0x29 - 0x2C | ஒதுக்கப்பட்டது. | ||
| 0x2D | வெளிப்புற பிடிபி டைம்ஸ்ட்amp கைரேகை பிழை எண்ணிக்கை | 0x0 | RO |
| 0x2E | வெளிப்புற பிடிபி டைம்ஸ்ட்amp கைரேகை பிழை | 0x0 | RO |
| 0x2F | வெளிப்புற Rx பிழை நிலை | 0x0 | RO |
| 0x30 - 0x47 | ஒதுக்கப்பட்டது. | ||
| 0x48 | eCPRI பாக்கெட்டுகளில் பிழை | RO | |
| 0x49 | eCPRI TX SOP எண்ணிக்கை | RO | |
| 0x4A | eCPRI TX EOP எண்ணிக்கை | RO | |
| 0x4B | eCPRI RX SOP எண்ணிக்கை | RO | |
| 0x4 சி | eCPRI RX EOP எண்ணிக்கை | RO | |
| 0x4D | eCPRI பாக்கெட்டுகள் பிழை எண்ணிக்கை | RO | |
தொடர்புடைய தகவல்
- கட்டுப்பாடு, நிலை மற்றும் புள்ளிவிவரங்கள் பதிவு விளக்கங்கள்
25G ஈதர்நெட் ஸ்ட்ராடிக்ஸ் 10 FPGA IPக்கான தகவலைப் பதிவுசெய்யவும் - மறுசீரமைப்பு மற்றும் நிலைப் பதிவு
விளக்கங்கள் ஈத்தர்நெட்டிற்கான ஈ-டைல் ஹார்ட் ஐபிக்கான தகவலைப் பதிவுசெய்க - பதிவுகள்
eCPRI Intel FPGA IPக்கான தகவலைப் பதிவு செய்யவும்
eCPRI இன்டெல் FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி காப்பகங்கள்
இந்தப் பயனர் வழிகாட்டியின் சமீபத்திய மற்றும் முந்தைய பதிப்புகளுக்கு, eCPRI Intel FPGA IP Design Ex ஐப் பார்க்கவும்ample பயனர் வழிகாட்டி HTML பதிப்பு. பதிப்பைத் தேர்ந்தெடுத்து பதிவிறக்கு என்பதைக் கிளிக் செய்யவும். IP அல்லது மென்பொருள் பதிப்பு பட்டியலிடப்படவில்லை என்றால், முந்தைய IP அல்லது மென்பொருள் பதிப்பிற்கான பயனர் வழிகாட்டி பொருந்தும்.
eCPRI இன்டெல் FPGA IP வடிவமைப்புக்கான ஆவண மறுபார்வை வரலாறு Example பயனர் வழிகாட்டி
| ஆவணப் பதிப்பு | இன்டெல் குவார்டஸ் முதன்மை பதிப்பு |
ஐபி பதிப்பு | மாற்றங்கள் |
| 2023.05.19 | 23.1 | 2.0.3 | • சிமுலேட்டிங் தி டிசைன் எக்ஸ் புதுப்பிக்கப்பட்டதுampவிரைவு தொடக்க வழிகாட்டி அத்தியாயத்தில் le Testbench பிரிவு. • தயாரிப்பு குடும்பப் பெயர் "Intel Agilex 7" எனப் புதுப்பிக்கப்பட்டது. |
| 2022.11.15 | 22.3 | 2.0.1 | பிரிவில் VCS சிமுலேட்டருக்கான புதுப்பிக்கப்பட்ட வழிமுறைகள்: டிசைன் Ex ஐ உருவகப்படுத்துதல்ample டெஸ்ட்பெஞ்ச். |
| 2022.07.01 | 22.1 | 1.4.1 | • வன்பொருள் வடிவமைப்பு முன்னாள் சேர்க்கப்பட்டதுampIntel Agilex 7 F-tile சாதன மாறுபாடுகளுக்கான ஆதரவு. • பின்வரும் மேம்பாட்டுக் கருவிகளுக்கான ஆதரவு சேர்க்கப்பட்டது: — Intel Agilex 7 I-Series FPGA டெவலப்மெண்ட் கிட் — Intel Agilex 7 I-Series Transceiver-SoC டெவலப்மெண்ட் கிட் • QuestaSim சிமுலேட்டருக்கான ஆதரவு சேர்க்கப்பட்டது. • ModelSim* SE சிமுலேட்டருக்கான ஆதரவு அகற்றப்பட்டது. |
| 2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-tile சாதனங்களுக்கான ஆதரவு சேர்க்கப்பட்டது. • பல சேனல் வடிவமைப்புகளுக்கான ஆதரவு சேர்க்கப்பட்டது. • புதுப்பிக்கப்பட்ட அட்டவணை: eCPRI இன்டெல் FPGA IP வன்பொருள் வடிவமைப்பு Example பதிவு வரைபடம். • NCSim சிமுலேட்டருக்கான ஆதரவு அகற்றப்பட்டது. |
| 2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-tile சாதனங்களுக்கான ஆதரவு சேர்க்கப்பட்டது. |
| 2021.01.08 | 20.3 | 1.2.0 | • eCPRI Intel Stratix 10 FPGA IP Design Ex இலிருந்து ஆவணத்தின் தலைப்பு மாற்றப்பட்டதுample பயனர் வழிகாட்டி eCPRI இன்டெல் FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி. • Intel Arria 10 வடிவமைப்புகளுக்கான ஆதரவு சேர்க்கப்பட்டது. • eCPRI IP வடிவமைப்பு முன்னாள்ample இப்போது இன்டர்வொர்க்கிங் செயல்பாடு (IWF) அம்ச ஆதரவுடன் கிடைக்கிறது. • eCPRI வடிவமைப்பு முன்னாள் என்பதை தெளிவுபடுத்த குறிப்பு சேர்க்கப்பட்டதுampIWF அம்சத்துடன் le ஆனது 9.8 Gbps CPRIக்கு மட்டுமே கிடைக்கும் வரி பிட் விகிதம். • வடிவமைப்பை உருவாக்கும் போது வடிவமைப்பை உருவாக்கும் பிரிவில் நிபந்தனைகள் சேர்க்கப்பட்டது முன்னாள்ampஉடன் le Interworking Function (IWF) ஆதரவு அளவுரு இயக்கப்பட்டது. • சேர்க்கப்பட்டது கள்ampவடிவமைப்பை உருவகப்படுத்தும் பிரிவில் IWF அம்சத்துடன் le சிமுலேஷன் சோதனை ரன் வெளியீடு செயல்படுத்தப்பட்டது Example டெஸ்ட்பெஞ்ச். • ஈத்தர்நெட் ஐபியில் டைனமிக் மறுகட்டமைப்பை இயக்கும் புதிய பிரிவு சேர்க்கப்பட்டது. • புதுப்பிக்கப்பட்ட வன்பொருள் சோதனை எஸ்ampபிரிவில் le வெளியீடு eCPRI இன்டெல் FPGA ஐபி டிசைனை சோதித்தல் Exampலெ. |
| 2020.06.15 | 20.1 | 1.1.0 | • 10G தரவு வீதத்திற்கான ஆதரவு சேர்க்கப்பட்டது. • ஓட்டம்.சி file இப்போது வடிவமைப்பு ex உடன் கிடைக்கிறதுampலூப்பேக் பயன்முறையைத் தேர்ந்தெடுக்க தலைமுறை. • களை மாற்றியமைத்தார்ampடிசைன் எக்ஸ் சிமுலேட்டிங் பிரிவில் உருவகப்படுத்துதல் சோதனை ஓட்டத்திற்கான le வெளியீடுample டெஸ்ட்பெஞ்ச். • தொகுத்தல் மற்றும் உள்ளமைத்தல் பிரிவில் 10G தரவு வீத வடிவமைப்பை இயக்குவதற்கான அதிர்வெண் மதிப்பு சேர்க்கப்பட்டது வடிவமைப்பு முன்னாள்ampஹார்டுவேரில் le. • eCPRI Intel FPGA IP வடிவமைப்பு Ex ஐ சோதனை செய்யும் பிரிவில் பின்வரும் மாற்றங்கள் செய்யப்பட்டுள்ளனampலெ: — 10G மற்றும் 25G இடையே தரவு விகிதத்தை மாற்ற கட்டளைகள் சேர்க்கப்பட்டது - சேர்க்கப்பட்டது எஸ்ampதரவு வீத மாறுதலுக்கான வெளியீடு — E-tile சாதன மாறுபாடுகளில் லூப்பேக்கைத் தேர்ந்தெடுக்க TEST_MODE மாறி தகவல் சேர்க்கப்பட்டது. • மாற்றியமைக்கப்பட்ட eCPRI இன்டெல் FPGA IP வன்பொருள் வடிவமைப்பு Examples உயர் நிலை தொகுதி வரைபடம் புதிய சேர்க்க தொகுதிகள். • புதுப்பிக்கப்பட்ட அட்டவணை: வடிவமைப்பு Example இடைமுக சமிக்ஞைகள் புதிய சமிக்ஞையை சேர்க்க. • புதுப்பிக்கப்பட்ட வடிவமைப்பு Example பதிவு வரைபடம் பிரிவு. • புதிய பிற்சேர்க்கை பிரிவு சேர்க்கப்பட்டது:எக்ஸிகியூடபிள் மற்றும் லிங்க்கிங் ஃபார்மேட் (.elf) புரோகிராமிங்கை உருவாக்குதல் மற்றும் பதிவிறக்குதல் File . |
| 2020.04.13 | 19.4 | 1.1.0 | ஆரம்ப வெளியீடு. |
A. இயங்கக்கூடிய மற்றும் இணைக்கும் வடிவமைப்பு (.elf) நிரலாக்கத்தை உருவாக்குதல் மற்றும் பதிவிறக்குதல் File
.elf ஐ எவ்வாறு உருவாக்குவது மற்றும் பதிவிறக்குவது என்பதை இந்தப் பகுதி விவரிக்கிறது file குழுவிற்கு:
- கோப்பகத்தை மாற்றவும்ample_dir>/தொகுப்பு/குவாட்டஸ்.
- Intel Quartus Prime Pro Edition மென்பொருளில், Open Project என்பதைக் கிளிக் செய்து திறக்கவும்ample_dir>/synthesis/quartus/epri_ed.qpf. இப்போது Tools ➤ Nios II Software Build Tools for Eclipse என்பதைத் தேர்ந்தெடுக்கவும்.
படம் 10. நியோஸ் II மென்பொருள் கிரகணத்திற்கான உருவாக்க கருவிகள்
- பணியிட துவக்கி சாளர வரியில் தோன்றும். பணியிடத்தில் பாதையை இவ்வாறு குறிப்பிடவும்ampஉங்கள் எக்லிப்ஸ் திட்டத்தைச் சேமிக்க le_dir>/synthesis/quatus. புதிய நியோஸ் II - எக்லிப்ஸ் சாளரம் தோன்றும்.
படம் 11. பணியிட துவக்கி சாளரம்
- நியோஸ் II - எக்லிப்ஸ் சாளரத்தில், ப்ராஜெக்ட் எக்ஸ்ப்ளோரர் தாவலின் கீழ் வலது கிளிக் செய்து, புதிய ➤ நியோஸ் II போர்டு ஆதரவுத் தொகுப்பைத் தேர்ந்தெடுக்கவும். புதிய சாளரம் தோன்றும்.
படம் 12. ப்ராஜெக்ட் எக்ஸ்ப்ளோரர் டேப்
- நியோஸ் II போர்டு ஆதரவு தொகுப்பு சாளரத்தில்:
• திட்டப் பெயர் அளவுருவில், நீங்கள் விரும்பிய திட்டப் பெயரைக் குறிப்பிடவும்.
• SOPC தகவலில் File பெயர் அளவுரு, இருப்பிடத்திற்கு உலாவவும்ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. முடி என்பதைக் கிளிக் செய்க.
படம் 13. Nios II போர்டு ஆதரவு தொகுப்பு சாளரம்
- புதிதாக உருவாக்கப்பட்ட திட்டம் நியோஸ் II எக்லிப்ஸ் விண்டோவில் ப்ராஜெக்ட் எக்ஸ்ப்ளோரர் தாவலின் கீழ் தோன்றும். Project Explorer தாவலின் கீழ் வலது கிளிக் செய்து, Nios II ➤ Nios II கட்டளை ஷெல் என்பதைத் தேர்ந்தெடுக்கவும்.
படம் 14. Project Explorer- Nios II கட்டளை ஷெல்
- Nios II கட்டளை ஷெல்லில், பின்வரும் மூன்று கட்டளைகளைத் தட்டச்சு செய்யவும்: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- தி .எல்ஃப் file பின்வரும் இடத்தில் உருவாக்கப்படுகிறது:ample_dir>/ தொகுப்பு/ip_components/software/ /செயலி.
- போர்டில் .elf ஐப் பதிவிறக்க, பின்வரும் கட்டளையை Nios II கட்டளை ஷெல்லில் தட்டச்சு செய்யவும்:
• Intel Stratix 10க்கு: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Intel Agilex 7க்கு: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

ஆன்லைன் பதிப்பு
கருத்தை அனுப்பவும்
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி
ஆவணங்கள் / ஆதாரங்கள்
![]() |
Intel eCPRI இன்டெல் FPGA ஐபி வடிவமைப்பு [pdf] பயனர் வழிகாட்டி eCPRI இன்டெல் FPGA IP வடிவமைப்பு, eCPRI, Intel FPGA IP வடிவமைப்பு, FPGA IP வடிவமைப்பு, IP வடிவமைப்பு, வடிவமைப்பு |
