eCPRI Intel® FPGA IP Дизайн
Example User Guide
Intel® үчүн жаңыртылган
Quartus®
Prime Design Suite: 23.1
IP версиясы: 2.0.3
Quick Start Guide
Өркүндөтүлгөн Common Public Radio Interface (eCPRI) Intel® FPGA IP өзөгү eCPRI спецификациясынын 2.0 версиясын ишке ашырат. eCPRI Intel FPGA IP симуляция сынагын жана аппараттык дизайнды камсыз кылатampкомпиляцияны жана аппараттык тестирлөөнү колдойт. Сиз дизайн эксample, параметр редактору автоматтык түрдө түзөт fileДизайнды имитациялоо, компиляциялоо жана сыноо үчүн зарылampаппараттык ле.
Түзүлгөн аппараттык дизайн эксample иштейт:
- Intel Agilex™ 7 I-Series FPGA өнүктүрүү комплекти
- Intel Agilex 7 I-Series Transceiver-SoC өнүктүрүү комплекти
- Intel Agilex 7 F-Series Transceiver-SoC өнүктүрүү комплекти
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit, H-плиткасынын дизайны мурункуamples
- Электрондук плитка дизайны үчүн Intel Stratix 10 TX Transceiver Signal Integrity Development Kit examples
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
Intel компиляцияны гана камсыз кылатampIP негизги аянтын жана убактысын тез баалоо үчүн колдоно турган долбоор.
Testbench жана дизайн эксample 25G жана 10G маалымат ылдамдыгын Intel Stratix 10 H-тили же E-тили жана Intel Agilex 7 E-тили же F-тили үчүн eCPRI IPдин вариацияларын колдойт.
Эскертүү: eCPRI IP дизайны мурункуampInterworking функциясы менен le (IWF) учурдагы чыгарылышта 9.8 Гбит/сек CPRI линиясынын бит ылдамдыгы үчүн гана жеткиликтүү.
Эскертүү: eCPRI IP дизайны мурункуample Intel Arria 10 конструкцияларында 10G маалымат ылдамдыгы үчүн динамикалык кайра конфигурациялоону колдобойт.
eCPRI Intel FPGA IP негизги дизайн эксample төмөнкү функцияларды колдойт:
- Ички TXдан RX сериялык артка кайтаруу режими
- Трафик генератору жана текшерүүчү
- Пакетти текшерүүнүн негизги мүмкүнчүлүктөрү
- Дизайнды иштетүү жана кайра сыноо максатында дизайнды баштапкы абалга келтирүү үчүн Системалык консолду колдонуу мүмкүнчүлүгү
Intel корпорациясы. Бардык укуктар корголгон. Intel, Intel логотиби жана башка Intel белгилери Intel корпорациясынын же анын туунду компанияларынын соода белгилери болуп саналат. Intel өзүнүн FPGA жана жарым өткөргүч өнүмдөрүн Intelдин стандарттык гарантиясына ылайык учурдагы спецификацияларга ылайык аткарууга кепилдик берет, бирок эскертүүсүз каалаган убакта каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Intel бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Intel тарабынан жазуу жүзүндө ачык макулдашылгандан башка учурларда. Intel кардарларына жарыяланган маалыматка ишенүүдөн мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн спецификацияларынын акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.
ISO 9001: 2015 Катталган
1-сүрөт. Дизайнды өнүктүрүү кадамдары Example
Тиешелүү маалымат
- eCPRI Intel FPGA IP Колдонуучу колдонмосу
- eCPRI Intel FPGA IP Release Notes
1.1. Аппараттык жана программалык камсыздоого талаптар
Мурун сыноо үчүнampдизайн үчүн, төмөнкү аппараттык жана программалык камсыздоону колдонуңуз:
- Intel Quartus® Prime Pro Edition программалык версиясы 23.1
- Системалык консол
- Колдоого алынган симуляторлор:
— Siemens* EDA QuestaSim*
— Синопсис* VCS*
— Synopsys VCS MX
— Aldec* Riviera-PRO*
— Cadence* Xcelium* - Иштеп чыгуу комплекти:
— Intel Agilex 7 I-Series FPGA өнүктүрүү комплекти
— Intel Agilex 7 I-Series Transceiver-SoC өнүктүрүү комплекти
— Intel Agilex 7 F-Series Transceiver-SoC өнүктүрүү комплекти
— Intel Stratix 10 GX Transceiver Signal Integrity Development Kit, H-плиткалык түзүлүштүн вариация дизайны үчүн мурункуample
— Intel Stratix 10 TX Transceiver Signal Integrity Development for E-plitka аппаратынын вариация дизайны мурункуample
— Intel Arria 10 GX Transceiver Signal Integrity Development Kit
Тиешелүү маалымат
- Intel Agilex 7 I-Series FPGA өнүктүрүү комплекти Колдонуучунун колдонмосу
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit Колдонуучунун колдонмосу
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit Колдонуучунун колдонмосу
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Колдонуучунун колдонмосу
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Колдонуучунун колдонмосу
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit Колдонуучунун колдонмосу
1.2. Дизайнды түзүү
Шарт: Сиз eCPRI алгандан кийин web-Негизги IP, сактаңыз web-жергиликтүү аймакка негизги орнотуучу. Орноткучту Windows/Linux менен иштетиңиз. Суралганда, орнотуңуз webөзөктү Intel Quartus Prime папкасы менен бир жерге жайгаштырыңыз.
eCPRI Intel FPGA IP азыр IP каталогунда пайда болот.
Эгер сизде eCPRI Intel FPGA IP өзөгүн бириктире турган Intel Quartus Prime Pro Edition долбоору жок болсо, сиз аны түзүшүңүз керек.
- Intel Quartus Prime Pro Edition программасында чыкылдатыңыз File ➤ Жаңы Intel Quartus Prime долбоорун түзүү үчүн New Project Wizard же чыкылдатыңыз File ➤ Учурдагы Intel Quartus Prime долбоорун ачуу үчүн Долбоорду ачыңыз. Устат сизден аспапты көрсөтүүнү сунуштайт.
- Түзмөктүн үй-бүлөсүн жана ылдамдык деңгээлиндеги талаптарга жооп берген түзмөктү көрсөтүңүз.
- Finish дегенди басыңыз.
- IP каталогунан eCPRI Intel FPGA IP дарегин таап, эки жолу чыкылдатыңыз. Жаңы IP Variant терезеси пайда болот.
eCPRI IP аппараттык дизайнын түзүү үчүн бул кадамдарды аткарыңызample and testbench:
- IP каталогунан eCPRI Intel FPGA IP дарегин таап, эки жолу чыкылдатыңыз. Жаңы IP Variant терезеси пайда болот.
- OK басыңыз. Параметр редактору пайда болот.
2-сүрөт. ExampeCPRI Intel FPGA IP Параметр редакторундагы Дизайн өтмөгү - Жогорку деңгээлдеги ысымды көрсөтүңүз сиздин жеке IP вариацияңыз үчүн. Параметрлердин редактору IP вариация орнотууларын а ичинде сактайт file аталган .ip.
- OK басыңыз. Параметр редактору пайда болот.
- Жалпы өтмөктө IP негизги вариацияңыздын параметрлерин көрсөтүңүз.
Эскертүү: • Дизайн экс генерациялоодо eCPRI IP параметр редакторунда Агым параметрин күйгүзүшүңүз керекampInterworking Function (IWF) колдоо параметри иштетилген,
• Мурунку дизайнды түзүүдө CPRI линиясынын бит ылдамдыгын (Гбит/с) Башкаларга коюшуңуз керек.ample Interworking Function (IWF) Колдоо параметри иштетилген. - Экс боюнчаample Дизайн өтмөгүндө, тесттик столду түзүү үчүн симуляция опциясын тандаңыз, аппараттык камсыздоону түзүү үчүн синтез опциясын тандаңыз.ample дизайнын тандаңыз жана тесттик столду жана аппараттык дизайнды түзүү үчүн синтез жана симуляция опциясын тандаңызample.
- Жогорку деңгээлдеги симуляция үчүн тил үчүн file, Verilog же VHDL тандаңыз.
Эскертүү: Бул параметр сиз мурунку үчүн Simulation опциясын тандаганда гана жеткиликтүүample дизайн. - Жогорку деңгээлдеги синтез үчүн тил үчүн file, Verilog же VHDL тандаңыз.
Эскертүү: Бул параметр мурунку үчүн Синтез опциясын тандаганда гана жеткиликтүүample дизайн. - Каналдардын саны үчүн сиз дизайныңызга арналган каналдардын санын (1ден 4кө чейин) киргизсеңиз болот. Демейки маани 1.
- Ex Generate чыкылдатыңызample Design. Select Example Design Directory терезеси пайда болот.
- Эгерде сиз дизайнды өзгөрткүңүз келсе, эксampКөрсөтүлгөн демейкилерден каталог жолун же аталышын (ecpri_0_testbench), жаңы жолду карап чыгыңыз жана жаңы дизайнды териңиз.ample каталог аты.
- OK басыңыз.
Тиешелүү маалымат
eCPRI Intel FPGA IP Колдонуучу колдонмосу
1.3. Каталог структурасы
eCPRI IP негизги дизайны мурункуample file каталогдор төмөнкү түзүлгөн камтыйт fileдизайн үчүн sample.
3-сүрөт. Түзүлгөн экс. каталогдун структурасыample Design
Эскертүү:
- Intel Arria 10 IP дизайнында гана барample variation.
- Intel Stratix 10 (H-тили же E-тили) IP дизайнында гана барample variation.
- Intel Agilex E-tile IP дизайнында гана барample variation.
Таблица 1. eCPRI Intel FPGA IP Core Testbench File Сүрөттөмөлөр
File Аты-жөнү | Description |
Key Testbench жана Simulation Files | |
<design_example_dir>/simulation/testbench/ ecpri_tb.sv | Жогорку деңгээлдеги тесттик стол file. Testbench DUT орогучту жаратат жана пакеттерди түзүү жана кабыл алуу үчүн Verilog HDL тапшырмаларын аткарат. |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT жана башка тестирлөө тетиктерин ишке киргизген DUT орогуч. |
<design_example_dir>/simulation/ed_fw/flow.c | C-код булагы file. |
Testbench скрипттери | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | Testbench иштетүү үчүн Siemens EDA QuestaSim скрипти. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | Testbench иштетүү үчүн Synopsys VCS скрипти. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Synopsys VCS MX сценарийи (бириккен Verilog HDL жана Testbench иштетүү үчүн VHDL менен SystemVerilog). |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | Testbench иштетүү үчүн Aldec* Riviera-PRO сценарийи. |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | Testbench иштетүү үчүн Cadence* Xcelium сценарийи. |
Таблица 2. eCPRI Intel FPGA IP Негизги Аппараттык Дизайн Example File Сүрөттөмөлөр
File Аты-жөнү | Сүрөттөмөлөр |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Intel Quartus Prime долбоору file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Intel Quartus Prime долбоорунун жөндөөсү file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Synopsys Дизайн чектөөлөрү fileс. Сиз буларды көчүрүп, өзгөртө аласыз files өзүңүздүн Intel Stratix 10 дизайныңыз үчүн. |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | Жогорку деңгээлдеги Verilog HDL дизайн эксample file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT жана башка тестирлөө тетиктерин ишке киргизген DUT орогуч. |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | Негизги file Системанын консолуна кирүү үчүн (Intel Stratix 10 H-плитка жана E-плитка дизайндарында жеткиликтүү). |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | Негизги file Системанын консолуна кирүү үчүн (Intel Arria 10 конструкцияларында жеткиликтүү). |
<design_example_dir>/синтез/quartus/ ecpri_agilex.tcl | Негизги file Системанын консолуна кирүү үчүн (Intel Agilex 7 дизайнында жеткиликтүү). |
1.4. Дизайнды имитациялоо Example Testbench
Сүрөт 4. Процедура
Тестирлөө үчүн бул кадамдарды аткарыңыз:
- Буйрук тилкесинде, testbench симуляция каталогуна өтүңүзample_dir>/simulation/setup_scripts.
- Intel Agilex F-плиткасынын түзүлүшүнүн вариациялары үчүн төмөнкү кадамдарды аткарыңыз:
а. дегенге өтүңүзample_dir>/simulation/quartus каталогу жана төмөнкү эки буйрукту иштетиңиз: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
Же болбосо, сиз ecpri_ed.qpf долбоорун Intel Quartus Prime Pro Edition аркылуу ачып, Логикалык муундарды колдоо аяктаганга чейин компиляцияны аткарсаңыз болот.tage.
б. дегенге өтүңүзample_dir>/simulation/setup_scripts каталогу.
в. Төмөнкү буйрукту аткарыңыз: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - Сиз тандаган колдоого алынган симулятор үчүн симуляция скриптин иштетиңиз. Скрипт симулятордо тестирлөө системасын түзөт жана иштетет. Testbenchти окшоштуруунун кадамдары таблицасын караңыз.
Эскертүү: Симуляция үчүн VHDL тилин колдоо QuestaSim жана VCS MX симуляторлору менен гана жеткиликтүү. Модельдештирүү үчүн Verilog тилин колдоо таблицада келтирилген бардык симуляторлор үчүн жеткиликтүү: Testbenchди симуляциялоо кадамдары. - Натыйжаларды талдоо. Ийгиликтүү testbench пакеттерди жөнөтөт жана кабыл алат жана "PASSED" дегенди көрсөтөт.
Таблица 3. Testbenchти окшоштуруунун кадамдары
Симулятор | Instructions |
QuestaSim | Буйрук сабында, vsim -do run_vsim.do деп териңиз Эгерде сиз QuestaSim GUIди ачпай эле окшоштургуңуз келсе, vsim -c -do run_vsim.do териңиз. |
VCS | • Буйрук сабында sh run_vcs.sh деп териңиз • дегенге өтүңүзample_dir>/simulation/setup_scripts/ synopsys/vcs жана төмөнкү буйрукту иштетиңиз: sh run_vcs.sh |
VCS MX | Буйрук сабында sh run_vcsmx.sh деп териңиз |
Riviera-PRO | Буйрук сабында vsim -c -do run_rivierapro.tcl териңиз Эскертүү: Intel Stratix 10 H-плиткасынын дизайн варианттарында гана колдоого алынат. |
Xcelium(1) | Буйрук сабында sh run_xcelium.sh териңиз |
- Бул симулятор eCPRI Intel FPGA IP дизайны үчүн колдоого алынбайтampIWF функциясы иштетилген менен түзүлгөн.
Sample Output: Төмөнкү сample чыгаруу eCPRI IP дизайнын ийгиликтүү симуляциялык сыноону көрсөтөтampКаналдардын саны = 4 менен иштетилген IWF функциясы жок:
# RX тегиздөөсүн күтүүдө
# RX кыйшаюусу кулпуланган
# RX тилкесин тегиздөө кулпуланган
# Шилтеменин катасы таза болушун күтүп жатабыз
# Шилтеменин катасы таза
# MAC булак дареги 0_0 Канал 0: 33445566
# MAC булак дареги 0_1 Канал 0: 00007788
# MAC көздөгөн дареги 0_0 Канал 0: 33445566
# MAC көздөгөн дареги 0_1 Канал 0: 00007788
# MAC көздөгөн дареги 1_0 Канал 0: 11223344
# MAC көздөгөн дареги 1_1 Канал 0: 00005566
# MAC көздөгөн дареги 2_0 Канал 0: 22334455
# MAC көздөгөн дареги 2_1 Канал 0: 00006677
# MAC көздөгөн дареги 3_0 Канал 0: 44556677
# MAC көздөгөн дареги 3_1 Канал 0: 00008899
# MAC көздөгөн дареги 4_0 Канал 0: 66778899
# MAC Destination Address 4_1 Channel 0: 0000aabb
# MAC Destination Address 5_0 Channel 0: 778899aa
# MAC Destination Address 5_1 Channel 0: 0000bbcc
# MAC Destination Address 6_0 Channel 0: 8899aabb
# MAC Destination Address 6_1 Channel 0: 0000ccdd
# MAC Destination Address 7_0 Channel 0: 99aabbcc
# MAC Destination Address 7_1 Channel 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# eCPRI Common Control Channel 0: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 0: 2
# MAC булак дареги 0_0 Канал 1: 33445566
# MAC булак дареги 0_1 Канал 1: 00007788
# MAC көздөгөн дареги 0_0 Канал 1: 33445566
# MAC көздөгөн дареги 0_1 Канал 1: 00007788
# MAC көздөгөн дареги 1_0 Канал 1: 11223344
# MAC көздөгөн дареги 1_1 Канал 1: 00005566
# MAC көздөгөн дареги 2_0 Канал 1: 22334455
# MAC көздөгөн дареги 2_1 Канал 1: 00006677
# MAC көздөгөн дареги 3_0 Канал 1: 44556677
# MAC көздөгөн дареги 3_1 Канал 1: 00008899
# MAC көздөгөн дареги 4_0 Канал 1: 66778899
# MAC Destination Address 4_1 Channel 1: 0000aabb
# MAC Destination Address 5_0 Channel 1: 778899aa
# MAC Destination Address 5_1 Channel 1: 0000bbcc
# MAC Destination Address 6_0 Channel 1: 8899aabb
# MAC Destination Address 6_1 Channel 1: 0000ccdd
# MAC Destination Address 7_0 Channel 1: 99aabbcc
# MAC Destination Address 7_1 Channel 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# eCPRI Common Control Channel 1: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 1: 2
# MAC булак дареги 0_0 Канал 2: 33445566
# MAC булак дареги 0_1 Канал 2: 00007788
# MAC көздөгөн дареги 0_0 Канал 2: 33445566
# MAC көздөгөн дареги 0_1 Канал 2: 00007788
# MAC көздөгөн дареги 1_0 Канал 2: 11223344
# MAC көздөгөн дареги 1_1 Канал 2: 00005566
# MAC көздөгөн дареги 2_0 Канал 2: 22334455
# MAC көздөгөн дареги 2_1 Канал 2: 00006677
# MAC көздөгөн дареги 3_0 Канал 2: 44556677
# MAC көздөгөн дареги 3_1 Канал 2: 00008899
# MAC көздөгөн дареги 4_0 Канал 2: 66778899
# MAC Destination Address 4_1 Channel 2: 0000aabb
# MAC Destination Address 5_0 Channel 2: 778899aa
# MAC Destination Address 5_1 Channel 2: 0000bbcc
# MAC Destination Address 6_0 Channel 2: 8899aabb
# MAC Destination Address 6_1 Channel 2: 0000ccdd
# MAC Destination Address 7_0 Channel 2: 99aabbcc
# MAC Destination Address 7_1 Channel 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# eCPRI Common Control Channel 2: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 2: 2
# MAC булак дареги 0_0 Канал 3: 33445566
# MAC булак дареги 0_1 Канал 3: 00007788
# MAC көздөгөн дареги 0_0 Канал 3: 33445566
# MAC көздөгөн дареги 0_1 Канал 3: 00007788
# MAC көздөгөн дареги 1_0 Канал 3: 11223344
# MAC көздөгөн дареги 1_1 Канал 3: 00005566
# MAC көздөгөн дареги 2_0 Канал 3: 22334455
# MAC көздөгөн дареги 2_1 Канал 3: 00006677
# MAC көздөгөн дареги 3_0 Канал 3: 44556677
# MAC көздөгөн дареги 3_1 Канал 3: 00008899
# MAC көздөгөн дареги 4_0 Канал 3: 66778899
# MAC Destination Address 4_1 Channel 3: 0000aabb
# MAC Destination Address 5_0 Channel 3: 778899aa
# MAC Destination Address 5_1 Channel 3: 0000bbcc
# MAC Destination Address 6_0 Channel 3: 8899aabb
# MAC Destination Address 6_1 Channel 3: 0000ccdd
# MAC Destination Address 7_0 Channel 3: 99aabbcc
# MAC Destination Address 7_1 Channel 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# eCPRI Common Control Channel 3: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 3: 2
# ______________________________________________________
# INFO: баштапкы абалга келтирилген эмес
# ______________________________________________________
#
#
# Канал 0 eCPRI TX SOPs саны : 0
# Канал 0 eCPRI TX EOPs саны : 0
# Канал 0 eCPRI RX SOPs саны : 0
# Канал 0 eCPRI RX EOPs саны : 0
# Канал 0 Тышкы PTP TX SOP саны : 0
# Канал 0 Тышкы PTP TX EOP саны : 0
# Канал 0 Тышкы MISC TX SOPs саны : 0
# Канал 0 Тышкы MISC TX EOPs саны : 0
# Канал 0 Тышкы RX SOPs саны : 0
# Канал 0 Тышкы RX EOPs саны : 0
# Канал 1 eCPRI TX SOPs саны : 0
# Канал 1 eCPRI TX EOPs саны : 0
# Канал 1 eCPRI RX SOPs саны : 0
# Канал 1 eCPRI RX EOPs саны : 0
# Канал 1 Тышкы PTP TX SOP саны : 0
# Канал 1 Тышкы PTP TX EOP саны : 0
# Канал 1 Тышкы MISC TX SOPs саны : 0
# Канал 1 Тышкы MISC TX EOPs саны : 0
# Канал 1 Тышкы RX SOPs саны : 0
# Канал 1 Тышкы RX EOPs саны : 0
# Канал 2 eCPRI TX SOPs саны : 0
# Канал 2 eCPRI TX EOPs саны : 0
# Канал 2 eCPRI RX SOPs саны : 0
# Канал 2 eCPRI RX EOPs саны : 0
# Канал 2 Тышкы PTP TX SOP саны : 0
# Канал 2 Тышкы PTP TX EOP саны : 0
# Канал 2 Тышкы MISC TX SOPs саны : 0
# Канал 2 Тышкы MISC TX EOPs саны : 0
# Канал 2 Тышкы RX SOPs саны : 0
# Канал 2 Тышкы RX EOPs саны : 0
# Канал 3 eCPRI TX SOPs саны : 0
# Канал 3 eCPRI TX EOPs саны : 0
# Канал 3 eCPRI RX SOPs саны : 0
# Канал 3 eCPRI RX EOPs саны : 0
# Канал 3 Тышкы PTP TX SOP саны : 0
# Канал 3 Тышкы PTP TX EOP саны : 0
# Канал 3 Тышкы MISC TX SOPs саны : 0
# Канал 3 Тышкы MISC TX EOPs саны : 0
# Канал 3 Тышкы RX SOPs саны : 0
# Канал 3 Тышкы RX EOPs саны : 0
# ______________________________________________________
# INFO: Пакеттерди өткөрүп баштаңыз
# ______________________________________________________
#
#
# INFO: Channel 0 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 0 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 0 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 0 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 0 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 0 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# INFO: Channel 1 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 1 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 1 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 1 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 1 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 1 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# INFO: Channel 2 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 2 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 2 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 2 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 2 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 2 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# INFO: Channel 3 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 3 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 3 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 3 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 3 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 3 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# ______________________________________________________
# INFO: пакеттерди берүүнү токтотуу
# ______________________________________________________
#
#
# ______________________________________________________
# INFO: пакеттердин статистикасын текшерүү
# ______________________________________________________
#
#
# Канал 0 eCPRI SOP өткөрүлдү: 300
# Канал 0 eCPRI ЭОП өткөрүлдү: 300
# Канал 0 eCPRI SOP алынган: 300
# Канал 0 eCPRI ЭОП алынган: 300
# Канал 0 eCPRI катасы кабарланды: 0
# Канал 0 Тышкы PTP SOP өткөрүлөт: 4
# Канал 0 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 0 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 0 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 0 Тышкы SOP алынган: 132
# Канал 0 Тышкы ЭОП алынды: 132
# Канал 0 Тышкы PTP SOP алынган: 4
# Канал 0 Тышкы PTP ЭОП алынды: 4
# Канал 0 Тышкы MISC SOP алынган: 128
# Канал 0 Тышкы MISC EOP алынган: 128
# Канал 0 Тышкы катасы кабарланды: 0
# Channel 0 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# Канал 1 eCPRI SOP өткөрүлдү: 300
# Канал 1 eCPRI ЭОП өткөрүлдү: 300
# Канал 1 eCPRI SOP алынган: 300
# Канал 1 eCPRI ЭОП алынган: 300
# Канал 1 eCPRI катасы кабарланды: 0
# Канал 1 Тышкы PTP SOP өткөрүлөт: 4
# Канал 1 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 1 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 1 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 1 Тышкы SOP алынган: 132
# Канал 1 Тышкы ЭОП алынды: 132
# Канал 1 Тышкы PTP SOP алынган: 4
# Канал 1 Тышкы PTP ЭОП алынды: 4
# Канал 1 Тышкы MISC SOP алынган: 128
# Канал 1 Тышкы MISC EOP алынган: 128
# Канал 1 Тышкы катасы кабарланды: 0
# Channel 1 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# Канал 2 eCPRI SOP өткөрүлдү: 300
# Канал 2 eCPRI ЭОП өткөрүлдү: 300
# Канал 2 eCPRI SOP алынган: 300
# Канал 2 eCPRI ЭОП алынган: 300
# Канал 2 eCPRI катасы кабарланды: 0
# Канал 2 Тышкы PTP SOP өткөрүлөт: 4
# Канал 2 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 2 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 2 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 2 Тышкы SOP алынган: 132
# Канал 2 Тышкы ЭОП алынды: 132
# Канал 2 Тышкы PTP SOP алынган: 4
# Канал 2 Тышкы PTP ЭОП алынды: 4
# Канал 2 Тышкы MISC SOP алынган: 128
# Канал 2 Тышкы MISC EOP алынган: 128
# Канал 2 Тышкы катасы кабарланды: 0
# Channel 2 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# Канал 3 eCPRI SOP өткөрүлдү: 300
# Канал 3 eCPRI ЭОП өткөрүлдү: 300
# Канал 3 eCPRI SOP алынган: 300
# Канал 3 eCPRI ЭОП алынган: 300
# Канал 3 eCPRI катасы кабарланды: 0
# Канал 3 Тышкы PTP SOP өткөрүлөт: 4
# Канал 3 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 3 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 3 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 3 Тышкы SOP алынган: 132
# Канал 3 Тышкы ЭОП алынды: 132
# Канал 3 Тышкы PTP SOP алынган: 4
# Канал 3 Тышкы PTP ЭОП алынды: 4
# Канал 3 Тышкы MISC SOP алынган: 128
# Канал 3 Тышкы MISC EOP алынган: 128
# Канал 3 Тышкы катасы кабарланды: 0
# Channel 3 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# ______________________________________________________
# INFO: Сыноо ӨТТҮ
#
# ______________________________________________________
Sample Output: Төмөнкү сample чыгаруу eCPRI IP дизайнын ийгиликтүү симуляциялык сыноону көрсөтөтampКаналдардын саны = 4 менен иштетилген IWF функциясы менен:
# CPRI TX иштетүү
# CPRI каналы 0 L1_CONFIG : 00000001
# CPRI каналы 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI каналы 1 L1_CONFIG : 00000001
# CPRI каналы 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI каналы 2 L1_CONFIG : 00000001
# CPRI каналы 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI каналы 3 L1_CONFIG : 00000001
# CPRI каналы 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX тегиздөөсүн күтүүдө
# RX кыйшаюусу кулпуланган
# RX тилкесин тегиздөө кулпуланган
# Шилтеменин катасы таза болушун күтүп жатабыз
# Шилтеменин катасы таза
# MAC булак дареги 0_0 Канал 0: 33445566
# MAC булак дареги 0_1 Канал 0: 00007788
# MAC көздөгөн дареги 0_0 Канал 0: 33445566
# MAC көздөгөн дареги 0_1 Канал 0: 00007788
# MAC көздөгөн дареги 1_0 Канал 0: 11223344
# MAC көздөгөн дареги 1_1 Канал 0: 00005566
# MAC көздөгөн дареги 2_0 Канал 0: 22334455
# MAC көздөгөн дареги 2_1 Канал 0: 00006677
# MAC көздөгөн дареги 3_0 Канал 0: 44556677
# MAC көздөгөн дареги 3_1 Канал 0: 00008899
# MAC көздөгөн дареги 4_0 Канал 0: 66778899
# MAC Destination Address 4_1 Channel 0: 0000aabb
# MAC Destination Address 5_0 Channel 0: 778899aa
# MAC Destination Address 5_1 Channel 0: 0000bbcc
# MAC Destination Address 6_0 Channel 0: 8899aabb
# MAC Destination Address 6_1 Channel 0: 0000ccdd
# MAC Destination Address 7_0 Channel 0: 99aabbcc
# MAC Destination Address 7_1 Channel 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# eCPRI Common Control Channel 0: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 0: 2
# MAC булак дареги 0_0 Канал 1: 33445566
# MAC булак дареги 0_1 Канал 1: 00007788
# MAC көздөгөн дареги 0_0 Канал 1: 33445566
# MAC көздөгөн дареги 0_1 Канал 1: 00007788
# MAC көздөгөн дареги 1_0 Канал 1: 11223344
# MAC көздөгөн дареги 1_1 Канал 1: 00005566
# MAC көздөгөн дареги 2_0 Канал 1: 22334455
# MAC көздөгөн дареги 2_1 Канал 1: 00006677
# MAC көздөгөн дареги 3_0 Канал 1: 44556677
# MAC көздөгөн дареги 3_1 Канал 1: 00008899
# MAC көздөгөн дареги 4_0 Канал 1: 66778899
# MAC Destination Address 4_1 Channel 1: 0000aabb
# MAC Destination Address 5_0 Channel 1: 778899aa
# MAC Destination Address 5_1 Channel 1: 0000bbcc
# MAC Destination Address 6_0 Channel 1: 8899aabb
# MAC Destination Address 6_1 Channel 1: 0000ccdd
# MAC Destination Address 7_0 Channel 1: 99aabbcc
# MAC Destination Address 7_1 Channel 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# eCPRI Common Control Channel 1: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 1: 2
# MAC булак дареги 0_0 Канал 2: 33445566
# MAC булак дареги 0_1 Канал 2: 00007788
# MAC көздөгөн дареги 0_0 Канал 2: 33445566
# MAC көздөгөн дареги 0_1 Канал 2: 00007788
# MAC көздөгөн дареги 1_0 Канал 2: 11223344
# MAC көздөгөн дареги 1_1 Канал 2: 00005566
# MAC көздөгөн дареги 2_0 Канал 2: 22334455
# MAC көздөгөн дареги 2_1 Канал 2: 00006677
# MAC көздөгөн дареги 3_0 Канал 2: 44556677
# MAC көздөгөн дареги 3_1 Канал 2: 00008899
# MAC көздөгөн дареги 4_0 Канал 2: 66778899
# MAC Destination Address 4_1 Channel 2: 0000aabb
# MAC Destination Address 5_0 Channel 2: 778899aa
# MAC Destination Address 5_1 Channel 2: 0000bbcc
# MAC Destination Address 6_0 Channel 2: 8899aabb
# MAC Destination Address 6_1 Channel 2: 0000ccdd
# MAC Destination Address 7_0 Channel 2: 99aabbcc
# MAC Destination Address 7_1 Channel 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# eCPRI Common Control Channel 2: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 2: 2
# MAC булак дареги 0_0 Канал 3: 33445566
# MAC булак дареги 0_1 Канал 3: 00007788
# MAC көздөгөн дареги 0_0 Канал 3: 33445566
# MAC көздөгөн дареги 0_1 Канал 3: 00007788
# MAC көздөгөн дареги 1_0 Канал 3: 11223344
# MAC көздөгөн дареги 1_1 Канал 3: 00005566
# MAC көздөгөн дареги 2_0 Канал 3: 22334455
# MAC көздөгөн дареги 2_1 Канал 3: 00006677
# MAC көздөгөн дареги 3_0 Канал 3: 44556677
# MAC көздөгөн дареги 3_1 Канал 3: 00008899
# MAC көздөгөн дареги 4_0 Канал 3: 66778899
# MAC Destination Address 4_1 Channel 3: 0000aabb
# MAC Destination Address 5_0 Channel 3: 778899aa
# MAC Destination Address 5_1 Channel 3: 0000bbcc
# MAC Destination Address 6_0 Channel 3: 8899aabb
# MAC Destination Address 6_1 Channel 3: 0000ccdd
# MAC Destination Address 7_0 Channel 3: 99aabbcc
# MAC Destination Address 7_1 Channel 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# eCPRI Common Control Channel 3: 00000241 үзгүлтүккө учуратууну иштетүү
# eCPRI версиясы Канал 3: 2
# CPRIнын HSYNC байланыш абалына жетишин күтүп жатабыз
# CPRI Channel 0 HSYNC абалына жетишти
# CPRI Channel 1 HSYNC абалына жетишти
# CPRI Channel 2 HSYNC абалына жетишти
# CPRI Channel 3 HSYNC абалына жетишти
# 11100250000 nego_bitrate_complete үчүн 1 деп жазыңыз
# 11100650000 Добуш берүү PROT_VER 0-канал
# ______________________________________________________
№ 11100850000 Добуш берүү тизмеси: a0000010
# ______________________________________________________
# 13105050000 Добуш берүү PROT_VER 1-канал
# ______________________________________________________
№ 13105250000 Добуш берүү тизмеси: a0800010
# ______________________________________________________
# 13105950000 Добуш берүү PROT_VER 2-канал
# ______________________________________________________
№ 13106150000 Добуш берүү тизмеси: a1000010
# ______________________________________________________
# 13106850000 Добуш берүү PROT_VER 3-канал
# ______________________________________________________
№ 13107050000 Добуш берүү тизмеси: a1800010
# ______________________________________________________
# 13107750000 nego_protol_complete үчүн 1 деп жазыңыз
# 13108150000 Сурамжылоо CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# ______________________________________________________
№ 13108350000 Добуш берүү тизмеси: a0000020
# ______________________________________________________
# 14272050000 Сурамжылоо CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# ______________________________________________________
№ 14272250000 Добуш берүү тизмеси: a0800020
# ______________________________________________________
# 14272950000 Сурамжылоо CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# ______________________________________________________
№ 14273150000 Добуш берүү тизмеси: a1000020
# ______________________________________________________
# 14273850000 Сурамжылоо CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# ______________________________________________________
№ 14274050000 Добуш берүү тизмеси: a1800020
# ______________________________________________________
# 14274750000 nego_cm_толук 1 деп жаз
# 14275150000 nego_vss_complete үчүн 1 деп жазыңыз
# CPRI Channel 0 күтүүдө HSYNC жана FSM STATE_F баштоо ырааттуулугуна жетишүү
# CPRI Channel 0 HSYNC & FSM STATE_F ишке киргизүү ырааттуулугу жетишилди
# CPRI Channel 1 күтүүдө HSYNC жана FSM STATE_F баштоо ырааттуулугуна жетишүү
# CPRI Channel 1 HSYNC & FSM STATE_F ишке киргизүү ырааттуулугу жетишилди
# CPRI Channel 2 күтүүдө HSYNC жана FSM STATE_F баштоо ырааттуулугуна жетишүү
# CPRI Channel 2 HSYNC & FSM STATE_F ишке киргизүү ырааттуулугу жетишилди
# CPRI Channel 3 күтүүдө HSYNC жана FSM STATE_F баштоо ырааттуулугуна жетишүү
# CPRI Channel 3 HSYNC & FSM STATE_F ишке киргизүү ырааттуулугу жетишилди
# ______________________________________________________
# INFO: баштапкы абалга келтирилген эмес
# ______________________________________________________
#
#
# Канал 0 eCPRI TX SOPs саны : 0
# Канал 0 eCPRI TX EOPs саны : 0
# Канал 0 eCPRI RX SOPs саны : 0
# Канал 0 eCPRI RX EOPs саны : 0
# Канал 0 Тышкы PTP TX SOP саны : 0
# Канал 0 Тышкы PTP TX EOP саны : 0
# Канал 0 Тышкы MISC TX SOPs саны : 0
# Канал 0 Тышкы MISC TX EOPs саны : 0
# Канал 0 Тышкы RX SOPs саны : 0
# Канал 0 Тышкы RX EOPs саны : 0
# Канал 1 eCPRI TX SOPs саны : 0
# Канал 1 eCPRI TX EOPs саны : 0
# Канал 1 eCPRI RX SOPs саны : 0
# Канал 1 eCPRI RX EOPs саны : 0
# Канал 1 Тышкы PTP TX SOP саны : 0
# Канал 1 Тышкы PTP TX EOP саны : 0
# Канал 1 Тышкы MISC TX SOPs саны : 0
# Канал 1 Тышкы MISC TX EOPs саны : 0
# Канал 1 Тышкы RX SOPs саны : 0
# Канал 1 Тышкы RX EOPs саны : 0
# Канал 2 eCPRI TX SOPs саны : 0
# Канал 2 eCPRI TX EOPs саны : 0
# Канал 2 eCPRI RX SOPs саны : 0
# Канал 2 eCPRI RX EOPs саны : 0
# Канал 2 Тышкы PTP TX SOP саны : 0
# Канал 2 Тышкы PTP TX EOP саны : 0
# Канал 2 Тышкы MISC TX SOPs саны : 0
# Канал 2 Тышкы MISC TX EOPs саны : 0
# Канал 2 Тышкы RX SOPs саны : 0
# Канал 2 Тышкы RX EOPs саны : 0
# Канал 3 eCPRI TX SOPs саны : 0
# Канал 3 eCPRI TX EOPs саны : 0
# Канал 3 eCPRI RX SOPs саны : 0
# Канал 3 eCPRI RX EOPs саны : 0
# Канал 3 Тышкы PTP TX SOP саны : 0
# Канал 3 Тышкы PTP TX EOP саны : 0
# Канал 3 Тышкы MISC TX SOPs саны : 0
# Канал 3 Тышкы MISC TX EOPs саны : 0
# Канал 3 Тышкы RX SOPs саны : 0
# Канал 3 Тышкы RX EOPs саны : 0
# ______________________________________________________
# INFO: Пакеттерди өткөрүп баштаңыз
# ______________________________________________________
#
#
# INFO: Channel 0 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 0 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 0 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 0 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 0 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 0 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# INFO: Channel 1 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 1 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 1 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 1 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 1 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 1 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# INFO: Channel 2 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 2 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 2 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 2 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 2 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 2 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# INFO: Channel 3 eCPRI TX трафигинин өтүшүн күтүүдө
# INFO: Channel 3 eCPRI TX трафикти өткөрүү аяктады
# INFO: Channel 3 eCPRI тышкы TX PTP трафигинин өтүшүн күтүүдө
толук
# INFO: Channel 3 eCPRI Тышкы TX PTP трафигинин өткөрүлүшү аяктады
# INFO: Channel 3 eCPRI Сырткы TX Ар кандай трафиктин өтүшүн күтүүдө
толук
# INFO: Channel 3 eCPRI Сырткы TX Ар кандай трафик өткөрүлдү
# ______________________________________________________
# INFO: пакеттерди берүүнү токтотуу
# ______________________________________________________
#
#
# ______________________________________________________
# INFO: пакеттердин статистикасын текшерүү
# ______________________________________________________
#
#
# Канал 0 eCPRI SOP өткөрүлдү: 50
# Канал 0 eCPRI ЭОП өткөрүлдү: 50
# Канал 0 eCPRI SOP алынган: 50
# Канал 0 eCPRI ЭОП алынган: 50
# Канал 0 eCPRI катасы кабарланды: 0
# Канал 0 Тышкы PTP SOP өткөрүлөт: 4
# Канал 0 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 0 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 0 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 0 Тышкы SOP алынган: 132
# Канал 0 Тышкы ЭОП алынды: 132
# Канал 0 Тышкы PTP SOP алынган: 4
# Канал 0 Тышкы PTP ЭОП алынды: 4
# Канал 0 Тышкы MISC SOP алынган: 128
# Канал 0 Тышкы MISC EOP алынган: 128
# Канал 0 Тышкы катасы кабарланды: 0
# Channel 0 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# Канал 1 eCPRI SOP өткөрүлдү: 50
# Канал 1 eCPRI ЭОП өткөрүлдү: 50
# Канал 1 eCPRI SOP алынган: 50
# Канал 1 eCPRI ЭОП алынган: 50
# Канал 1 eCPRI катасы кабарланды: 0
# Канал 1 Тышкы PTP SOP өткөрүлөт: 4
# Канал 1 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 1 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 1 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 1 Тышкы SOP алынган: 132
# Канал 1 Тышкы ЭОП алынды: 132
# Канал 1 Тышкы PTP SOP алынган: 4
# Канал 1 Тышкы PTP ЭОП алынды: 4
# Канал 1 Тышкы MISC SOP алынган: 128
# Канал 1 Тышкы MISC EOP алынган: 128
# Канал 1 Тышкы катасы кабарланды: 0
# Channel 1 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# Канал 2 eCPRI SOP өткөрүлдү: 50
# Канал 2 eCPRI ЭОП өткөрүлдү: 50
# Канал 2 eCPRI SOP алынган: 50
# Канал 2 eCPRI ЭОП алынган: 50
# Канал 2 eCPRI катасы кабарланды: 0
# Канал 2 Тышкы PTP SOP өткөрүлөт: 4
# Канал 2 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 2 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 2 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 2 Тышкы SOP алынган: 132
# Канал 2 Тышкы ЭОП алынды: 132
# Канал 2 Тышкы PTP SOP алынган: 4
# Канал 2 Тышкы PTP ЭОП алынды: 4
# Канал 2 Тышкы MISC SOP алынган: 128
# Канал 2 Тышкы MISC EOP алынган: 128
# Канал 2 Тышкы катасы кабарланды: 0
# Channel 2 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# Канал 3 eCPRI SOP өткөрүлдү: 50
# Канал 3 eCPRI ЭОП өткөрүлдү: 50
# Канал 3 eCPRI SOP алынган: 50
# Канал 3 eCPRI ЭОП алынган: 50
# Канал 3 eCPRI катасы кабарланды: 0
# Канал 3 Тышкы PTP SOP өткөрүлөт: 4
# Канал 3 Тышкы PTP EOP өткөрүлүп берилди: 4
# Канал 3 Тышкы MISC SOP өткөрүлүп берилди: 128
# Канал 3 Тышкы MISC EOP өткөрүлүп берилди: 128
# Канал 3 Тышкы SOP алынган: 132
# Канал 3 Тышкы ЭОП алынды: 132
# Канал 3 Тышкы PTP SOP алынган: 4
# Канал 3 Тышкы PTP ЭОП алынды: 4
# Канал 3 Тышкы MISC SOP алынган: 128
# Канал 3 Тышкы MISC EOP алынган: 128
# Канал 3 Тышкы катасы кабарланды: 0
# Channel 3 Тышкы убакытamp Манжа изинин катасы билдирилди: 0
# ______________________________________________________
# INFO: Сыноо ӨТТҮ
#
# ______________________________________________________
1.4.1. Ethernet IP үчүн динамикалык кайра конфигурациялоону иштетүү
Демейки боюнча, динамикалык кайра конфигурациялоо eCPRI IP дизайнында өчүрүлгөнample жана ал Intel Stratix 10 (E-тили жана H-тилей) жана Intel Agilex 7 (E-tile) дизайнына гана тиешелүү.amples.
- Түзүлгөндөн test_wrapper.sv төмөнкү сапты издеңизample_dir>/simulation/testbench каталогу: ETHERNET_DR_EN параметри = 0
- Маани 0дөн 1ге өзгөртүңүз: ETHERNET_DR_EN параметри = 1
- Ошол эле түзүлгөн экс менен симуляцияны кайра иштетиңизample дизайн каталогу.
1.5. Компиляция гана долбоорун түзүү
Бир гана компиляцияны түзүү үчүнampдолбоордо, бул кадамдарды аткарыңыз:
- Компиляция дизайнын камсыз кылуу, мисалыampле муун бүттү.
- Intel Quartus Prime Pro Edition программасында Intel Quartus Prime Pro Edition долбоорун ачыңызample_dir>/синтез/quartus/ ecpri_ed.qpf.
- Иштетүү менюсунда Компиляцияны баштоону басыңыз.
- Ийгиликтүү компиляциядан кийин, убакыт жана ресурстарды пайдалануу боюнча отчеттор сиздин Intel Quartus Prime Pro Edition сессияңызда жеткиликтүү. Иштетүү ➤ Компиляция отчетуна өтүңүз view түзүү жөнүндө толук отчет.
Тиешелүү маалымат
Блокко негизделген долбоорлоо агымдары
1.6. Дизайнды түзүү жана конфигурациялоо Example in Аппараттык
Аппараттык дизайнды түзүү үчүн эксampжана аны Intel түзмөгүңүздө конфигурациялаңыз, бул кадамдарды жасаңыз:
- Аппараттык камсыздоонун дизайнын камсыз кылуу эксampле муун бүттү.
- Intel Quartus Prime Pro Edition программасында Intel Quartus Prime долбоорун ачыңызample_dir>/synthesis/quartus/ecpri_ed.qpf.
- Иштетүү менюсунда Компиляцияны баштоону басыңыз.
- Ийгиликтүү компиляциядан кийин, а .sof file ичинде жеткиликтүүample_dir>/ synthesis/quartus/output_files каталогу. Аппараттык дизайнды программалоо үчүн бул кадамдарды аткарыңызampIntel FPGA түзмөгүндө:
а. Иштеп чыгуу комплектин башкы компьютерге туташтырыңыз.
б. Иштеп чыгуу комплектинин бир бөлүгү болгон Clock Control тиркемесин ишке киргизиңиз жана мурунку дизайн үчүн жаңы жыштыктарды орнотуңузample. Төмөндө Clock Control колдонмосундагы жыштык жөндөөсү келтирилген:
• Эгерде сиз дизайныңызды Intel Stratix 10 GX SI өнүктүрүү комплектине багыттап жатсаңыз:
— U5, OUT8- 100 МГц
— U6, OUT3- 322.265625 МГц
— U6, OUT4 жана OUT5- 307.2 МГц
• Эгерде сиз дизайныңызды Intel Stratix 10 TX SI өнүктүрүү комплектине багыттап жатсаңыз:
— U1, CLK4- 322.265625 МГц (25G маалымат ылдамдыгы үчүн)
— U6- 156.25 МГц (10G маалымат ылдамдыгы үчүн)
— U3, OUT3- 100 МГц
— U3, OUT8- 153.6 МГц
• Эгерде сиз дизайныңызды Intel Agilex 7 F-Series Transceiver-SoC өнүктүрүү комплектине багыттап жатсаңыз:
— U37, CLK1A- 100 МГц
— U34, CLK0P- 156.25 МГц
— U38, OUT2_P- 153.6 МГц
• Эгерде сиз дизайныңызды Intel Arria 10 GX SI өнүктүрүү комплектине багыттап жатсаңыз:
— U52, CLK0- 156.25 МГц
— U52, CLK1- 250 МГц
— U52, CLK3- 125 МГц
— Y5- 307.2 МГц
— Y6- 322.265625 МГц
в. Куралдар менюсунан Программист чыкылдатыңыз.
г. Программистте, Hardware Setup чыкылдатыңыз.
д. Программалоочу түзүлүштү тандаңыз.
f. Сиздин Intel Quartus Prime Pro Edition сеансы туташа турган Өнүгүү топтомун тандап, кошуңуз.
г. Mode J деп коюлганын текшериңизTAG.
ч. Түзмөктү тандап, "Аппаратты кошуу" баскычын чыкылдатыңыз. Программист тактаңыздагы түзмөктөрдүн ортосундагы байланыштардын блок диаграммасын көрсөтөт.
и. .sof жүктөңүз file тиешелүү Intel FPGA түзмөгүңүзгө.
j. Аткарылуучу жана байланыштыруучу форматты жүктөө (.elf) file сиздин Intel Stratix 10 же
Intel Agilex 7 түзмөгү, эгерде сиз 25G жана 10G ортосунда маалымат ылдамдыгын которуу үчүн динамикалык кайра конфигурациялоону (DR) аткарууну пландаштырсаңыз. Аткарылуучу жана байланыштыруучу Форматты (.elf) Программалоону түзүү жана жүктөө боюнча нускамаларды аткарыңыз File .elf түзүү үчүн 38-бетте file.
к. .sof менен катарда .sof үчүн Программа/Конфигурация кутучасын белгилеңиз file.
л. Start Click.
Тиешелүү маалымат
- Блоктун негизиндеги дизайн
- Intel Quartus Prime программист колдонуучу колдонмосу
- Системалык консол менен дизайнды талдоо жана мүчүлүштүктөрдү оңдоо
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit Колдонуучунун колдонмосу
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Колдонуучунун колдонмосу
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Колдонуучунун колдонмосу
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit Колдонуучунун колдонмосу
1.7. eCPRI Intel FPGA IP дизайнын текшерүү Example
Сиз eCPRI Intel FPGA IP негизги дизайнын компиляциялагандан кийин эксample жана аны Intel FPGA түзмөгүңүздө конфигурацияласаңыз, IP өзөгүн жана анын камтылган Native PHY IP негизги регистрлерин программалоо үчүн Системалык Консолду колдоно аласыз.
Системанын консолун күйгүзүү жана жабдык дизайнын сынап көрүү үчүнample, бул кадамдарды аткарыңыз:
- Аппараттык дизайндан кийин эксample Intel түзмөгүндө конфигурацияланган, Intel Quartus Prime Pro Edition программасында, Куралдар менюсунан Системанын мүчүлүштүктөрүн оңдоо куралдары ➤ Системанын консолу дегенди басыңыз.
- Tcl Console панелинде каталогду өзгөртүңүзample_dir>/ synthesis/quartus/hardware_test жана J менен байланышты ачуу үчүн төмөнкү буйрукту териңизTAG мастер жана тестти баштоо:
• Intel Agilex 7 үлгүлөрү үчүн ecpri_agilex.tcl булагы
• Intel Stratix 10 дизайны үчүн ecpri_s10.tcl булагы
• Intel Arria 10 дизайны үчүн ecpri_a10.tcl булагы - Сиздин Intel Stratix 10 же Intel Agilex 7 E-tile түзмөгүңүздүн вариациялары үчүн, .sof программасын программалагандан кийин бир жолу ички же тышкы кайра цикл буйругун аткарышыңыз керек. file:
а. flow.c ичиндеги TEST_MODE өзгөрмөсүн өзгөртүү file кайра цикл режимин тандоо үчүн:TEST_MODE Акция 0 Симуляция үчүн гана сериялык кайра цикл иштетет 1 Сериялык кайра цикл аппараттык камсыздоо үчүн гана иштетет 2 Сериялык цикл жана калибрлөө 3 Калибрлөө гана Сиз flow.c өзгөргөн сайын NIOS II программалык камсыздоосун кайра компиляциялоо жана регенерациялоо керек file.
б. Regenerate the .elf file жана дагы бир жолу тактага программалоо жана .sof кайра программалоо file. - Системанын консолунун скриптинде колдоого алынган буйруктар аркылуу долбоорлоо ишин сынап көрүңүз. Системанын консолунун скрипти статистиканы окуу үчүн пайдалуу буйруктарды жана дизайнга мүмкүндүк берүүчү функцияларды берет.
Таблица 4. Системалык консол скриптинин буйруктары
Command | Description |
loop_on | TXдан RXге ички сериялык циклди иштетет. Intel Stratix 10 H-tile жана Intel Arria 10 түзмөктөрү үчүн гана колдонуңуз. |
loop_off | TXдан RXге ички сериялык циклди өчүрөт. Intel Stratix 10 H-tile жана Intel Arria 10 түзмөктөрү үчүн гана колдонуңуз. |
шилтеме _ init _ int _1pbk | Трансивердин ичиндеги TX-RX ички сериялык циклди иштетет жана трансиверди калибрлөө агымын аткарат. Intel Stratix 10 E-tile жана Intel Agilex 7 E-тайл үлгүлөрүнө гана тиешелүү. |
шилтеме _ init _ ext _1pbk | TXтен RXге тышкы циклди иштетет жана трансиверди калибрлөө агымын аткарат. Intel Stratix 10 E-tile жана Intel Agilex 7 E-тайл үлгүлөрүнө гана тиешелүү. |
трафикти өчүрүү | Трафик генераторун жана текшергичти өчүрөт. |
chkmac статистикасы | Ethernet MAC үчүн статистиканы көрсөтөт. |
окуу_ тест_ статистика | Трафик генератору жана текшерүүчүлөр үчүн ката статистикасын көрсөтүңүз. |
ext _ үзгүлтүксүз _ режим _ky | Бүткүл дизайн тутумун баштапкы абалга келтирет жана трафик генераторуна үзгүлтүксүз трафик пакеттерин түзүүгө мүмкүнчүлүк берет. |
dr _ 25g _ to _ lOg _etile | Ethernet MAC маалымат ылдамдыгын 25Gден 10Gге которот. Intel Stratix 10 E-tile жана Intel Agilex 7 E-tile түзмөктөрү үчүн гана колдонуңуз. |
dr_25g_to_10g_htile | Ethernet MAC маалымат ылдамдыгын 25Gден 10Gге которот. H-плиткалары үчүн гана колдонуңуз |
dr_10g_to_25g_etile | Ethernet MAC маалымат ылдамдыгын 10Gден 25Gге которот. Intel Stratix 10 E-tile жана Intel Agilex 7 E-tile түзмөктөрү үчүн гана колдонуңуз. |
dr _ 25g _ to _ lOg _htile | Ethernet MAC маалымат ылдамдыгын 10Gден 25Gге которот. H-плиткалары үчүн гана колдонуңуз. |
Төмөнкү сample output ийгиликтүү сыноону көрсөтөт:
Системанын консолунан басып чыгаруу (каналдардын саны = 1)
Канал 0 EXT PTP TX SOP саны: 256
Канал 0 EXT PTP TX EOP саны: 256
Канал 0 EXT MISC TX SOP саны: 36328972
Канал 0 EXT MISC TX EOP саны: 36369511
Канал 0 EXT RX SOP саны: 36410364
Канал 0 EXT RX EOP саны: 36449971
Канал 0 EXT текшерүү каталары: 0
Канал 0 EXT текшерүү катасынын саны: 0
Канал 0 EXT PTP манжа изи каталары: 0
Канал 0 EXT PTP манжа изи катасынын саны: 0
Канал 0 TX SOP саны: 1337760
Канал 0 TX EOP саны: 1339229
Канал 0 RX SOP саны: 1340728
Канал 0 RX EOP саны: 1342555
Канал 0 Текшерүүчү каталар: 0
Канал 0 Текшерүүчү каталардын саны: 0
================================================== ===========================
=============
ETHERNET MAC СТАТИСТИКАСЫ ҮЧҮН Channel 0 (Rx)
================================================== ===========================
=============
Бөлүнгөн алкактар: 0
Jabbered Frames : 0
FCS Err Frames менен туура өлчөм: 0
Multicast маалымат Err Frames : 0
Берүү маалыматтары Err Frames : 0
Unicast data Err Frames : 0
64 байт алкактары: 3641342
65 – 127 байт алкактар : 0
128 – 255 байт алкактар : 37404809
256 – 511 байт алкактар : 29128650
512 – 1023 байт алкактар : 0
1024 – 1518 байт алкактар : 0
1519 – MAX байт алкактары: 0
> MAX байт алкактары: 0
Multicast маалымат OK Frame : 70174801
Берилиштерди берүү OK Frame : 0
Unicast маалымат OK Frames : 0
Multicast Control Frames: 0
Берүүнү башкаруу кадрлары: 0
Unicast Control Frames: 0
Башкаруу алкактарын тындыруу: 0
Жүктөө Октети OK: 11505935812
Frame Octets OK : 12918701444
Rx Кадрдын максималдуу узундугу: 1518
FCS Err Frame менен каалаган өлчөм: 0
Multicast башкаруу Err Frame : 0
Берүүнү башкаруу Err Frame : 0
Unicast башкаруу Err Frames : 0
Паузаны башкаруу Ката Фреймдер: 0
Rx Frame башталат: 70174801
Төмөнкү сamp25G дан 10G DR сынагынан чыгуу үчүн:
Системанын консолунан басып чыгаруу (25G – 10G DR E-тили)
Ethernet 25G -> 10G үчүн динамикалык кайра конфигурациялоону баштаңыз
DR Ийгиликтүү 25G -> 10G
RX PHY регистрине кирүү: Сааттын жыштыктарын текшерүү (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY Статус Сурамжылоо
Rx Frequency Lock Status 0x0000000f
Mac сааты жакшы абалдабы? 0x00000001
Rx Frame Error? 0x00000000
Rx PHY Толугу менен тегизделгенби? 0x00000001
Сурамжылоо RX PHY каналы 0
RX PHY Channel 0 иштеп жатат!
Системанын консолунан басып чыгаруу (25G – 10G DR H-тилей)
Ethernet 25G -> 10G үчүн динамикалык кайра конфигурациялоону баштаңыз
DR Ийгиликтүү 25G -> 10G
RX PHY регистрине кирүү: Сааттын жыштыктарын текшерүү (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY Статус Сурамжылоо
Rx Frequency Lock Status 0x00000001
Mac сааты жакшы абалдабы? 0x00000007
Rx Frame Error? 0x00000000
Rx PHY Толугу менен тегизделгенби? 0x00000001
Сурамжылоо RX PHY каналы 0
RX PHY Channel 0 иштеп жатат!
Системанын консолунан басып чыгаруу (10G – 25G DR E-тили)
Ethernet 10G -> 25G үчүн динамикалык кайра конфигурациялоону баштаңыз
DR Ийгиликтүү 10G -> 25G
RX PHY регистрине кирүү: Сааттын жыштыктарын текшерүү (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY Статус Сурамжылоо
Rx Frequency Lock Status 0x0000000f
Mac сааты жакшы абалдабы? 0x00000001
Rx Frame Error? 0x00000000
Rx PHY Толугу менен тегизделгенби? 0x00000001
Сурамжылоо RX PHY каналы 0
RX PHY Channel 0 иштеп жатат!
Системанын консолунан басып чыгаруу (10G – 25G DR H-тилей)
Ethernet 10G -> 25G үчүн динамикалык кайра конфигурациялоону баштаңыз
DR Ийгиликтүү 10G -> 25G
RX PHY регистрине кирүү: Сааттын жыштыктарын текшерүү (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY Статус Сурамжылоо
Rx Frequency Lock Status 0x00000001
Mac сааты жакшы абалдабы? 0x00000007
Rx Frame Error? 0x00000000
Rx PHY Толугу менен тегизделгенби? 0x00000001
Сурамжылоо RX PHY каналы 0
RX PHY Channel 0 иштеп жатат!
Дизайн Example Description
Дизайн эксample eCPRI IP өзөгүнүн негизги функцияларын көрсөтөт. Сиз дизайнды ExampeCPRI IP параметр редакторундагы Дизайн өтмөгү.
2.1. Өзгөчөлүктөрү
- Ички TX жана RX сериялык кайра кайтаруу режими
- Белгиленген өлчөмдөгү пакеттерди автоматтык түрдө жаратат
- Пакетти текшерүүнүн негизги мүмкүнчүлүктөрү
- Дизайнды сыноо жана кайра сыноо максатында дизайнды баштапкы абалга келтирүү үчүн Системанын консолун колдонуу мүмкүнчүлүгү
2.2. Аппараттык долбоорлоо Example
5-сүрөт. Intel Agilex 7 F-плиткаларынын дизайндары үчүн блок диаграммасы
Intel корпорациясы. Бардык укуктар корголгон. Intel, Intel логотиби жана башка Intel белгилери Intel корпорациясынын же анын туунду компанияларынын соода белгилери болуп саналат. Intel өзүнүн FPGA жана жарым өткөргүч өнүмдөрүн Intelдин стандарттык гарантиясына ылайык учурдагы спецификацияларга ылайык аткарууга кепилдик берет, бирок эскертүүсүз каалаган убакта каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Intel бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Intel тарабынан жазуу жүзүндө ачык макулдашылгандан башка учурларда. Intel кардарларына жарыяланган маалыматка ишенүүдөн мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн спецификацияларынын акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.
6-сүрөт. Intel Agilex 7 электрондук плиткаларынын дизайндары үчүн блок диаграммасы7-сүрөт. Intel Stratix 10 Дизайндары үчүн блок диаграммасы
8-сүрөт. Intel Arria 10 Дизайндары үчүн блок диаграммасыeCPRI Intel FPGA IP негизги аппараттык дизайны эксample төмөнкү компоненттерди камтыйт:
eCPRI Intel FPGA IP
Сыноочу пакеттин ичинде түзүлгөн трафик генераторлорунун берилиштерин кабыл алат жана Ethernet IPге өткөрүү үчүн берилиштерге артыкчылык берет.
Ethernet IP
- F-тилей Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-тайл дизайндары)
- Ethernet үчүн E-тилей Катуу IP (Intel Stratix 10 же Intel Agilex 7 E-тайл үлгүлөрү)
- 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-плиткасынын дизайны)
- Төмөнкү кечигүү Ethernet 10G MAC IP жана 1G/10GbE жана 10GBASE-KR PHY IP (Intel Arria 10 үлгүлөрү)
Precision Time Protocol (PTP) IO PLL
Intel Stratix 10 H-плиткаларынын конструкциялары үчүн — Ethernet IP жана с үчүн кечиктирүүнү өлчөө киргизүү маалымдама саатын түзүү үчүн түзүлгөнampТүндүн убактысы (TOD) кичи тутумунун сааты. IEEE 25v10 өзгөчөлүгү бар 1588G Ethernet Intel Stratix 2 FPGA IP үчүн Intel бул сааттын жыштыгын 156.25 МГцке коюуну сунуштайт. Көбүрөөк маалымат үчүн 25G Ethernet Intel Stratix 10 FPGA IP Колдонуучу колдонмосун жана Intel Stratix 10 H-тилей Transceiver PHY Колдонуучуну караңыз. PTP IOPLL да каскаддуу түрдө eCPRI IO PLL үчүн маалымдама саатын түзөт.
Intel Arria 10 конструкциялары үчүн — Төмөнкү Latency Ethernet 312.5G MAC IP жана 156.25G/10GbE, 1GBASE-KR PHY IP жана eCPRI IP үчүн 10 МГц жана 10 МГц саат киргизүүлөрүн түзүү үчүн түзүлгөн.
eCPRI IO PLL
eCPRI IP TX жана RX жолу жана трафик компоненттери үчүн 390.625 МГц негизги сааттын чыгышын жаратат.
Эскертүү: Бул блок эски дизайнда гана барample Intel Stratix 10 жана Intel Agilex 7 түзмөктөрү үчүн түзүлгөн.
Эскертүү: eCPRI Intel FPGA IP версиясынын учурдагы версиясы IWF 0 түрүн гана колдойт. Intel Agilex 7 F-плиткалары үчүн, эски дизайнampIWF функциясы менен иштетилген le колдоого алынбайт.
Сиз дизайн эксample Interworking Function (IWF) Колдоо параметри өчүрүлгөн болсо, пакет трафиги түздөн-түз сыноо орогуч модулунан Avalon-ST булагы/чөгүп интерфейсине жана eCPRI IPдин тышкы булак/чөгүп интерфейсине агып кетет.
Сиз дизайн эксampInterworking Function (IWF) колдоо параметри күйгүзүлгөндө, пакет трафиги IWF Avalon-ST раковинасынын интерфейсине адегенде сынама орогуч модулунан, ал эми IWF Avalon-ST булак интерфейсинен eCPRI Avalon-ST булагына/чыгуучуга чыгат. интерфейс.
CPRI MAC
Колдонуучунун планын, C&M жана синхрондоштуруу маалыматын REC менен RE, ошондой эле эки RE ортосунда өткөрүп берүү үчүн 1-кабаттын CPRI бөлүгүн жана толук катмар 2 протоколдорун камсыз кылат,
CPRI PHY
Сызыкты коддоо, бит катасын оңдоо/аныктоо ж.б. үчүн CPRI катмарынын 1 протоколунун калган бөлүгүн камсыз кылат.
Эскертүү: CPRI MAC жана CPRI PHY IP бул дизайнда жаралганample бир CPRI линиясынын ылдамдыгы 9.8 Гбит/сек гана иштөөгө конфигурацияланган. Дизайн эксample учурдагы чыгарылышта линия ченинин авто-сүйлөшүүсүн колдобойт.
Test Wrapper
Төмөнкүдөй eCPRI IPдин Avalon Streaming (Avalon-ST) интерфейстерине маалымат пакеттеринин ар кандай топтомун түзүүчү трафик генераторлорунан жана текшерүүчүлөрдөн турат:
- eCPRI пакеттери Avalon-ST булагы/чыгуучу интерфейстерге (IWF функциясы өчүрүлгөн):
— 2 түрүн гана колдойт.
— Ар бир пакет үчүн кошумча үлгү режимин түзүү жана пайдалуу жүктүн көлөмү 72 байт болгон артка артка режимди түзүү.
— Үзгүлтүксүз же үзгүлтүксүз режимде иштөө үчүн CSR аркылуу конфигурацияланат.
— TX/RX пакетинин статистикалык абалы CSR аркылуу жеткиликтүү. - eCPRI пакеттери Avalon-ST булагы/чыгуучу интерфейстерге (IWF функциясы иштетилген):
— Учурдагы чыгарылышта 0 түрүн гана колдойт.
— Ар бир пакет үчүн 240 байт пайдалуу жүктүн өлчөмү жана пакеттер аралык боштукту түзүү менен кошумча үлгү режимин түзүү.
— Үзгүлтүксүз же үзгүлтүксүз режимде иштөө үчүн CSR аркылуу конфигурацияланат.
— TX/RX пакетинин статистикалык абалы CSR аркылуу жеткиликтүү. - Precision Time Protocol (1588 PTP) пакети жана PTP эмес ар кандай пакеттер Тышкы булак/чыгуучу интерфейстерге:
— Алдын ала аныкталган параметрлери менен статикалык Ethernet аталышын түзүү: Ethertype0x88F7, Кабардын түрү- Opcode 0 (Sync) жана PTP версиясы-0.
— Ар бир пакет үчүн 2 цикл жана пайдалуу жүктүн көлөмү 57 байт болгон, алдын ала аныкталган үлгү режими.
— Ар бир секунддун ичинде 128 пакет түзүлөт.
— Үзгүлтүксүз же үзгүлтүксүз режимде иштөө үчүн CSR аркылуу конфигурацияланат.
— TX/RX пакетинин статистикалык абалы CSR аркылуу жеткиликтүү. - Сырткы PTP эмес ар кандай пакеттер:
— Алдын ала аныкталган параметр менен Static Ethernet Header генерациясы, Ethertype- 0x8100 (PTP эмес).
— PRBS үлгү режимин генерациялоо пакеттер аралык 2 цикл жана пайдалуу жүктүн көлөмү ар бир пакет үчүн 128 байт.
— Үзгүлтүксүз же үзгүлтүксүз режимде иштөө үчүн CSR аркылуу конфигурацияланат.
— TX/RX пакетинин статистикалык абалы CSR аркылуу жеткиликтүү.
Күндүн убактысы (TOD) подсистемасы
TX жана RX үчүн эки IEEE 1588 TOD модулдарын жана Intel Quartus Prime программасы тарабынан түзүлгөн бир IEEE 1588 TOD Синхронизатор модулун камтыйт.
Nios® II подсистемасы
Avalon-MM көпүрөсүнөн турат, ал Nios II процессору, сыноо орогуч жана Avalon® -MM дарек декодер блоктору ортосунда Avalon-MM маалыматтар арбитражына мүмкүндүк берет.
Nios II тесттик орогучтун rate_switch регистринин маанисинин негизинде маалымат ылдамдыгын которууну аткарууга жооптуу. Бул блок тесттик таңгычтан буйрук алгандан кийин керектүү реестрди программалайт.
Эскертүү: Бул блок эски дизайнда жокample Intel Arria 10 жана Intel Agilex 7 F-плиткалары үчүн түзүлгөн.
Системалык консол
Сизге биринчи деңгээлдеги мүчүлүштүктөрдү оңдоо жана IP статусун, трафик генераторлору менен текшерүүчүлөрдү көзөмөлдөө үчүн колдонуучуга ыңгайлуу интерфейсти камсыз кылат.
Demo Control
Бул модул синхронизаторду баштапкы абалга келтирүү модулдарынан жана системадагы мүчүлүштүктөрдү оңдоо жана инициализациялоо процесси үчүн тутум ичиндеги булак жана изилдөө (ISSP) модулдарынан турат.
Тиешелүү маалымат
- 25G Ethernet Intel Stratix 10 FPGA IP Колдонуучунун колдонмосу
- E-tile Hard IP Колдонуучунун колдонмосу
- eCPRI Intel FPGA IP Колдонуучу колдонмосу
- 25G Ethernet Intel Stratix 10 FPGA IP Дизайн Example User Guide
- Intel Stratix 10 Дизайн үчүн электрондук плиткалык катуу IPamples User Guide
- Intel Stratix 10 L- жана H-Tile Transceiver PHY Колдонуучунун колдонмосу
- E-Tile Transceiver PHY Колдонуучунун колдонмосу
- Intel Stratix 10 10GBASE-KR PHY IP Колдонуучунун колдонмосу
- Электрондук плитка Катуу IP Intel Agilex Design Example User Guide
2.3. Симуляциялык дизайн Example
eCPRI дизайны мурункуample симуляция сынагын жана симуляциясын жаратат fileСимуляция же Синтез жана симуляция опциясын тандаганыңызда eCPRI Intel FPGA IP өзөгүн түзүүчү с.
9-сүрөт. eCPRI Intel FPGA IP моделдөө блок диаграммасы
Эскертүү: Nios II Subsystem блогу дизайнда жокample Intel Arria 10 жана Intel Agilex 7 F-плиткалары үчүн түзүлгөн.
Бул дизайнда эксample, симуляциялык testbench баштоо жана кулпулоону күтүү, пакеттерди жөнөтүү жана кабыл алуу сыяктуу негизги функцияларды камсыз кылат.
Ийгиликтүү сыноо төмөнкү жүрүм-турумду тастыктаган жыйынтыкты көрсөтөт:
- Кардардын логикасы IP өзөгүн баштапкы абалга келтирет.
- Кардардын логикасы RX маалымат жолунун тегиздөөсүн күтөт.
- Кардардын логикасы пакеттерди Avalon-ST интерфейсинде өткөрөт.
- Кабыл алуу жана пакеттердин мазмунун жана тууралыгын текшерет.
- "Сыноодон ӨТКӨН" билдирүүсүн көрсөтүү.
2.4. Интерфейс сигналдары
Таблица 5. Дизайн Example Interface Signals
Сигнал | Багыт | Description |
clk_ref | Киргизүү | Ethernet MAC үчүн маалымдама сааты. • Intel Stratix 10 E-тилей, Intel Agilex 7 E-тили жана F-тайл үлгүлөрү үчүн, E-tile Ethernet Hard IP өзөгү үчүн 156.25 МГц саат киргизүү же F-тиле Ethernet Hard IP өзөгү. Ethernet Hard IP дарегинде i_clk_ref[0] менен туташыңыз. • Intel Stratix 10 H-тайл үлгүлөрү үчүн, Transceiver ATX PLL жана 322.2625G Ethernet IP үчүн 25 МГц саат киргизүү. Transceiver ATX PLLдеги pll_refclk0[0] жана 0G Ethernet IP ичиндеги clk_ref[25] менен туташуу. • Intel Arria 10 үлгүлөрү үчүн, Transceiver ATX PLL жана 322.265625G/ 1GbE жана 10GBase-KR PHY IP үчүн 10 МГц саат киргизүү. Transceiver ATX PLLдеги pll_refclk0[0] жана 10G/ 0GbE жана 1G BASE-KR PHY IP даректеринде rx_cdr_ref_clk_10g[10] менен туташыңыз. |
tod_sync_sampling_clk | Киргизүү | Intel Arria 10 конструкциялары үчүн, TOD подсистемасы үчүн 250 МГц саат киргизүү. |
clk100 | Киргизүү | Башкаруу сааты. Бул саат PTP үчүн latency_clk түзүү үчүн колдонулат. 100 МГц ылдамдыкта айдаңыз. |
mgmt_reset_n | Киргизүү | Nios II системасы үчүн баштапкы абалга келтирүү сигналы. |
tx_serial | Чыгуу | TX сериялык маалыматтар. 4 каналга чейин колдойт. |
rx_serial | Киргизүү | RX сериялык маалыматтар. 4 каналга чейин колдойт. |
iwf_cpri_ehip_ref_clk | Киргизүү | Электрондук плитка CPRI PHY маалымдама саатын киргизүү. Бул саат Intel Stratix 10 E-tile жана Intelде гана бар Agilex 7 E-плитканын дизайны. 153.6 Гбит/сек CPRI линиясынын ылдамдыгы үчүн 9.8 МГц менен айдаңыз. |
iwf_cpri_pll_refclk0 | Чыгуу | CPRI TX PLL маалымдама сааты. • Intel Stratix 10 H-плиткасынын конструкциялары үчүн: CPRI маалымат ылдамдыгы 307.2 Гбит/сек үчүн 9.8 МГц менен айдаңыз. • Intel Stratix 10 E-tile жана Intel Agilex 7 E-tile дизайндары үчүн: CPRI маалымат ылдамдыгы 156.25 Гбит/сек үчүн 9.8 МГц менен айдаңыз. |
iwf_cpri_xcvr_cdr_refclk | Чыгуу | CPRI кабыл алгычынын CDR маалымдама сааты. Бул саат Intel Stratix 10 H-плиткаларынын конструкцияларында гана бар. 307.2 Gbps CPRI линия ылдамдыгы үчүн 9.8 МГц менен айдаңыз. |
iwf_cpri_xcvr_txdataout | Чыгуу | CPRI сериялык маалыматтарды берүү. 4 каналга чейин колдойт. |
iwf_cpri_xcvr_rxdatain | Чыгуу | CPRI кабыл алгычтын сериялык маалыматтары. 4 каналга чейин колдойт. |
cpri_gmii_clk | Киргизүү | CPRI GMII 125 MHz киргизүү сааты. |
Тиешелүү маалымат
PHY интерфейсинин сигналдары
25G Ethernet Intel FPGA IP PHY интерфейсинин сигналдарын тизмелейт.
2.5. Дизайн Example Register Map
Төмөндө eCPRI IP негизги дизайны үчүн реестр картасы келтирилгенampле:
Таблица 6. eCPRI Intel FPGA IP Дизайн Example Register Mappping
Дарек | Каттоо |
0x20100000 – 0x201FFFFF(2) | IOPLL кайра конфигурациялоо реестри. |
0x20200000 – 0x203FFFFFF | Ethernet MAC Avalon-MM Каттоо |
0x20400000 – 0x205FFFFFF | Ethernet MAC Native PHY Avalon-MM Каттоо |
0x20600000 – 0x207FFFFF(2) | Native PHY RS-FEC Avalon-MM реестри. |
0x40000000 – 0x5FFFFFFF | eCPRI IP Avalon-MM Каттоо |
0x80000000 – 0x9FFFFFFF | Ethernet Design Test Generator/Verifier Avalon-MM Каттоо |
Таблица 7. Nios II Реестр картасы
Төмөнкү таблицадагы регистрлер мурунку дизайнда гана жеткиликтүүample Intel Stratix 10 же Intel Agilex 7 E-плиткалары үчүн түзүлгөн.
Дарек | Каттоо |
0x00100000 – 0x001FFFFFF | IOPLL кайра конфигурациялоо реестри |
0x00200000 – 0x003FFFFFF | Ethernet MAC Avalon-MM Каттоо |
0x00400000 – 0x005FFFFFF | Ethernet MAC Native PHY Avalon-MM Каттоо |
0x00600000 – 0x007FFFFFF | Native PHY RS-FEC Avalon-MM реестри |
Эскертүү: Сиз Ethernet MAC жана Ethernet MAC Native PHY AVMM регистрлерине байт офсетинин ордуна сөз офсетинин жардамы менен кире аласыз.
Ethernet MAC, Ethernet MAC Native PHY жана eCPRI IP негизги реестр карталары боюнча кеңири маалымат алуу үчүн, тиешелүү колдонуучу колдонмолорун караңыз.
(2) Дизайнда гана жеткиликтүү, мисалыample Intel Stratix 10 жана Intel Agilex 7 E-плиткалары үчүн түзүлгөн.
8-таблица. eCPRI Intel FPGA IP аппараттык дизайны Example Register Map
Word Offset | Каттоо түрү | Демейки маани | Кирүү түрү |
0x0 | Дайындарды жөнөтүүнү баштоо: • 1-бит: PTP, PTP эмес түрү • Бит 0: eCPRI түрү |
0x0 | RW |
0x1 | Үзгүлтүксүз пакетти иштетүү | 0x0 | RW |
0x2 | Ката тазалоо | 0x0 | RW |
0x3 (3) | Бааны которуу: • Бит [7]- плитканы көрсөтөт: — 1'b0: H-тили — 1'b1: Электрондук плитка • Бит [6:4]- Ethernet маалымат ылдамдыгын алмаштырууну көрсөтөт: — 3'b000: 25G дан 10G чейин — 3'b001: 10G дан 25G чейин • Бит [0]- Которуштуруу ылдамдыгын иштетүү. Бул битти 0 коюу жана ылдамдыкты которуу үчүн 0 бит так болгонго чейин сурамжылоо талап кылынат. Эскертүү: Бул реестр Intel Agilex 7 F-тилей жана Intel Arria 10 үлгүлөрү үчүн жеткиликтүү эмес. |
• Электрондук плитка: 0x80 • H-тайл: 0x0 |
RW |
0x4 (3) | Бааны которуштуруу аткарылды: • Бит [1] ылдамдыктын которулганын көрсөтөт. |
0x0 | RO |
0x5 (4) | Системанын конфигурациясынын абалы: • Бит [31]: система даяр • Бит [30]: IWF_EN • Бит [29]: STARTUP_SEQ_EN • Бит [28:4]: Сакталган • Бит [3]: EXT_PACKET_EN • Бит [2:0]: Сакталган |
0x0 | RO |
0x6 (4) | CPRI сүйлөшүүлөрү аяктады: • Бит [3:0]: Бит ылдамдыгы аяктады • Бит [19:16]: Протокол аяктады |
0x0 | RW |
0x7 (4) | CPRI сүйлөшүүлөрү аяктады: • Бит [3:0]: Тез C&M аяктады • Бит [19:16]: Fast VSS аяктады |
0x0 | RW |
0x8 – 0x1F | Резервге коюлган. | ||
0x20 | eCPRI катасы үзгүлтүккө учурашы: • Бит [0] үзүлүүнү көрсөтөт. |
0x0 | RO |
0x21 | Тышкы пакеттер катасы | 0x0 | RO |
0x22 | Тышкы PTP пакеттери TX пакеттин башталышы (SOP) саны | 0x0 | RO |
0x23 | Тышкы PTP пакеттери TX Пакеттин аягы (EOP) саны | 0x0 | RO |
0x24 | Тышкы ар кандай пакеттер TX SOP саны | 0x0 | RO |
0x25 | Тышкы ар кандай пакеттер TX EOP саны | 0x0 | RO |
0x26 | Тышкы RX пакеттеринин SOP саны | 0x0 | RO |
0x27 | Тышкы RX пакеттеринин EOP саны | 0x0 | RO |
0x28 | Тышкы пакеттердин ката саны | 0x0 | RO |
0x29 – 0x2C | Резервге коюлган. | ||
0x2D | Тышкы PTP убакытыamp Манжа изи катасынын саны | 0x0 | RO |
0x2E | Тышкы PTP убакытыamp Манжа изинин катасы | 0x0 | RO |
0x2F | Тышкы Rx катасынын абалы | 0x0 | RO |
0x30 – 0x47 | Резервге коюлган. | ||
0x48 | eCPRI пакеттер катасы | RO | |
0x49 | eCPRI TX SOP саны | RO | |
0x4A | eCPRI TX EOP саны | RO | |
0x4B | eCPRI RX SOP саны | RO | |
0x4C | eCPRI RX EOP саны | RO | |
0x4D | eCPRI пакеттер катасынын саны | RO |
Тиешелүү маалымат
- Башкаруу, Статус жана Статистика Реестринин Сүрөттөмөлөрү
25G Ethernet Stratix 10 FPGA IP үчүн маалыматты каттаңыз - Кайра конфигурациялоо жана статус реестри
Сүрөттөмөлөр Ethernet үчүн E-tile Hard IP үчүн маалыматты каттаңыз - Регистрлер
eCPRI Intel FPGA IP үчүн маалыматты каттаңыз
eCPRI Intel FPGA IP Дизайн Example User Guide Archives
Бул колдонуучу колдонмонун акыркы жана мурунку версиялары үчүн, eCPRI Intel FPGA IP Design Ex караңызample User Guide HTML версиясы. Версияны тандап, Жүктөө баскычын басыңыз. Эгерде IP же программалык камсыздоонун версиясы тизмеде жок болсо, мурунку IP же программалык камсыздоо версиясы үчүн колдонуучу колдонмосу колдонулат.
eCPRI Intel FPGA IP дизайны үчүн Документти кайра карап чыгуу тарыхы Example User Guide
Документтин версиясы | Intel Quartus Prime Version |
IP Version | Өзгөрүүлөр |
2023.05.19 | 23.1 | 2.0.3 | • Дизайнды симуляциялоо жаңыртылдыample Testbench бөлүмү Quick Start Guide бөлүмүндө. • "Intel Agilex 7" продуктунун фамилиясын жаңыртты. |
2022.11.15 | 22.3 | 2.0.1 | Бөлүмдөгү VCS симулятору үчүн жаңыртылган нускамалар: Дизайнды симуляциялоо Example Testbench. |
2022.07.01 | 22.1 | 1.4.1 | • Аппараттык дизайн кошулдуampIntel Agilex 7 F-плиткасынын түзүлүшүнүн вариацияларын колдоо. • Төмөнкү өнүктүрүү комплекттерине колдоо кошулду: — Intel Agilex 7 I-Series FPGA өнүктүрүү комплекти — Intel Agilex 7 I-Series Transceiver-SoC өнүктүрүү комплекти • QuestaSim симуляторуна колдоо кошулду. • ModelSim* SE симуляторунун колдоосу алынып салынды. |
2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-плиткалары үчүн кошумча колдоо. • Көп каналдуу долбоорлорго колдоо кошулду. • Жаңыртылган таблица: eCPRI Intel FPGA IP аппараттык жабдыктарынын дизайны Example Register Map. • NCSim симуляторунун колдоосу алынып салынды. |
2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-плиткалары үчүн колдоо кошулду. |
2021.01.08 | 20.3 | 1.2.0 | • Документтин аталышы eCPRI Intel Stratix 10 FPGA IP дизайнынан өзгөртүлдүample User Guide to eCPRI Intel FPGA IP Дизайн Example User Guide. • Intel Arria 10 дизайнына колдоо кошулду. • eCPRI IP дизайны мурункуample азыр өз ара иштөө функциясын (IWF) колдоо функциясы менен жеткиликтүү. • Бул eCPRI дизайнын тактоо үчүн эскертүү кошулдуampIWF өзгөчөлүгү менен le 9.8 Gbps CPRI үчүн гана жеткиликтүү линия бит ылдамдыгы. • Дизайнды жаратууда Дизайнды түзүү бөлүмүндөгү шарттар кошулду, эксampле менен Interworking Function (IWF) Колдоо параметри иштетилди. • Кошулган сampДизайнды имитациялоо бөлүмүндө иштетилген IWF функциясы менен моделдөө тестинин жыйынтыгы Example Testbench. • Ethernet IP үчүн динамикалык кайра конфигурациялоону иштетүүчү жаңы бөлүм кошулду. • Жаңыртылган аппараттык тестampбөлүмүндө чыгаруу eCPRI Intel FPGA IP дизайнын текшерүү Example. |
2020.06.15 | 20.1 | 1.1.0 | • 10G маалымат ылдамдыгы үчүн колдоо кошулду. • агым.c file азыр дизайн экс менен жеткиликтүүamploopback режимин тандоо үчүн муун. • s өзгөртүлгөнampДизайнды имитациялоо бөлүмүндөгү симуляция тестин жүргүзүү үчүн чыгаруу Example Testbench. • Түзүү жана конфигурациялоо бөлүмүндө 10G маалымат ылдамдыгынын дизайнын иштетүү үчүн кошумча жыштык мааниси Дизайн Example in Аппараттык. • eCPRI Intel FPGA IP дизайнын тестирлөө бөлүмүндө төмөнкүдөй өзгөртүүлөр киргизилди Exampле: — 10G жана 25G ортосунда маалымат ылдамдыгын которуу үчүн командалар кошулду — Кошулган сampмаалымат ылдамдыгын алмаштыруу үчүн le чыгаруу — E-тайл түзмөгүнүн вариацияларында кайра циклди тандоо үчүн TEST_MODE өзгөрмө маалыматы кошулду. • Өзгөртүлгөн eCPRI Intel FPGA IP Аппараттык Дизайн Examples High Level Блок Диаграммасы жаңы кошуу үчүн блоктор. • Жаңыланган таблица: Дизайн Example Interface Сигналдары жаңы сигналды камтыйт. • Жаңыланган Дизайн ExampКаттоо картасы бөлүмү. • Жаңы тиркеме бөлүмү кошулду: Аткарылуучу форматты түзүү жана жүктөө жана шилтемелөө (.elf) программалоо File . |
2020.04.13 | 19.4 | 1.1.0 | Алгачкы чыгаруу. |
A. Аткарылуучу жана байланыштыруучу форматты (.elf) программалоону түзүү жана жүктөө File
Бул бөлүмдө .elfти кантип түзүү жана жүктөө керектиги сүрөттөлөт file тактага:
- Каталогду өзгөртүңүзample_dir>/синтез/quatus.
- Intel Quartus Prime Pro Edition программасында Долбоорду ачуу жана ачыңызample_dir>/синтез/quartus/epri_ed.qpf. Эми Tools ➤ Nios II Software Build Tools for Eclipse тандаңыз.
Сүрөт 10. Eclipse үчүн Nios II Software Build Tools - Workspace Launcher терезеси пайда болот. Иш мейкиндигинде жолду катары белгилеңизample_dir>/synthesis/quatus Eclipse долбооруңузду сактоо үчүн. Жаңы Nios II - Eclipse терезеси пайда болот.
Сүрөт 11. Жумуш мейкиндигин ишке киргизгич терезе - Nios II – Eclipse терезесинде, Project Explorer өтмөгүндө чычкандын оң баскычын чыкылдатып, New ➤ Nios II Board Support Package тандаңыз. Жаңы терезе пайда болот.
12-сүрөт. Project Explorer өтмөк - Nios II Board колдоо пакетинин терезесинде:
• Долбоордун аталышы параметринде сиз каалаган долбоордун атын көрсөтүңүз.
• SOPC маалыматында File аты параметр, жайгашкан жерин карап чыгууample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Click бүтүрүү.
Сүрөт 13. Nios II Board колдоо пакетинин терезеси - Жаңы түзүлгөн долбоор Nios II Eclipse терезесинде Project Explorer өтмөгүндө пайда болот. Project Explorer өтмөгүнүн астын оң баскыч менен чыкылдатып, Nios II ➤ Nios II Command Shell тандаңыз.
Сүрөт 14. Project Explorer- Nios II Command Shell - Nios II Command Shell'де төмөнкү үч буйрукту териңиз: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- The .elf file төмөнкү жерде түзүлөт:ample_dir>/ synthesis/ip_components/software/ /app.
- .elfти доскага жүктөп алуу үчүн Nios II Command Shell'ге төмөнкү буйрукту териңиз:
• Intel Stratix 10 үчүн: nios2-жүктөө -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Intel Agilex 7 үчүн: nios2-жүктөө -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf
Online котормосу
Пикир жөнөтүү
УГ-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Дизайн Example User Guide
Документтер / Ресурстар
![]() |
Intel eCPRI Intel FPGA IP Дизайн [pdf] Колдонуучунун колдонмосу eCPRI Intel FPGA IP Дизайн, eCPRI, Intel FPGA IP Дизайн, FPGA IP Дизайн, IP Дизайн, Дизайн |