Intel ਲੋਗੋeCPRI Intel® FPGA IP ਡਿਜ਼ਾਈਨ
Exampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ
Intel® ਲਈ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ
Quartus®
ਪ੍ਰਾਈਮ ਡਿਜ਼ਾਈਨ ਸੂਟ: 23.1
IP ਸੰਸਕਰਣ: 2.0.3

ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ

ਵਧਿਆ ਹੋਇਆ ਕਾਮਨ ਪਬਲਿਕ ਰੇਡੀਓ ਇੰਟਰਫੇਸ (eCPRI) Intel® FPGA IP ਕੋਰ eCPRI ਨਿਰਧਾਰਨ ਸੰਸਕਰਣ 2.0 ਨੂੰ ਲਾਗੂ ਕਰਦਾ ਹੈ। eCPRI Intel FPGA IP ਇੱਕ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਅਤੇ ਇੱਕ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਜੋ ਕੰਪਾਇਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample, ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਆਟੋਮੈਟਿਕਲੀ ਬਣਾਉਂਦਾ ਹੈ fileਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨ, ਕੰਪਾਇਲ ਕਰਨ ਅਤੇ ਟੈਸਟ ਕਰਨ ਲਈ ਜ਼ਰੂਰੀ ਹੈampਹਾਰਡਵੇਅਰ ਵਿੱਚ le.
ਕੰਪਾਇਲ ਕੀਤਾ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਚੱਲਦਾ ਹੈ:

  • Intel Agilex™ 7 I-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਕਿੱਟ
  • Intel Agilex 7 I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ
  • Intel Agilex 7 F-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ
  • ਐਚ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ Intel Stratix® 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟamples
  • ਈ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਇੰਟੈੱਲ ਸਟ੍ਰੈਟਿਕਸ 10 TX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟamples
  • Intel Arria® 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ

Intel ਇੱਕ ਸੰਕਲਨ-ਸਿਰਫ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਪ੍ਰੋਜੈਕਟ ਜਿਸਦੀ ਵਰਤੋਂ ਤੁਸੀਂ IP ਕੋਰ ਖੇਤਰ ਅਤੇ ਸਮੇਂ ਦਾ ਤੇਜ਼ੀ ਨਾਲ ਅਨੁਮਾਨ ਲਗਾਉਣ ਲਈ ਕਰ ਸਕਦੇ ਹੋ.
ਟੈਸਟਬੈਂਚ ਅਤੇ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample eCPRI IP ਦੇ Intel Stratix 25 H-tile ਜਾਂ E-tile ਅਤੇ Intel Agilex 10 E-tile ਜਾਂ F-tile ਡਿਵਾਈਸ ਭਿੰਨਤਾਵਾਂ ਲਈ 10G ਅਤੇ 7G ਡਾਟਾ ਦਰਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।

ਨੋਟ: eCPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿਦ ਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਮੌਜੂਦਾ ਰੀਲੀਜ਼ ਵਿੱਚ ਸਿਰਫ 9.8 Gbps CPRI ਲਾਈਨ ਬਿੱਟ ਰੇਟ ਲਈ ਉਪਲਬਧ ਹੈ।
ਨੋਟ: eCPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample Intel Arria 10 ਡਿਜ਼ਾਈਨਾਂ ਵਿੱਚ 10G ਡਾਟਾ ਦਰ ਲਈ ਗਤੀਸ਼ੀਲ ਪੁਨਰ-ਸੰਰਚਨਾ ਦਾ ਸਮਰਥਨ ਨਹੀਂ ਕਰਦਾ ਹੈ।

eCPRI Intel FPGA IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ:

  • ਅੰਦਰੂਨੀ TX ਤੋਂ RX ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਮੋਡ
  • ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰ ਅਤੇ ਚੈਕਰ
  • ਬੁਨਿਆਦੀ ਪੈਕੇਟ ਜਾਂਚ ਸਮਰੱਥਾਵਾਂ
  • ਡਿਜ਼ਾਇਨ ਨੂੰ ਚਲਾਉਣ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਸਮਰੱਥਾ ਅਤੇ ਰੀ-ਟੈਸਟਿੰਗ ਦੇ ਉਦੇਸ਼ ਲਈ ਡਿਜ਼ਾਈਨ ਨੂੰ ਰੀਸੈਟ ਕਰਨਾ

ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

ISO 9001:2015 ਰਜਿਸਟਰਡ

ਚਿੱਤਰ 1. ਡਿਜ਼ਾਈਨ ਲਈ ਵਿਕਾਸ ਦੇ ਪੜਾਅ ਸਾਬਕਾampleeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 1

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • eCPRI Intel FPGA IP ਯੂਜ਼ਰ ਗਾਈਡ
  • eCPRI Intel FPGA IP ਰੀਲੀਜ਼ ਨੋਟਸ

1.1 ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਲੋੜਾਂ
ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈample design, ਹੇਠ ਦਿੱਤੇ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰੋ:

  • Intel Quartus® Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਵਰਜਨ 23.1
  • ਸਿਸਟਮ ਕੰਸੋਲ
  • ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ:
    - ਸੀਮੇਂਸ* ਈਡੀਏ ਕੁਏਸਟਾਸਿਮ*
    - ਸਿਨੋਪਸਿਸ * VCS*
    - Synopsys VCS MX
    — Aldec* Riviera-PRO*
    - ਕੈਡੈਂਸ * ਐਕਸਸੀਲੀਅਮ *
  • ਵਿਕਾਸ ਕਿੱਟ:
    — Intel Agilex 7 I-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਕਿੱਟ
    — Intel Agilex 7 I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ
    — Intel Agilex 7 F-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ
    — ਐਚ-ਟਾਈਲ ਡਿਵਾਈਸ ਪਰਿਵਰਤਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਇੰਟੇਲ ਸਟ੍ਰੈਟਿਕਸ 10 ਜੀਐਕਸ ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟample
    — ਈ-ਟਾਈਲ ਡਿਵਾਈਸ ਪਰਿਵਰਤਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਇੰਟੈੱਲ ਸਟ੍ਰੈਟਿਕਸ 10 TX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟample
    — Intel Arria 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • Intel Agilex 7 I-ਸੀਰੀਜ਼ FPGA ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Agilex 7 I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ ਉਪਭੋਗਤਾ ਗਾਈਡ
  • Intel Agilex 7 F-Series Transceiver-SoC ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Stratix 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Stratix 10 TX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Arria 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ

1.2 ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ
ਪੂਰਵ ਸ਼ਰਤ: ਇੱਕ ਵਾਰ ਜਦੋਂ ਤੁਸੀਂ eCPRI ਪ੍ਰਾਪਤ ਕਰ ਲੈਂਦੇ ਹੋ web-ਕੋਰ ਆਈਪੀ, ਸੇਵ ਕਰੋ web-ਸਥਾਨਕ ਖੇਤਰ ਲਈ ਕੋਰ ਇੰਸਟਾਲਰ। ਵਿੰਡੋਜ਼/ਲੀਨਕਸ ਨਾਲ ਇੰਸਟਾਲਰ ਚਲਾਓ। ਜਦੋਂ ਪੁੱਛਿਆ ਜਾਵੇ, ਤਾਂ ਇੰਸਟਾਲ ਕਰੋ webIntel Quartus Prime ਫੋਲਡਰ ਦੇ ਸਮਾਨ ਸਥਾਨ 'ਤੇ ਕੋਰ.
eCPRI Intel FPGA IP ਹੁਣ IP ਕੈਟਾਲਾਗ ਵਿੱਚ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ।
ਜੇਕਰ ਤੁਹਾਡੇ ਕੋਲ ਪਹਿਲਾਂ ਹੀ ਕੋਈ Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਨਹੀਂ ਹੈ ਜਿਸ ਵਿੱਚ ਤੁਹਾਡੇ eCPRI Intel FPGA IP ਕੋਰ ਨੂੰ ਏਕੀਕ੍ਰਿਤ ਕਰਨਾ ਹੈ, ਤਾਂ ਤੁਹਾਨੂੰ ਇੱਕ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ।

  1. Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਨਵਾਂ Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਵਿਜ਼ਾਰਡ, ਜਾਂ ਕਲਿੱਕ ਕਰੋ File ➤ ਇੱਕ ਮੌਜੂਦਾ Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ ਓਪਨ ਪ੍ਰੋਜੈਕਟ। ਵਿਜ਼ਾਰਡ ਤੁਹਾਨੂੰ ਇੱਕ ਡਿਵਾਈਸ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪੁੱਛਦਾ ਹੈ।
  2. ਡਿਵਾਈਸ ਪਰਿਵਾਰ ਅਤੇ ਇੱਕ ਡਿਵਾਈਸ ਨਿਰਧਾਰਤ ਕਰੋ ਜੋ ਸਪੀਡ ਗ੍ਰੇਡ ਲੋੜਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ।
  3. ਸਮਾਪਤ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  4. IP ਕੈਟਾਲਾਗ ਵਿੱਚ, eCPRI Intel FPGA IP ਨੂੰ ਲੱਭੋ ਅਤੇ ਡਬਲ-ਕਲਿਕ ਕਰੋ। ਨਵਾਂ IP ਵੇਰੀਐਂਟ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।

eCPRI IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ testbench:

  1. IP ਕੈਟਾਲਾਗ ਵਿੱਚ, eCPRI Intel FPGA IP ਨੂੰ ਲੱਭੋ ਅਤੇ ਡਬਲ-ਕਲਿਕ ਕਰੋ। ਨਵਾਂ IP ਵੇਰੀਐਂਟ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  2. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦਿਸਦਾ ਹੈ।
    ਚਿੱਤਰ 2. ExampeCPRI Intel FPGA IP ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 2
  3. ਇੱਕ ਉੱਚ-ਪੱਧਰ ਦਾ ਨਾਮ ਦਿਓ ਤੁਹਾਡੀ ਕਸਟਮ IP ਪਰਿਵਰਤਨ ਲਈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ IP ਪਰਿਵਰਤਨ ਸੈਟਿੰਗਾਂ ਨੂੰ ਏ ਵਿੱਚ ਸੁਰੱਖਿਅਤ ਕਰਦਾ ਹੈ file ਨਾਮ ਦਿੱਤਾ ਗਿਆ .ਆਈ.ਪੀ.
  4. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦਿਸਦਾ ਹੈ।
  5. ਜਨਰਲ ਟੈਬ 'ਤੇ, ਆਪਣੇ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰੋ।
    ਨੋਟ: • ਤੁਹਾਨੂੰ eCPRI IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ ਸਟ੍ਰੀਮਿੰਗ ਪੈਰਾਮੀਟਰ ਨੂੰ ਚਾਲੂ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਐਕਸ.ampਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਸਪੋਰਟ ਪੈਰਾਮੀਟਰ ਸਮਰਥਿਤ,
    • ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਵੇਲੇ ਤੁਹਾਨੂੰ CPRI ਲਾਈਨ ਬਿੱਟ ਰੇਟ (Gbit/s) ਨੂੰ ਦੂਜਿਆਂ ਲਈ ਸੈੱਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।ampਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਸਪੋਰਟ ਪੈਰਾਮੀਟਰ ਸਮਰਥਿਤ ਹੈ।
  6. ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਟੈਸਟਬੈਂਚ ਤਿਆਰ ਕਰਨ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋ, ਹਾਰਡਵੇਅਰ ਸਾਬਕਾ ਬਣਾਉਣ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋample ਡਿਜ਼ਾਈਨ, ਅਤੇ ਟੈਸਟਬੈਂਚ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਦੋਵਾਂ ਨੂੰ ਤਿਆਰ ਕਰਨ ਲਈ ਸੰਸਲੇਸ਼ਣ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋample.
  7. ਉੱਚ ਪੱਧਰੀ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਭਾਸ਼ਾ ਲਈ file, Verilog ਜਾਂ VHDL ਚੁਣੋ।
    ਨੋਟ: ਇਹ ਵਿਕਲਪ ਸਿਰਫ਼ ਉਦੋਂ ਉਪਲਬਧ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਆਪਣੇ ਸਾਬਕਾ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਚੁਣਦੇ ਹੋample ਡਿਜ਼ਾਈਨ.
  8. ਸਿਖਰਲੇ ਪੱਧਰ ਦੇ ਸੰਸਲੇਸ਼ਣ ਲਈ ਭਾਸ਼ਾ ਲਈ file, Verilog ਜਾਂ VHDL ਚੁਣੋ।
    ਨੋਟ: ਇਹ ਵਿਕਲਪ ਉਦੋਂ ਹੀ ਉਪਲਬਧ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਆਪਣੇ ਸਾਬਕਾ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਚੁਣਦੇ ਹੋample ਡਿਜ਼ਾਈਨ.
  9. ਚੈਨਲਾਂ ਦੀ ਸੰਖਿਆ ਲਈ, ਤੁਸੀਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਲਈ ਬਣਾਏ ਗਏ ਚੈਨਲਾਂ ਦੀ ਸੰਖਿਆ (1 ਤੋਂ 4) ਦਰਜ ਕਰ ਸਕਦੇ ਹੋ। ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ 1 ਹੈ।
  10. ਜਨਰੇਟ ਐਕਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋample ਡਿਜ਼ਾਈਨ. ਸਾਬਕਾ ਚੁਣੋample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  11. ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੋਧਣਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਜਾਂ ਡਿਸਪਲੇ ਕੀਤੇ ਡਿਫੌਲਟ ਤੋਂ ਨਾਮ (ecpri_0_testbench), ਨਵੇਂ ਮਾਰਗ 'ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ ਅਤੇ ਨਵਾਂ ਡਿਜ਼ਾਈਨ ਟਾਈਪ ਕਰੋ।ample ਡਾਇਰੈਕਟਰੀ ਦਾ ਨਾਮ.
  12. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ.

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
eCPRI Intel FPGA IP ਯੂਜ਼ਰ ਗਾਈਡ
1.3 ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ
eCPRI IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file ਡਾਇਰੈਕਟਰੀਆਂ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਤਿਆਰ ਕੀਤੀਆਂ ਹਨ fileਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ sample.

ਚਿੱਤਰ 3. ਤਿਆਰ ਕੀਤੇ ਸਾਬਕਾ ਦੀ ਡਾਇਰੈਕਟਰੀ ਬਣਤਰampਲੇ ਡਿਜ਼ਾਇਨeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 3

ਨੋਟ:

  1. ਸਿਰਫ਼ Intel Arria 10 IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਮੌਜੂਦ ਹੈampਲੇ ਪਰਿਵਰਤਨ
  2. ਸਿਰਫ਼ Intel Stratix 10 (H-tile ਜਾਂ E-tile) IP ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਮੌਜੂਦ ਹੈampਲੇ ਪਰਿਵਰਤਨ
  3. ਸਿਰਫ਼ Intel Agilex E-tile IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਮੌਜੂਦ ਹੈampਲੇ ਪਰਿਵਰਤਨ

ਸਾਰਣੀ 1. eCPRI Intel FPGA IP ਕੋਰ ਟੈਸਟਬੈਂਚ File ਵਰਣਨ

File ਨਾਮ  ਵਰਣਨ
ਕੁੰਜੀ ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file. ਟੈਸਟਬੈਂਚ ਡੀਯੂਟੀ ਰੈਪਰ ਨੂੰ ਤਤਕਾਲ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਪੈਕੇਟ ਬਣਾਉਣ ਅਤੇ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਕਾਰਜ ਚਲਾਉਂਦਾ ਹੈ।
<design_example_dir>/simulation/testbench/ecpri_ed.sv ਡੀਯੂਟੀ ਰੈਪਰ ਜੋ ਡੀਯੂਟੀ ਅਤੇ ਹੋਰ ਟੈਸਟਬੈਂਚ ਭਾਗਾਂ ਨੂੰ ਤਤਕਾਲ ਕਰਦਾ ਹੈ।
<design_example_dir>/simulation/ed_fw/flow.c ਸੀ-ਕੋਡ ਸਰੋਤ file.
ਟੈਸਟਬੈਂਚ ਸਕ੍ਰਿਪਟਾਂ
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ ਸੀਮੇਂਸ EDA QuestaSim ਸਕ੍ਰਿਪਟ।
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS ਸਕ੍ਰਿਪਟ।
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX ਸਕ੍ਰਿਪਟ (ਸੰਯੁਕਤ ਵੇਰੀਲੋਗ HDL ਅਤੇ
VHDL ਨਾਲ SystemVerilog) ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ।
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Aldec* Riviera-PRO ਸਕ੍ਰਿਪਟ।
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Cadence* Xcelium ਸਕ੍ਰਿਪਟ।

ਸਾਰਣੀ 2. eCPRI Intel FPGA IP ਕੋਰ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample File ਵਰਣਨ

File ਨਾਮ ਵਰਣਨ
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗ file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ fileਐੱਸ. ਤੁਸੀਂ ਇਹਨਾਂ ਨੂੰ ਕਾਪੀ ਅਤੇ ਸੋਧ ਸਕਦੇ ਹੋ fileਤੁਹਾਡੇ ਆਪਣੇ Intel Stratix 10 ਡਿਜ਼ਾਈਨ ਲਈ s.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv ਉੱਚ-ਪੱਧਰੀ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv ਡੀਯੂਟੀ ਰੈਪਰ ਜੋ ਡੀਯੂਟੀ ਅਤੇ ਹੋਰ ਟੈਸਟਬੈਂਚ ਭਾਗਾਂ ਨੂੰ ਤਤਕਾਲ ਕਰਦਾ ਹੈ।
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਐਕਸੈਸ ਕਰਨ ਲਈ (Intel Stratix 10 H-tile ਅਤੇ E-tile ਡਿਜ਼ਾਈਨਾਂ ਵਿੱਚ ਉਪਲਬਧ)।
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ (Intel Arria 10 ਡਿਜ਼ਾਈਨਾਂ ਵਿੱਚ ਉਪਲਬਧ) ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਲਈ।
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਐਕਸੈਸ ਕਰਨ ਲਈ (Intel Agilex 7 ਡਿਜ਼ਾਈਨਾਂ ਵਿੱਚ ਉਪਲਬਧ)।

1.4 ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚ
ਚਿੱਤਰ 4. ਵਿਧੀeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 4

ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ 'ਤੇ, ਟੈਸਟਬੈਂਚ ਸਿਮੂਲੇਸ਼ਨ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਬਦਲੋample_dir>/simulation/setup_scripts.
  2. Intel Agilex F-ਟਾਈਲ ਡਿਵਾਈਸ ਭਿੰਨਤਾਵਾਂ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
    a 'ਤੇ ਨੈਵੀਗੇਟ ਕਰੋample_dir>/simulation/quartus ਡਾਇਰੈਕਟਰੀ ਅਤੇ ਹੇਠਾਂ ਇਹਨਾਂ ਦੋ ਕਮਾਂਡਾਂ ਨੂੰ ਚਲਾਓ: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    ਵਿਕਲਪਿਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਵਿੱਚ ecpri_ed.qpf ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹ ਸਕਦੇ ਹੋ ਅਤੇ ਸੰਕਲਨ ਨੂੰ ਉਦੋਂ ਤੱਕ ਕਰ ਸਕਦੇ ਹੋ ਜਦੋਂ ਤੱਕ ਸਪੋਰਟ ਲਾਜਿਕ ਜਨਰੇਸ਼ਨtage.
    ਬੀ. 'ਤੇ ਨੈਵੀਗੇਟ ਕਰੋample_dir>/simulation/setup_scripts ਡਾਇਰੈਕਟਰੀ।
    c. ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਚਲਾਓ: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. ਆਪਣੀ ਪਸੰਦ ਦੇ ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾਓ। ਸਕ੍ਰਿਪਟ ਸਿਮੂਲੇਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ ਅਤੇ ਚਲਾਉਂਦੀ ਹੈ। ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਟੇਬਲ ਸਟੈਪਸ ਵੇਖੋ।
    ਨੋਟ: ਸਿਮੂਲੇਸ਼ਨ ਲਈ VHDL ਭਾਸ਼ਾ ਸਹਾਇਤਾ ਸਿਰਫ QuestaSim ਅਤੇ VCS MX ਸਿਮੂਲੇਟਰਾਂ ਨਾਲ ਉਪਲਬਧ ਹੈ। ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਵੇਰੀਲੌਗ ਭਾਸ਼ਾ ਸਹਾਇਤਾ ਸਾਰਣੀ ਵਿੱਚ ਸੂਚੀਬੱਧ ਸਾਰੇ ਸਿਮੂਲੇਟਰਾਂ ਲਈ ਉਪਲਬਧ ਹੈ: ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ।
  4. ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰੋ। ਸਫਲ ਟੈਸਟਬੈਂਚ ਪੈਕੇਟ ਭੇਜਦਾ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ, ਅਤੇ "PASSED" ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।

ਸਾਰਣੀ 3. ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ

ਸਿਮੂਲੇਟਰ ਹਦਾਇਤਾਂ
ਕੁਐਸਟਾਸਿਮ ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ vsim -do run_vsim.do ਜੇਕਰ ਤੁਸੀਂ QuestaSim GUI ਨੂੰ ਲਿਆਏ ਬਿਨਾਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਈਪ ਕਰੋ vsim -c -do run_vsim.do।
ਵੀ.ਸੀ.ਐਸ • ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, sh run_vcs.sh ਟਾਈਪ ਕਰੋ
• 'ਤੇ ਨੈਵੀਗੇਟ ਕਰੋample_dir>/simulation/setup_scripts/ synopsys/vcs ਅਤੇ ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਚਲਾਓ: sh run_vcs.sh
VCS MX ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_vcsmx.sh
ਰਿਵੇਰਾ-ਪ੍ਰੋ ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ vsim -c -do run_rivierapro.tcl
ਨੋਟ: ਸਿਰਫ਼ Intel Stratix 10 H-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਭਿੰਨਤਾਵਾਂ ਵਿੱਚ ਸਮਰਥਿਤ ਹੈ।
ਐਕਸੇਲੀਅਮ(1) ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_xcelium.sh
  1. ਇਹ ਸਿਮੂਲੇਟਰ eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਸਮਰਥਿਤ ਨਹੀਂ ਹੈample IWF ਵਿਸ਼ੇਸ਼ਤਾ ਸਮਰਥਿਤ ਨਾਲ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।

Sample ਆਉਟਪੁੱਟ: ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ eCPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈampਚੈਨਲਾਂ ਦੀ ਸੰਖਿਆ = 4 ਦੇ ਨਾਲ IWF ਵਿਸ਼ੇਸ਼ਤਾ ਯੋਗ ਕੀਤੀ ਗਈ:

# RX ਅਲਾਈਨਮੈਂਟ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
# RX ਡੈਸਕਿਊ ਲਾਕ ਕੀਤਾ ਗਿਆ
# RX ਲੇਨ ਅਲਾਈਨਮੈਂਟ ਲੌਕ ਕੀਤਾ ਗਿਆ
# ਲਿੰਕ ਨੁਕਸ ਸਾਫ਼ ਹੋਣ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
# ਲਿੰਕ ਨੁਕਸ ਸਾਫ਼
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 0: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 0: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 0: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 0: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 0: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 0: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 0: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 0: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 0: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 0: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 0: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 0: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 0: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 0: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 0: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 0: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 0: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 0: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 0: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 0: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 0: 2
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 1: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 1: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 1: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 1: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 1: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 1: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 1: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 1: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 1: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 1: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 1: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 1: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 1: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 1: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 1: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 1: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 1: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 1: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 1: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 1: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 1: 2
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 2: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 2: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 2: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 2: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 2: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 2: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 2: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 2: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 2: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 2: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 2: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 2: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 2: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 2: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 2: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 2: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 2: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 2: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 2: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 2: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 2: 2
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 3: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 3: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 3: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 3: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 3: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 3: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 3: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 3: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 3: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 3: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 3: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 3: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 3: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 3: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 3: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 3: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 3: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 3: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 3: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 3: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 3: 2
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਰੀਸੈੱਟ ਸਥਿਤੀ ਤੋਂ ਬਾਹਰ
#_________________________________________________________
#
#
# ਚੈਨਲ 0 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਪੈਕੇਟ ਸੰਚਾਰਿਤ ਕਰਨਾ ਸ਼ੁਰੂ ਕਰੋ
#_________________________________________________________
#
#
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
#_________________________________________________________
# INFO: ਪੈਕੇਟਾਂ ਨੂੰ ਸੰਚਾਰਿਤ ਕਰਨਾ ਬੰਦ ਕਰੋ
#_________________________________________________________
#
#
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਪੈਕੇਟਾਂ ਦੇ ਅੰਕੜਿਆਂ ਦੀ ਜਾਂਚ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
#_________________________________________________________
#
#
# ਚੈਨਲ 0 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 0 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 0 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 0 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 0 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 0 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 1 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 1 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 1 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 1 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 1 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 1 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 2 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 2 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 2 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 2 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 2 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 2 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 3 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 3 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 300
# ਚੈਨਲ 3 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 3 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 300
# ਚੈਨਲ 3 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 3 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਟੈਸਟ ਪਾਸ ਕੀਤਾ ਗਿਆ
#
#_________________________________________________________

Sample ਆਉਟਪੁੱਟ: ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ eCPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈampਚੈਨਲਾਂ ਦੀ ਸੰਖਿਆ = 4 ਦੇ ਨਾਲ ਸਮਰਥਿਤ IWF ਵਿਸ਼ੇਸ਼ਤਾ ਨਾਲ le

# CPRI TX ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# CPRI ਚੈਨਲ 0 L1_CONFIG : 00000001
# CPRI ਚੈਨਲ 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ਚੈਨਲ 1 L1_CONFIG : 00000001
# CPRI ਚੈਨਲ 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ਚੈਨਲ 2 L1_CONFIG : 00000001
# CPRI ਚੈਨਲ 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ਚੈਨਲ 3 L1_CONFIG : 00000001
# CPRI ਚੈਨਲ 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX ਅਲਾਈਨਮੈਂਟ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
# RX ਡੈਸਕਿਊ ਲਾਕ ਕੀਤਾ ਗਿਆ
# RX ਲੇਨ ਅਲਾਈਨਮੈਂਟ ਲੌਕ ਕੀਤਾ ਗਿਆ
# ਲਿੰਕ ਨੁਕਸ ਸਾਫ਼ ਹੋਣ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
# ਲਿੰਕ ਨੁਕਸ ਸਾਫ਼
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 0: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 0: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 0: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 0: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 0: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 0: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 0: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 0: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 0: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 0: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 0: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 0: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 0: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 0: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 0: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 0: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 0: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 0: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 0: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 0: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 0: 2
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 1: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 1: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 1: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 1: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 1: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 1: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 1: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 1: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 1: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 1: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 1: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 1: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 1: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 1: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 1: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 1: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 1: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 1: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 1: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 1: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 1: 2
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 2: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 2: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 2: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 2: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 2: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 2: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 2: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 2: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 2: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 2: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 2: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 2: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 2: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 2: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 2: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 2: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 2: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 2: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 2: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 2: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 2: 2
# MAC ਸਰੋਤ ਪਤਾ 0_0 ਚੈਨਲ 3: 33445566
# MAC ਸਰੋਤ ਪਤਾ 0_1 ਚੈਨਲ 3: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_0 ਚੈਨਲ 3: 33445566
# MAC ਟਿਕਾਣਾ ਪਤਾ 0_1 ਚੈਨਲ 3: 00007788
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_0 ਚੈਨਲ 3: 11223344
# MAC ਟਿਕਾਣਾ ਪਤਾ 1_1 ਚੈਨਲ 3: 00005566
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_0 ਚੈਨਲ 3: 22334455
# MAC ਟਿਕਾਣਾ ਪਤਾ 2_1 ਚੈਨਲ 3: 00006677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_0 ਚੈਨਲ 3: 44556677
# MAC ਟਿਕਾਣਾ ਪਤਾ 3_1 ਚੈਨਲ 3: 00008899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_0 ਚੈਨਲ 3: 66778899
# MAC ਟਿਕਾਣਾ ਪਤਾ 4_1 ਚੈਨਲ 3: 0000aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_0 ਚੈਨਲ 3: 778899aa
# MAC ਟਿਕਾਣਾ ਪਤਾ 5_1 ਚੈਨਲ 3: 0000bbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_0 ਚੈਨਲ 3: 8899aabb
# MAC ਟਿਕਾਣਾ ਪਤਾ 6_1 ਚੈਨਲ 3: 0000ccdd
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_0 ਚੈਨਲ 3: 99aabbcc
# MAC ਟਿਕਾਣਾ ਪਤਾ 7_1 ਚੈਨਲ 3: 0000ddee
# eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 3: 00000041
# ਇੰਟਰੱਪਟ eCPRI ਕਾਮਨ ਕੰਟਰੋਲ ਚੈਨਲ 3: 00000241 ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
# eCPRI ਸੰਸਕਰਣ ਚੈਨਲ 3: 2
# ਸੀਪੀਆਰਆਈ HSYNC ਲਿੰਕ ਅੱਪ ਸਟੇਟ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
# CPRI ਚੈਨਲ 0 HSYNC ਸਥਿਤੀ ਪ੍ਰਾਪਤ ਕੀਤੀ
# CPRI ਚੈਨਲ 1 HSYNC ਸਥਿਤੀ ਪ੍ਰਾਪਤ ਕੀਤੀ
# CPRI ਚੈਨਲ 2 HSYNC ਸਥਿਤੀ ਪ੍ਰਾਪਤ ਕੀਤੀ
# CPRI ਚੈਨਲ 3 HSYNC ਸਥਿਤੀ ਪ੍ਰਾਪਤ ਕੀਤੀ
# 11100250000 nego_bitrate_complete ਲਈ 1 ਲਿਖੋ
#11100650000 ਪੋਲਿੰਗ PROT_VER ਚੈਨਲ 0
#_________________________________________________________
#11100850000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a0000010
#_________________________________________________________
#13105050000 ਪੋਲਿੰਗ PROT_VER ਚੈਨਲ 1
#_________________________________________________________
#13105250000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a0800010
#_________________________________________________________
#13105950000 ਪੋਲਿੰਗ PROT_VER ਚੈਨਲ 2
#_________________________________________________________
#13106150000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a1000010
#_________________________________________________________
#13106850000 ਪੋਲਿੰਗ PROT_VER ਚੈਨਲ 3
#_________________________________________________________
#13107050000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a1800010
#_________________________________________________________
# 13107750000 nego_protol_complete ਲਈ 1 ਲਿਖੋ
#13108150000 ਪੋਲਿੰਗ CM_STATUS.rx_fast_cm_ptr_valid ਚੈਨਲ 0
#_________________________________________________________
#13108350000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a0000020
#_________________________________________________________
#14272050000 ਪੋਲਿੰਗ CM_STATUS.rx_fast_cm_ptr_valid ਚੈਨਲ 1
#_________________________________________________________
#14272250000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a0800020
#_________________________________________________________
#14272950000 ਪੋਲਿੰਗ CM_STATUS.rx_fast_cm_ptr_valid ਚੈਨਲ 2
#_________________________________________________________
#14273150000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a1000020
#_________________________________________________________
#14273850000 ਪੋਲਿੰਗ CM_STATUS.rx_fast_cm_ptr_valid ਚੈਨਲ 3
#_________________________________________________________
#14274050000 ਪੋਲਿੰਗ ਰਜਿਸਟਰ: a1800020
#_________________________________________________________
#14274750000 1 ਨੂੰ nego_cm_complete ਲਿਖੋ
#14275150000 nego_vss_complete ਲਈ 1 ਲਿਖੋ
# ਸੀਪੀਆਰਆਈ ਚੈਨਲ 0 ਦੀ HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਉਡੀਕ
# CPRI ਚੈਨਲ 0 HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕੀਤਾ
# ਸੀਪੀਆਰਆਈ ਚੈਨਲ 1 ਦੀ HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਉਡੀਕ
# CPRI ਚੈਨਲ 1 HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕੀਤਾ
# ਸੀਪੀਆਰਆਈ ਚੈਨਲ 2 ਦੀ HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਉਡੀਕ
# CPRI ਚੈਨਲ 2 HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕੀਤਾ
# ਸੀਪੀਆਰਆਈ ਚੈਨਲ 3 ਦੀ HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਉਡੀਕ
# CPRI ਚੈਨਲ 3 HSYNC ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਕ੍ਰਮ FSM STATE_F ਪ੍ਰਾਪਤ ਕੀਤਾ
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਰੀਸੈੱਟ ਸਥਿਤੀ ਤੋਂ ਬਾਹਰ
#_________________________________________________________
#
#
# ਚੈਨਲ 0 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 eCPRI RX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC TX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC TX EOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ RX SOPs ਗਿਣਤੀ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ RX EOPs ਗਿਣਤੀ: 0
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਪੈਕੇਟ ਸੰਚਾਰਿਤ ਕਰਨਾ ਸ਼ੁਰੂ ਕਰੋ
#_________________________________________________________
#
#
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 0 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 1 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 2 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI TX ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੇ ਪੂਰਾ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI TX ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX PTP ਟਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX PTP ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਦੀ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
ਪੂਰਾ
# ਜਾਣਕਾਰੀ: ਚੈਨਲ 3 eCPRI ਬਾਹਰੀ TX ਫੁਟਕਲ ਟ੍ਰੈਫਿਕ ਟ੍ਰਾਂਸਫਰ ਪੂਰਾ ਹੋਇਆ
#_________________________________________________________
# INFO: ਪੈਕੇਟਾਂ ਨੂੰ ਸੰਚਾਰਿਤ ਕਰਨਾ ਬੰਦ ਕਰੋ
#_________________________________________________________
#
#
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਪੈਕੇਟਾਂ ਦੇ ਅੰਕੜਿਆਂ ਦੀ ਜਾਂਚ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
#_________________________________________________________
#
#
# ਚੈਨਲ 0 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 0 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 0 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 0 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 0 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 0 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 0 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 0 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 1 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 1 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 1 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 1 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 1 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 1 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 1 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 1 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 2 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 2 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 2 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 2 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 2 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 2 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 2 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 2 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 3 eCPRI SOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 3 eCPRI EOPs ਪ੍ਰਸਾਰਿਤ: 50
# ਚੈਨਲ 3 eCPRI SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 3 eCPRI EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 50
# ਚੈਨਲ 3 eCPRI ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਸਾਰਿਤ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਸਾਰਿਤ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 3 ਬਾਹਰੀ EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 132
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ PTP EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 4
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC SOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ MISC EOPs ਪ੍ਰਾਪਤ ਹੋਏ: 128
# ਚੈਨਲ 3 ਬਾਹਰੀ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
# ਚੈਨਲ 3 ਬਾਹਰੀ ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਦੀ ਰਿਪੋਰਟ ਕੀਤੀ ਗਈ: 0
#_________________________________________________________
# ਜਾਣਕਾਰੀ: ਟੈਸਟ ਪਾਸ ਕੀਤਾ ਗਿਆ
#
#_________________________________________________________

1.4.1. ਈਥਰਨੈੱਟ IP ਲਈ ਡਾਇਨਾਮਿਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਨੂੰ ਸਮਰੱਥ ਕਰਨਾ
ਮੂਲ ਰੂਪ ਵਿੱਚ, eCPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਗਤੀਸ਼ੀਲ ਪੁਨਰ-ਸੰਰਚਨਾ ਨੂੰ ਅਸਮਰੱਥ ਬਣਾਇਆ ਗਿਆ ਹੈample ਅਤੇ ਇਹ ਸਿਰਫ਼ Intel Stratix 10 (E-tile and H-tile) ਅਤੇ Intel Agilex 7 (E-tile) ਡਿਜ਼ਾਈਨ 'ਤੇ ਲਾਗੂ ਹੁੰਦਾ ਹੈ।amples.

  1. ਉਤਪੰਨ ਤੋਂ test_wrapper.sv ਵਿੱਚ ਹੇਠ ਲਿਖੀ ਲਾਈਨ ਦੇਖੋample_dir>/ਸਿਮੂਲੇਸ਼ਨ/ਟੈਸਟਬੈਂਚ ਡਾਇਰੈਕਟਰੀ: ਪੈਰਾਮੀਟਰ ETHERNET_DR_EN = 0
  2. ਮੁੱਲ ਨੂੰ 0 ਤੋਂ 1 ਤੱਕ ਬਦਲੋ: ਪੈਰਾਮੀਟਰ ETHERNET_DR_EN = 1
  3. ਉਸੇ ਹੀ ਤਿਆਰ ਕੀਤੇ ਸਾਬਕਾ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸਿਮੂਲੇਸ਼ਨ ਨੂੰ ਮੁੜ ਚਲਾਓample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ.

1.5 ਕੰਪਾਈਲੇਸ਼ਨ-ਸਿਰਫ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ
ਕੰਪਾਇਲੇਸ਼ਨ-ਸਿਰਫ ਐਕਸampਪ੍ਰੋਜੈਕਟ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਸੰਕਲਨ ਡਿਜ਼ਾਈਨ ਨੂੰ ਯਕੀਨੀ ਬਣਾਓ ਸਾਬਕਾampਲੀ ਪੀੜ੍ਹੀ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
  2. Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋample_dir>/synthesis/quartus/ ecpri_ed.qpf।
  3. ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ 'ਤੇ, ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  4. ਸਫਲ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ, ਤੁਹਾਡੇ ਇੰਟੈਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੈਸ਼ਨ ਵਿੱਚ ਸਮੇਂ ਅਤੇ ਸਰੋਤਾਂ ਦੀ ਵਰਤੋਂ ਲਈ ਰਿਪੋਰਟਾਂ ਉਪਲਬਧ ਹਨ। ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਕੰਪਾਇਲੇਸ਼ਨ ਰਿਪੋਰਟ 'ਤੇ ਜਾਓ view ਸੰਕਲਨ 'ਤੇ ਵਿਸਤ੍ਰਿਤ ਰਿਪੋਰਟ.
    ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
    ਬਲਾਕ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ

1.6 ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਹਾਰਡਵੇਅਰ ਵਿੱਚ le
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਸਾਬਕਾample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਯਕੀਨੀ ਬਣਾਓ ਸਾਬਕਾampਲੀ ਪੀੜ੍ਹੀ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
  2. Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋample_dir>/synthesis/quartus/ecpri_ed.qpf।
  3. ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ 'ਤੇ, ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  4. ਸਫਲ ਸੰਕਲਨ ਦੇ ਬਾਅਦ, ਇੱਕ .sof file ਵਿੱਚ ਉਪਲਬਧ ਹੈample_dir>/ਸਿੰਥੇਸਿਸ/ਕੁਆਰਟਸ/ਆਊਟਪੁੱਟ_files ਡਾਇਰੈਕਟਰੀ. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋampIntel FPGA ਡਿਵਾਈਸ 'ਤੇ le:
    a ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਨੂੰ ਹੋਸਟ ਕੰਪਿਊਟਰ ਨਾਲ ਕਨੈਕਟ ਕਰੋ।
    ਬੀ. ਕਲਾਕ ਕੰਟਰੋਲ ਐਪਲੀਕੇਸ਼ਨ ਲਾਂਚ ਕਰੋ, ਜੋ ਕਿ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦਾ ਹਿੱਸਾ ਹੈ, ਅਤੇ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਨਵੀਂ ਫ੍ਰੀਕੁਐਂਸੀ ਸੈੱਟ ਕਰੋample. ਹੇਠਾਂ ਕਲਾਕ ਕੰਟਰੋਲ ਐਪਲੀਕੇਸ਼ਨ ਵਿੱਚ ਬਾਰੰਬਾਰਤਾ ਸੈਟਿੰਗ ਹੈ:
    • ਜੇਕਰ ਤੁਸੀਂ Intel Stratix 10 GX SI ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾ ਰਹੇ ਹੋ:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 ਅਤੇ OUT5- 307.2 MHz
    • ਜੇਕਰ ਤੁਸੀਂ Intel Stratix 10 TX SI ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾ ਰਹੇ ਹੋ:
    — U1, CLK4- 322.265625 MHz (25G ਡਾਟਾ ਦਰ ਲਈ)
    — U6- 156.25 MHz (10G ਡਾਟਾ ਦਰ ਲਈ)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • ਜੇਕਰ ਤੁਸੀਂ Intel Agilex 7 F-Series Transceiver-SoC ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾ ਰਹੇ ਹੋ:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • ਜੇਕਰ ਤੁਸੀਂ Intel Arria 10 GX SI ਵਿਕਾਸ ਕਿੱਟ 'ਤੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾ ਰਹੇ ਹੋ:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c. ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਪ੍ਰੋਗਰਾਮਰ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
    d. ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
    ਈ. ਇੱਕ ਪ੍ਰੋਗਰਾਮਿੰਗ ਡਿਵਾਈਸ ਚੁਣੋ।
    f. ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਚੁਣੋ ਅਤੇ ਜੋੜੋ ਜਿਸ ਨਾਲ ਤੁਹਾਡਾ Intel Quartus Prime Pro Edition ਸੈਸ਼ਨ ਜੁੜ ਸਕਦਾ ਹੈ।
    g ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਮੋਡ ਜੇ 'ਤੇ ਸੈੱਟ ਹੈTAG.
    h. ਡਿਵਾਈਸ ਚੁਣੋ ਅਤੇ ਡਿਵਾਈਸ ਜੋੜੋ ਤੇ ਕਲਿਕ ਕਰੋ. ਪ੍ਰੋਗਰਾਮਰ ਤੁਹਾਡੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸਾਂ ਵਿਚਕਾਰ ਕਨੈਕਸ਼ਨਾਂ ਦਾ ਇੱਕ ਬਲਾਕ ਚਿੱਤਰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
    i. .sof ਲੋਡ ਕਰੋ file ਤੁਹਾਡੇ ਅਨੁਸਾਰੀ Intel FPGA ਡਿਵਾਈਸ ਲਈ।
    ਜੇ. ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਅਤੇ ਲਿੰਕਿੰਗ ਫਾਰਮੈਟ (.elf) ਲੋਡ ਕਰੋ file ਤੁਹਾਡੇ Intel Stratix 10 ਜਾਂ
    Intel Agilex 7 ਡਿਵਾਈਸ ਜੇਕਰ ਤੁਸੀਂ 25G ਅਤੇ 10G ਵਿਚਕਾਰ ਡਾਟਾ ਦਰ ਨੂੰ ਬਦਲਣ ਲਈ ਡਾਇਨਾਮਿਕ ਰੀਕਨਫਿਗਰੇਸ਼ਨ (DR) ਕਰਨ ਦੀ ਯੋਜਨਾ ਬਣਾਉਂਦੇ ਹੋ। ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਅਤੇ ਲਿੰਕਿੰਗ ਫਾਰਮੈਟ (.elf) ਪ੍ਰੋਗਰਾਮਿੰਗ ਬਣਾਉਣ ਅਤੇ ਡਾਊਨਲੋਡ ਕਰਨ ਦੀਆਂ ਹਦਾਇਤਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ File .elf ਬਣਾਉਣ ਲਈ ਪੰਨਾ 38 'ਤੇ file.
    k. ਆਪਣੇ .sof ਨਾਲ ਕਤਾਰ ਵਿੱਚ, .sof ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਬਾਕਸ ਨੂੰ ਚੈੱਕ ਕਰੋ file.
    l ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • ਬਲਾਕ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ
  • Intel Quartus Prime Programmer ਯੂਜ਼ਰ ਗਾਈਡ
  • ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਡਿਜ਼ਾਈਨ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਅਤੇ ਡੀਬੱਗ ਕਰਨਾ
  • Intel Agilex 7 F-Series Transceiver-SoC ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Stratix 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Stratix 10 TX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Arria 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਯੂਜ਼ਰ ਗਾਈਡ

1.7 eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨਾ ਸਾਬਕਾample
ਤੁਹਾਡੇ ਦੁਆਰਾ ਕੰਪਾਇਲ ਕਰਨ ਤੋਂ ਬਾਅਦ eCPRI Intel FPGA IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel FPGA ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਤੁਸੀਂ IP ਕੋਰ ਅਤੇ ਇਸਦੇ ਏਮਬੇਡ ਕੀਤੇ ਨੇਟਿਵ PHY IP ਕੋਰ ਰਜਿਸਟਰਾਂ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ।
ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਚਾਲੂ ਕਰਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਸਾਬਕਾampਲੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤੋਂ ਬਾਅਦ ਸਾਬਕਾample ਨੂੰ Intel ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕੀਤਾ ਗਿਆ ਹੈ, Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਸਿਸਟਮ ਡੀਬਗਿੰਗ ਟੂਲਸ ➤ ਸਿਸਟਮ ਕੰਸੋਲ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  2. Tcl ਕੰਸੋਲ ਪੈਨ ਵਿੱਚ, ਡਾਇਰੈਕਟਰੀ ਨੂੰ ਇਸ ਵਿੱਚ ਬਦਲੋample_dir>/ synthesis/quartus/hardware_test ਅਤੇ J ਨਾਲ ਕੁਨੈਕਸ਼ਨ ਖੋਲ੍ਹਣ ਲਈ ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਟਾਈਪ ਕਰੋ।TAG ਮਾਸਟਰ ਅਤੇ ਟੈਸਟ ਸ਼ੁਰੂ ਕਰੋ:
    • Intel Agilex 7 ਡਿਜ਼ਾਈਨ ਲਈ ਸਰੋਤ ecpri_agilex.tcl
    • Intel Stratix 10 ਡਿਜ਼ਾਈਨ ਲਈ ਸਰੋਤ ecpri_s10.tcl
    • Intel Arria 10 ਡਿਜ਼ਾਈਨ ਲਈ ਸਰੋਤ ecpri_a10.tcl
  3. ਤੁਹਾਡੇ Intel Stratix 10 ਜਾਂ Intel Agilex 7 E-tile ਡਿਵਾਈਸ ਦੇ ਭਿੰਨਤਾਵਾਂ ਲਈ, ਤੁਹਾਨੂੰ .sof ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਤੋਂ ਬਾਅਦ ਇੱਕ ਵਾਰ ਅੰਦਰੂਨੀ ਜਾਂ ਬਾਹਰੀ ਲੂਪਬੈਕ ਕਮਾਂਡ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। file:
    a flow.c ਵਿੱਚ TEST_MODE ਵੇਰੀਏਬਲ ਨੂੰ ਸੋਧੋ file ਲੂਪਬੈਕ ਮੋਡ ਚੁਣਨ ਲਈ:
    TEST_MODE ਕਾਰਵਾਈ
    0 ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਸਿਰਫ਼ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਸਮਰੱਥ ਹੈ
    1 ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਸਿਰਫ ਹਾਰਡਵੇਅਰ ਲਈ ਸਮਰੱਥ ਹੈ
    2 ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਅਤੇ ਕੈਲੀਬ੍ਰੇਸ਼ਨ
    3 ਸਿਰਫ਼ ਕੈਲੀਬ੍ਰੇਸ਼ਨ

    ਜਦੋਂ ਵੀ ਤੁਸੀਂ flow.c ਨੂੰ ਬਦਲਦੇ ਹੋ ਤਾਂ ਤੁਹਾਨੂੰ NIOS II ਸੌਫਟਵੇਅਰ ਨੂੰ ਦੁਬਾਰਾ ਕੰਪਾਇਲ ਅਤੇ ਰੀਜਨਰੇਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ file.
    ਬੀ. .elf ਨੂੰ ਮੁੜ ਤਿਆਰ ਕਰੋ file ਅਤੇ ਬੋਰਡ ਨੂੰ ਇੱਕ ਵਾਰ ਹੋਰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ ਅਤੇ .sof ਨੂੰ ਦੁਬਾਰਾ ਪ੍ਰੋਗਰਾਮ ਕਰੋ file.

  4. ਸਿਸਟਮ ਕੰਸੋਲ ਸਕ੍ਰਿਪਟ ਵਿੱਚ ਸਮਰਥਿਤ ਕਮਾਂਡਾਂ ਦੁਆਰਾ ਡਿਜ਼ਾਈਨ ਓਪਰੇਸ਼ਨ ਦੀ ਜਾਂਚ ਕਰੋ। ਸਿਸਟਮ ਕੰਸੋਲ ਸਕ੍ਰਿਪਟ ਡਿਜ਼ਾਇਨ ਵਿੱਚ ਸਮਰੱਥ ਕਰਨ ਵਾਲੇ ਅੰਕੜਿਆਂ ਅਤੇ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ ਪੜ੍ਹਨ ਲਈ ਉਪਯੋਗੀ ਕਮਾਂਡਾਂ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ।

ਸਾਰਣੀ 4. ਸਿਸਟਮ ਕੰਸੋਲ ਸਕ੍ਰਿਪਟ ਕਮਾਂਡਾਂ

ਹੁਕਮ ਵਰਣਨ
ਲੂਪ_ਆਨ TX ਤੋਂ RX ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। Intel Stratix 10 H-tile ਅਤੇ Intel Arria 10 ਡਿਵਾਈਸਾਂ ਲਈ ਹੀ ਵਰਤੋਂ।
loop_off TX ਤੋਂ RX ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਅਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। Intel Stratix 10 H-tile ਅਤੇ Intel Arria 10 ਡਿਵਾਈਸਾਂ ਲਈ ਹੀ ਵਰਤੋਂ।
ਲਿੰਕ _ init _ int _1pbk ਟ੍ਰਾਂਸਸੀਵਰ ਦੇ ਅੰਦਰ TX ਤੋਂ RX ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਟ੍ਰਾਂਸਸੀਵਰ ਕੈਲੀਬ੍ਰੇਸ਼ਨ ਪ੍ਰਵਾਹ ਨੂੰ ਕਰਦਾ ਹੈ। ਸਿਰਫ਼ Intel Stratix 10 E-tile ਅਤੇ Intel Agilex 7 E-ਟਾਈਲ ਡਿਜ਼ਾਈਨਾਂ 'ਤੇ ਲਾਗੂ ਹੁੰਦਾ ਹੈ।
ਲਿੰਕ _ init _ ext _1pbk TX ਤੋਂ RX ਬਾਹਰੀ ਲੂਪਬੈਕ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਟ੍ਰਾਂਸਸੀਵਰ ਕੈਲੀਬ੍ਰੇਸ਼ਨ ਪ੍ਰਵਾਹ ਕਰਦਾ ਹੈ। ਸਿਰਫ਼ Intel Stratix 10 E-tile ਅਤੇ Intel Agilex 7 E-ਟਾਈਲ ਡਿਜ਼ਾਈਨਾਂ 'ਤੇ ਲਾਗੂ ਹੁੰਦਾ ਹੈ।
ਟ੍ਰੈਫਿਕ ਜੇਨ ਅਯੋਗ ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰ ਅਤੇ ਚੈਕਰ ਨੂੰ ਅਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ।
chkmac ਅੰਕੜੇ ਈਥਰਨੈੱਟ MAC ਲਈ ਅੰਕੜੇ ਦਿਖਾਉਂਦਾ ਹੈ।
ਪੜ੍ਹੋ_ ਟੈਸਟ_ ਅੰਕੜੇ ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰ ਅਤੇ ਚੈਕਰਾਂ ਲਈ ਗਲਤੀ ਦੇ ਅੰਕੜੇ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰੋ।
ext _ ਲਗਾਤਾਰ _ ਮੋਡ _en ਪੂਰੇ ਡਿਜ਼ਾਇਨ ਸਿਸਟਮ ਨੂੰ ਰੀਸੈੱਟ ਕਰਦਾ ਹੈ, ਅਤੇ ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰ ਨੂੰ ਲਗਾਤਾਰ ਟ੍ਰੈਫਿਕ ਪੈਕੇਟ ਬਣਾਉਣ ਲਈ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ।
dr _ 25g _ ਤੋਂ _ lOg _etile ਈਥਰਨੈੱਟ MAC ਦੀ ਡਾਟਾ ਦਰ ਨੂੰ 25G ਤੋਂ 10G ਤੱਕ ਬਦਲਦਾ ਹੈ। Intel Stratix 10 E-tile ਅਤੇ Intel Agilex 7 E-tile ਡਿਵਾਈਸਾਂ ਲਈ ਹੀ ਵਰਤੋਂ।
dr_25g_to_10g_htile ਈਥਰਨੈੱਟ MAC ਦੀ ਡਾਟਾ ਦਰ ਨੂੰ 25G ਤੋਂ 10G ਤੱਕ ਬਦਲਦਾ ਹੈ। ਸਿਰਫ਼ H-ਟਾਈਲ ਡਿਵਾਈਸਾਂ ਲਈ ਵਰਤੋਂ
dr_10g_to_25g_etile ਈਥਰਨੈੱਟ MAC ਦੀ ਡਾਟਾ ਦਰ ਨੂੰ 10G ਤੋਂ 25G ਤੱਕ ਬਦਲਦਾ ਹੈ। Intel Stratix 10 E-tile ਅਤੇ Intel Agilex 7 E-tile ਡਿਵਾਈਸਾਂ ਲਈ ਹੀ ਵਰਤੋਂ।
dr _ 25g _ ਤੋਂ _ lOg _htile ਈਥਰਨੈੱਟ MAC ਦੀ ਡਾਟਾ ਦਰ ਨੂੰ 10G ਤੋਂ 25G ਤੱਕ ਬਦਲਦਾ ਹੈ। ਸਿਰਫ਼ H-ਟਾਈਲ ਡਿਵਾਈਸਾਂ ਲਈ ਵਰਤੋਂ।

ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ ਇੱਕ ਸਫਲ ਟੈਸਟ ਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ:
ਸਿਸਟਮ ਕੰਸੋਲ ਪ੍ਰਿੰਟਆਊਟ (ਚੈਨਲਾਂ ਦੀ ਸੰਖਿਆ = 1)
ਚੈਨਲ 0 EXT PTP TX SOP ਗਿਣਤੀ: 256
ਚੈਨਲ 0 EXT PTP TX EOP ਗਿਣਤੀ: 256
ਚੈਨਲ 0 EXT MISC TX SOP ਗਿਣਤੀ: 36328972
ਚੈਨਲ 0 EXT MISC TX EOP ਗਿਣਤੀ: 36369511
ਚੈਨਲ 0 EXT RX SOP ਗਿਣਤੀ: 36410364
ਚੈਨਲ 0 EXT RX EOP ਗਿਣਤੀ: 36449971
ਚੈਨਲ 0 EXT ਚੈਕਰ ਗਲਤੀਆਂ: 0
ਚੈਨਲ 0 EXT ਚੈਕਰ ਗਲਤੀ ਗਿਣਤੀ: 0
ਚੈਨਲ 0 EXT PTP ਫਿੰਗਰਪ੍ਰਿੰਟ ਤਰੁਟੀਆਂ: 0
ਚੈਨਲ 0 EXT PTP ਫਿੰਗਰਪ੍ਰਿੰਟ ਗਲਤੀ ਗਿਣਤੀ: 0
ਚੈਨਲ 0 TX SOP ਗਿਣਤੀ: 1337760
ਚੈਨਲ 0 TX EOP ਗਿਣਤੀ: 1339229
ਚੈਨਲ 0 RX SOP ਗਿਣਤੀ: 1340728
ਚੈਨਲ 0 RX EOP ਗਿਣਤੀ: 1342555
ਚੈਨਲ 0 ਚੈਕਰ ਗਲਤੀਆਂ: 0
ਚੈਨਲ 0 ਚੈਕਰ ਗਲਤੀ ਗਿਣਤੀ: 0

========================================== ===========================
==============
ਚੈਨਲ 0 (Rx) ਲਈ ਈਥਰਨੈੱਟ ਮੈਕ ਅੰਕੜੇ

========================================== ===========================
==============
ਖੰਡਿਤ ਫ੍ਰੇਮ: 0
ਜਾਬਰਡ ਫਰੇਮ: 0
FCS ਐਰਰ ਫਰੇਮਾਂ ਦੇ ਨਾਲ ਸੱਜਾ ਆਕਾਰ: 0
ਮਲਟੀਕਾਸਟ ਡੇਟਾ ਐਰਰ ਫਰੇਮ: 0
ਬ੍ਰੌਡਕਾਸਟ ਡੇਟਾ ਐਰਰ ਫਰੇਮ: 0
ਯੂਨੀਕਾਸਟ ਡੇਟਾ ਐਰਰ ਫਰੇਮ: 0
64 ਬਾਈਟ ਫਰੇਮ : 3641342
65 - 127 ਬਾਈਟ ਫਰੇਮ : 0
128 - 255 ਬਾਈਟ ਫਰੇਮ : 37404809
256 - 511 ਬਾਈਟ ਫਰੇਮ : 29128650
512 - 1023 ਬਾਈਟ ਫਰੇਮ : 0
1024 - 1518 ਬਾਈਟ ਫਰੇਮ : 0
1519 - MAX ਬਾਈਟ ਫਰੇਮ: 0
> MAX ਬਾਈਟ ਫਰੇਮ: 0
ਮਲਟੀਕਾਸਟ ਡੇਟਾ ਓਕੇ ਫਰੇਮ: 70174801
ਬਰਾਡਕਾਸਟ ਡੇਟਾ ਠੀਕ ਫਰੇਮ: 0
ਯੂਨੀਕਾਸਟ ਡੇਟਾ ਓਕੇ ਫਰੇਮ: 0
ਮਲਟੀਕਾਸਟ ਕੰਟਰੋਲ ਫਰੇਮ: 0
ਬ੍ਰੌਡਕਾਸਟ ਕੰਟਰੋਲ ਫਰੇਮ: 0
ਯੂਨੀਕਾਸਟ ਕੰਟਰੋਲ ਫਰੇਮ: 0
ਨਿਯੰਤਰਣ ਫ੍ਰੇਮ ਰੋਕੋ: 0
ਪੇਲੋਡ ਔਕਟੇਟਸ ਠੀਕ ਹੈ: 11505935812
ਫ੍ਰੇਮ ਔਕਟੇਟ ਓਕੇ: 12918701444
Rx ਵੱਧ ਤੋਂ ਵੱਧ ਫਰੇਮ ਦੀ ਲੰਬਾਈ: 1518
FCS ਐਰਰ ਫਰੇਮ ਵਾਲਾ ਕੋਈ ਵੀ ਆਕਾਰ: 0
ਮਲਟੀਕਾਸਟ ਕੰਟਰੋਲ ਐਰਰ ਫਰੇਮ: 0
ਬ੍ਰੌਡਕਾਸਟ ਕੰਟਰੋਲ ਐਰਰ ਫਰੇਮ: 0
ਯੂਨੀਕਾਸਟ ਕੰਟਰੋਲ ਐਰਰ ਫਰੇਮ: 0
ਨਿਯੰਤਰਣ ਐਰਰ ਫਰੇਮਾਂ ਨੂੰ ਰੋਕੋ: 0
Rx ਫਰੇਮ ਸਟਾਰਟ: 70174801

ਹੇਠ ਲਿਖੇ ਐਸamp25G ਤੋਂ 10G DR ਟੈਸਟ ਰਨ ਲਈ le ਆਉਟਪੁੱਟ:
ਸਿਸਟਮ ਕੰਸੋਲ ਪ੍ਰਿੰਟਆਊਟ (25G ਤੋਂ 10G DR ਈ-ਟਾਈਲ)

ਈਥਰਨੈੱਟ 25G -> 10G ਲਈ ਡਾਇਨਾਮਿਕ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਸ਼ੁਰੂ ਕਰੋ
DR ਸਫਲ 25G -> 10G
RX PHY ਰਜਿਸਟਰ ਐਕਸੈਸ: ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ (KHz) ਦੀ ਜਾਂਚ ਕਰਨਾ
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY ਸਥਿਤੀ ਪੋਲਿੰਗ
Rx ਬਾਰੰਬਾਰਤਾ ਲਾਕ ਸਥਿਤੀ 0x0000000f
ਮੈਕ ਘੜੀ ਠੀਕ ਹਾਲਤ ਵਿੱਚ ਹੈ? 0x00000001
Rx ਫਰੇਮ ਗਲਤੀ? 0x00000000
Rx PHY ਪੂਰੀ ਤਰ੍ਹਾਂ ਨਾਲ ਇਕਸਾਰ? 0x00000001
ਪੋਲਿੰਗ RX PHY ਚੈਨਲ 0
RX PHY ਚੈਨਲ 0 ਤਿਆਰ ਅਤੇ ਚੱਲ ਰਿਹਾ ਹੈ!

ਸਿਸਟਮ ਕੰਸੋਲ ਪ੍ਰਿੰਟਆਊਟ (25G ਤੋਂ 10G DR H-ਟਾਈਲ)
ਈਥਰਨੈੱਟ 25G -> 10G ਲਈ ਡਾਇਨਾਮਿਕ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਸ਼ੁਰੂ ਕਰੋ
DR ਸਫਲ 25G -> 10G
RX PHY ਰਜਿਸਟਰ ਐਕਸੈਸ: ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ (KHz) ਦੀ ਜਾਂਚ ਕਰਨਾ
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY ਸਥਿਤੀ ਪੋਲਿੰਗ
Rx ਬਾਰੰਬਾਰਤਾ ਲਾਕ ਸਥਿਤੀ 0x00000001
ਮੈਕ ਘੜੀ ਠੀਕ ਹਾਲਤ ਵਿੱਚ ਹੈ? 0x00000007
Rx ਫਰੇਮ ਗਲਤੀ? 0x00000000
Rx PHY ਪੂਰੀ ਤਰ੍ਹਾਂ ਨਾਲ ਇਕਸਾਰ? 0x00000001
ਪੋਲਿੰਗ RX PHY ਚੈਨਲ 0
RX PHY ਚੈਨਲ 0 ਤਿਆਰ ਅਤੇ ਚੱਲ ਰਿਹਾ ਹੈ!

ਸਿਸਟਮ ਕੰਸੋਲ ਪ੍ਰਿੰਟਆਊਟ (10G ਤੋਂ 25G DR ਈ-ਟਾਈਲ)
ਈਥਰਨੈੱਟ 10G -> 25G ਲਈ ਡਾਇਨਾਮਿਕ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਸ਼ੁਰੂ ਕਰੋ
DR ਸਫਲ 10G -> 25G
RX PHY ਰਜਿਸਟਰ ਐਕਸੈਸ: ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ (KHz) ਦੀ ਜਾਂਚ ਕਰਨਾ
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY ਸਥਿਤੀ ਪੋਲਿੰਗ
Rx ਬਾਰੰਬਾਰਤਾ ਲਾਕ ਸਥਿਤੀ 0x0000000f
ਮੈਕ ਘੜੀ ਠੀਕ ਹਾਲਤ ਵਿੱਚ ਹੈ? 0x00000001
Rx ਫਰੇਮ ਗਲਤੀ? 0x00000000
Rx PHY ਪੂਰੀ ਤਰ੍ਹਾਂ ਨਾਲ ਇਕਸਾਰ? 0x00000001
ਪੋਲਿੰਗ RX PHY ਚੈਨਲ 0
RX PHY ਚੈਨਲ 0 ਤਿਆਰ ਅਤੇ ਚੱਲ ਰਿਹਾ ਹੈ!

ਸਿਸਟਮ ਕੰਸੋਲ ਪ੍ਰਿੰਟਆਊਟ (10G ਤੋਂ 25G DR H-ਟਾਈਲ)
ਈਥਰਨੈੱਟ 10G -> 25G ਲਈ ਡਾਇਨਾਮਿਕ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਸ਼ੁਰੂ ਕਰੋ
DR ਸਫਲ 10G -> 25G
RX PHY ਰਜਿਸਟਰ ਐਕਸੈਸ: ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ (KHz) ਦੀ ਜਾਂਚ ਕਰਨਾ
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY ਸਥਿਤੀ ਪੋਲਿੰਗ
Rx ਬਾਰੰਬਾਰਤਾ ਲਾਕ ਸਥਿਤੀ 0x00000001
ਮੈਕ ਘੜੀ ਠੀਕ ਹਾਲਤ ਵਿੱਚ ਹੈ? 0x00000007
Rx ਫਰੇਮ ਗਲਤੀ? 0x00000000
Rx PHY ਪੂਰੀ ਤਰ੍ਹਾਂ ਨਾਲ ਇਕਸਾਰ? 0x00000001
ਪੋਲਿੰਗ RX PHY ਚੈਨਲ 0
RX PHY ਚੈਨਲ 0 ਤਿਆਰ ਅਤੇ ਚੱਲ ਰਿਹਾ ਹੈ!

ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵੇਰਵਾ

ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample eCPRI IP ਕੋਰ ਦੀ ਬੁਨਿਆਦੀ ਕਾਰਜਕੁਸ਼ਲਤਾ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। ਤੁਸੀਂ ਐਕਸ ਤੋਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰ ਸਕਦੇ ਹੋampeCPRI IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬ।

2.1 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ

  • ਅੰਦਰੂਨੀ TX ਅਤੇ RX ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਮੋਡ
  • ਆਟੋਮੈਟਿਕਲੀ ਸਥਿਰ ਆਕਾਰ ਦੇ ਪੈਕੇਟ ਤਿਆਰ ਕਰਦਾ ਹੈ
  • ਬੁਨਿਆਦੀ ਪੈਕੇਟ ਜਾਂਚ ਸਮਰੱਥਾਵਾਂ
  • ਡਿਜ਼ਾਇਨ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਸਮਰੱਥਾ ਅਤੇ ਰੀ-ਟੈਸਟਿੰਗ ਦੇ ਉਦੇਸ਼ ਲਈ ਡਿਜ਼ਾਈਨ ਨੂੰ ਰੀਸੈਟ ਕਰਨਾ

2.2 ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample
ਚਿੱਤਰ 5. Intel Agilex 7 F-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 5

ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਲਈ ਵਾਰੰਟ ਦਿੰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਅਰਜ਼ੀ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

ਚਿੱਤਰ 6. Intel Agilex 7 ਈ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 6ਚਿੱਤਰ 7. ਇੰਟੇਲ ਸਟ੍ਰੈਟਿਕਸ 10 ਡਿਜ਼ਾਈਨ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 7

ਚਿੱਤਰ 8. Intel Arria 10 ਡਿਜ਼ਾਈਨ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 8eCPRI Intel FPGA IP ਕੋਰ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਭਾਗ ਸ਼ਾਮਲ ਹਨ:
eCPRI Intel FPGA IP
ਟੈਸਟ ਰੈਪਰ ਦੇ ਅੰਦਰ ਤਤਕਾਲ ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰਾਂ ਤੋਂ ਡੇਟਾ ਨੂੰ ਸਵੀਕਾਰ ਕਰਦਾ ਹੈ ਅਤੇ ਈਥਰਨੈੱਟ IP ਨੂੰ ਪ੍ਰਸਾਰਿਤ ਕਰਨ ਲਈ ਡੇਟਾ ਨੂੰ ਤਰਜੀਹ ਦਿੰਦਾ ਹੈ।

ਈਥਰਨੈੱਟ ਆਈ.ਪੀ

  • ਐੱਫ-ਟਾਈਲ ਈਥਰਨੈੱਟ ਇੰਟੇਲ ਐੱਫਪੀਜੀਏ ਹਾਰਡ ਆਈਪੀ (ਇੰਟੇਲ ਐਜੀਲੈਕਸ 7 ਐੱਫ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ)
  • ਈਥਰਨੈੱਟ ਲਈ ਈ-ਟਾਈਲ ਹਾਰਡ ਆਈਪੀ (ਇੰਟੈੱਲ ਸਟ੍ਰੈਟਿਕਸ 10 ਜਾਂ ਇੰਟੇਲ ਐਜੀਲੈਕਸ 7 ਈ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ)
  • 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ ਸਟ੍ਰੈਟਿਕਸ 10 ਆਈਪੀ (ਇੰਟੈੱਲ ਸਟ੍ਰੈਟਿਕਸ 10 ਐੱਚ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ)
  • ਘੱਟ ਲੇਟੈਂਸੀ ਈਥਰਨੈੱਟ 10G MAC IP ਅਤੇ 1G/10GbE ਅਤੇ 10GBASE-KR PHY IP (Intel Arria 10 ਡਿਜ਼ਾਈਨ)

ਸ਼ੁੱਧਤਾ ਸਮਾਂ ਪ੍ਰੋਟੋਕੋਲ (PTP) IO PLL
Intel Stratix 10 H-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ—ਈਥਰਨੈੱਟ IP ਅਤੇ s ਲਈ ਲੇਟੈਂਸੀ ਮਾਪ ਇਨਪੁਟ ਸੰਦਰਭ ਘੜੀ ਬਣਾਉਣ ਲਈ ਤਤਕਾਲampਟਾਈਮ ਆਫ ਡੇ (TOD) ਸਬਸਿਸਟਮ ਲਈ ਲਿੰਗ ਘੜੀ। IEEE 25v10 ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ 1588G ਈਥਰਨੈੱਟ Intel Stratix 2 FPGA IP ਲਈ, Intel ਤੁਹਾਨੂੰ ਇਸ ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਨੂੰ 156.25 MHz 'ਤੇ ਸੈੱਟ ਕਰਨ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ। ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ ਸਟ੍ਰੈਟਿਕਸ 10 FPGA IP ਉਪਭੋਗਤਾ ਗਾਈਡ ਅਤੇ Intel Stratix 10 H-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ PHY ਉਪਭੋਗਤਾ ਗਾਈਡ ਵੇਖੋ। PTP IOPLL ਕੈਸਕੇਡਿੰਗ ਤਰੀਕੇ ਨਾਲ eCPRI IO PLL ਲਈ ਹਵਾਲਾ ਘੜੀ ਵੀ ਤਿਆਰ ਕਰਦਾ ਹੈ।
Intel Arria 10 ਡਿਜ਼ਾਈਨਾਂ ਲਈ—ਲੋਅ ​​ਲੇਟੈਂਸੀ ਈਥਰਨੈੱਟ 312.5G MAC IP ਅਤੇ 156.25G/10GbE, 1GBASE-KR PHY IP, ਅਤੇ eCPRI IP ਲਈ 10 MHz ਅਤੇ 10 MHz ਕਲਾਕ ਇਨਪੁਟਸ ਤਿਆਰ ਕਰਨ ਲਈ ਤਤਕਾਲ।

eCPRI IO PLL
eCPRI IP ਦੇ TX ਅਤੇ RX ਮਾਰਗ, ਅਤੇ ਟ੍ਰੈਫਿਕ ਭਾਗਾਂ ਲਈ 390.625 MHz ਦੀ ਕੋਰ ਕਲਾਕ ਆਉਟਪੁੱਟ ਤਿਆਰ ਕਰਦਾ ਹੈ।
ਨੋਟ: ਇਹ ਬਲਾਕ ਸਿਰਫ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਮੌਜੂਦ ਹੈampLe Intel Stratix 10 ਅਤੇ Intel Agilex 7 ਡਿਵਾਈਸਾਂ ਲਈ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।

ਨੋਟ: eCPRI Intel FPGA IP ਦਾ ਮੌਜੂਦਾ ਸੰਸਕਰਣ ਸਿਰਫ IWF ਕਿਸਮ 0 ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। Intel Agilex 7 F-ਟਾਈਲ ਡਿਵਾਈਸਾਂ ਲਈ, ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample IWF ਵਿਸ਼ੇਸ਼ਤਾ ਨਾਲ ਸਮਰਥਿਤ ਨਹੀਂ ਹੈ।
ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾampਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਸਪੋਰਟ ਪੈਰਾਮੀਟਰ ਬੰਦ ਹੋਣ ਦੇ ਨਾਲ, ਪੈਕੇਟ ਟ੍ਰੈਫਿਕ ਟੈਸਟ ਰੈਪਰ ਮੋਡੀਊਲ ਤੋਂ ਸਿੱਧੇ ਐਵਲੋਨ-ST ਸਰੋਤ/ਸਿੰਕ ਇੰਟਰਫੇਸ ਅਤੇ eCPRI IP ਦੇ ਬਾਹਰੀ ਸਰੋਤ/ਸਿੰਕ ਇੰਟਰਫੇਸ ਵੱਲ ਵਹਿੰਦਾ ਹੈ।
ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾampਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਸਪੋਰਟ ਪੈਰਾਮੀਟਰ ਚਾਲੂ ਹੋਣ ਦੇ ਨਾਲ, ਪੈਕਟ ਟ੍ਰੈਫਿਕ ਪਹਿਲਾਂ ਟੈਸਟ ਰੈਪਰ ਮੋਡੀਊਲ ਤੋਂ IWF Avalon-ST ਸਿੰਕ ਇੰਟਰਫੇਸ ਵੱਲ ਵਹਿੰਦਾ ਹੈ, ਅਤੇ IWF Avalon-ST ਸਰੋਤ ਇੰਟਰਫੇਸ ਤੋਂ eCPRI Avalon-ST ਸਰੋਤ/ਸਿੰਕ 'ਤੇ ਆਉਂਦਾ ਹੈ। ਇੰਟਰਫੇਸ.
CPRI MAC
ਯੂਜ਼ਰ ਪਲੇਨ, C&M, ਅਤੇ REC ਅਤੇ RE ਦੇ ਨਾਲ-ਨਾਲ ਦੋ RE ਵਿਚਕਾਰ ਸਿੰਕ੍ਰੋਨਾਈਜ਼ੇਸ਼ਨ ਜਾਣਕਾਰੀ ਦੇ ਟ੍ਰਾਂਸਫਰ ਲਈ ਲੇਅਰ 1 ਅਤੇ ਪੂਰੀ ਲੇਅਰ 2 ਪ੍ਰੋਟੋਕੋਲ ਦਾ CPRI ਹਿੱਸਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ,
ਸੀਪੀਆਰਆਈ ਪੀ.ਐਚ.ਵਾਈ
ਲਾਈਨ ਕੋਡਿੰਗ, ਬਿੱਟ ਗਲਤੀ ਸੁਧਾਰ/ਖੋਜ, ਅਤੇ ਆਦਿ ਲਈ CPRI ਲੇਅਰ 1 ਪ੍ਰੋਟੋਕੋਲ ਦਾ ਬਾਕੀ ਹਿੱਸਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।

ਨੋਟ: CPRI MAC ਅਤੇ CPRI PHY IP ਇਸ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਥਾਪਿਤ ਕੀਤੇ ਗਏ ਹਨample ਨੂੰ ਸਿੰਗਲ CPRI ਲਾਈਨ ਰੇਟ 9.8 Gbps 'ਤੇ ਚੱਲਣ ਲਈ ਸੰਰਚਿਤ ਕੀਤਾ ਗਿਆ ਹੈ। ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਮੌਜੂਦਾ ਰੀਲੀਜ਼ ਵਿੱਚ ਲਾਈਨ ਰੇਟ ਆਟੋ-ਗੱਲਬਾਤ ਦਾ ਸਮਰਥਨ ਨਹੀਂ ਕਰਦਾ ਹੈ।

ਟੈਸਟ ਰੈਪਰ
ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰ ਅਤੇ ਚੈਕਰ ਹੁੰਦੇ ਹਨ ਜੋ ਹੇਠਾਂ ਦਿੱਤੇ ਅਨੁਸਾਰ eCPRI IP ਦੇ Avalon ਸਟ੍ਰੀਮਿੰਗ (Avalon-ST) ਇੰਟਰਫੇਸਾਂ ਲਈ ਵੱਖ-ਵੱਖ ਡਾਟਾ ਪੈਕੇਟ ਤਿਆਰ ਕਰਦੇ ਹਨ:

  • ਐਵਲੋਨ-ਐਸਟੀ ਸਰੋਤ/ਸਿੰਕ ਇੰਟਰਫੇਸਾਂ ਲਈ eCPRI ਪੈਕੇਟ (IWF ਵਿਸ਼ੇਸ਼ਤਾ ਅਯੋਗ):
    - ਸਿਰਫ਼ ਸੁਨੇਹਾ ਕਿਸਮ 2 ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
    — ਬੈਕ-ਟੂ-ਬੈਕ ਮੋਡ ਜਨਰੇਸ਼ਨ ਇਨਕਰੀਮੈਂਟਲ ਪੈਟਰਨ ਮੋਡ ਜਨਰੇਸ਼ਨ ਅਤੇ ਹਰੇਕ ਪੈਕੇਟ ਲਈ 72 ਬਾਈਟਸ ਦੇ ਪੇਲੋਡ ਆਕਾਰ ਦੇ ਨਾਲ।
    - ਗੈਰ-ਨਿਰੰਤਰ ਜਾਂ ਨਿਰੰਤਰ ਮੋਡ ਵਿੱਚ ਚਲਾਉਣ ਲਈ CSR ਦੁਆਰਾ ਸੰਰਚਨਾਯੋਗ।
    - CSR ਦੁਆਰਾ ਐਕਸੈਸ ਕਰਨ ਲਈ ਉਪਲਬਧ TX/RX ਪੈਕੇਟ ਅੰਕੜਾ ਸਥਿਤੀ।
  • ਏਵਲੋਨ-ਐਸਟੀ ਸਰੋਤ/ਸਿੰਕ ਇੰਟਰਫੇਸਾਂ ਲਈ eCPRI ਪੈਕੇਟ (IWF ਵਿਸ਼ੇਸ਼ਤਾ ਸਮਰਥਿਤ):
    - ਮੌਜੂਦਾ ਰੀਲੀਜ਼ ਵਿੱਚ ਸਿਰਫ ਸੁਨੇਹਾ ਕਿਸਮ 0 ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
    — ਇੰਟਰਪੈਕੇਟ ਗੈਪ ਜਨਰੇਸ਼ਨ ਅਤੇ ਹਰੇਕ ਪੈਕੇਟ ਲਈ 240 ਬਾਈਟਸ ਦੇ ਪੇਲੋਡ ਆਕਾਰ ਦੇ ਨਾਲ ਇਨਕਰੀਮੈਂਟਲ ਪੈਟਰਨ ਮੋਡ ਜਨਰੇਸ਼ਨ।
    - ਗੈਰ-ਨਿਰੰਤਰ ਜਾਂ ਨਿਰੰਤਰ ਮੋਡ ਵਿੱਚ ਚਲਾਉਣ ਲਈ CSR ਦੁਆਰਾ ਸੰਰਚਨਾਯੋਗ।
    - CSR ਦੁਆਰਾ ਐਕਸੈਸ ਕਰਨ ਲਈ ਉਪਲਬਧ TX/RX ਪੈਕੇਟ ਅੰਕੜਾ ਸਥਿਤੀ।
  • ਬਾਹਰੀ ਸਰੋਤ/ਸਿੰਕ ਇੰਟਰਫੇਸ ਲਈ ਸ਼ੁੱਧਤਾ ਸਮਾਂ ਪ੍ਰੋਟੋਕੋਲ (1588 PTP) ਪੈਕੇਟ ਅਤੇ ਗੈਰ-PTP ਫੁਟਕਲ ਪੈਕੇਟ:
    — ਪਹਿਲਾਂ ਤੋਂ ਪਰਿਭਾਸ਼ਿਤ ਪੈਰਾਮੀਟਰਾਂ ਦੇ ਨਾਲ ਸਥਿਰ ਈਥਰਨੈੱਟ ਸਿਰਲੇਖ ਜਨਰੇਸ਼ਨ: Ethertype0x88F7, ਸੁਨੇਹਾ ਕਿਸਮ- ਓਪਕੋਡ 0 (ਸਿੰਕ), ਅਤੇ PTP ਸੰਸਕਰਣ-0।
    — 2 ਚੱਕਰਾਂ ਦੇ ਇੰਟਰਪੈਕੇਟ ਗੈਪ ਅਤੇ ਹਰੇਕ ਪੈਕੇਟ ਲਈ 57 ਬਾਈਟਸ ਦੇ ਪੇਲੋਡ ਆਕਾਰ ਦੇ ਨਾਲ ਪ੍ਰੀ-ਪਰਿਭਾਸ਼ਿਤ ਪੈਟਰਨ ਮੋਡ ਜਨਰੇਸ਼ਨ।
    - ਹਰ ਇੱਕ ਸਕਿੰਟ ਵਿੱਚ 128 ਪੈਕੇਟ ਤਿਆਰ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।
    - ਗੈਰ-ਨਿਰੰਤਰ ਜਾਂ ਨਿਰੰਤਰ ਮੋਡ ਵਿੱਚ ਚਲਾਉਣ ਲਈ CSR ਦੁਆਰਾ ਸੰਰਚਨਾਯੋਗ।
    - CSR ਦੁਆਰਾ ਐਕਸੈਸ ਕਰਨ ਲਈ ਉਪਲਬਧ TX/RX ਪੈਕੇਟ ਅੰਕੜਾ ਸਥਿਤੀ।
  • ਬਾਹਰੀ ਗੈਰ-ਪੀਟੀਪੀ ਫੁਟਕਲ ਪੈਕੇਟ:
    — ਪੂਰਵ-ਪ੍ਰਭਾਸ਼ਿਤ ਪੈਰਾਮੀਟਰ, ਈਥਰਟਾਈਪ- 0x8100 (ਗੈਰ-ਪੀਟੀਪੀ) ਦੇ ਨਾਲ ਸਥਿਰ ਈਥਰਨੈੱਟ ਹੈਡਰ ਜਨਰੇਸ਼ਨ।
    — PRBS ਪੈਟਰਨ ਮੋਡ ਜਨਰੇਸ਼ਨ 2 ਚੱਕਰਾਂ ਦੇ ਇੰਟਰਪੈਕੇਟ ਗੈਪ ਅਤੇ ਹਰੇਕ ਪੈਕੇਟ ਲਈ 128 ਬਾਈਟਸ ਦੇ ਪੇਲੋਡ ਆਕਾਰ ਦੇ ਨਾਲ।
    - ਗੈਰ-ਨਿਰੰਤਰ ਜਾਂ ਨਿਰੰਤਰ ਮੋਡ ਵਿੱਚ ਚਲਾਉਣ ਲਈ CSR ਦੁਆਰਾ ਸੰਰਚਨਾਯੋਗ।
    - CSR ਦੁਆਰਾ ਐਕਸੈਸ ਕਰਨ ਲਈ ਉਪਲਬਧ TX/RX ਪੈਕੇਟ ਅੰਕੜਾ ਸਥਿਤੀ।

ਦਿਨ ਦਾ ਸਮਾਂ (TOD) ਉਪ-ਸਿਸਟਮ
TX ਅਤੇ RX ਦੋਵਾਂ ਲਈ ਦੋ IEEE 1588 TOD ਮੋਡੀਊਲ, ਅਤੇ ਇੱਕ IEEE 1588 TOD ਸਿੰਕ੍ਰੋਨਾਈਜ਼ਰ ਮੋਡੀਊਲ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦੁਆਰਾ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।
Nios® II ਸਬ-ਸਿਸਟਮ
Avalon-MM ਬ੍ਰਿਜ ਸ਼ਾਮਲ ਕਰਦਾ ਹੈ ਜੋ Nios II ਪ੍ਰੋਸੈਸਰ, ਟੈਸਟ ਰੈਪਰ, ਅਤੇ Avalon® -MM ਐਡਰੈੱਸ ਡੀਕੋਡਰ ਬਲਾਕਾਂ ਵਿਚਕਾਰ Avalon-MM ਡੇਟਾ ਆਰਬਿਟਰੇਸ਼ਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ।
ਨਿਓਸ II ਟੈਸਟ ਰੈਪਰ ਦੇ ਰੇਟ_ਸਵਿੱਚ ਰਜਿਸਟਰ ਵੈਲਯੂ ਤੋਂ ਆਉਟਪੁੱਟ ਦੇ ਅਧਾਰ 'ਤੇ ਡੇਟਾ ਰੇਟ ਸਵਿਚ ਕਰਨ ਲਈ ਜ਼ਿੰਮੇਵਾਰ ਹੈ। ਟੈਸਟ ਰੈਪਰ ਤੋਂ ਕਮਾਂਡ ਪ੍ਰਾਪਤ ਕਰਨ ਤੋਂ ਬਾਅਦ ਇਹ ਬਲਾਕ ਲੋੜੀਂਦੇ ਰਜਿਸਟਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਦਾ ਹੈ।

ਨੋਟ ਕਰੋ: ਇਹ ਬਲਾਕ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਮੌਜੂਦ ਨਹੀਂ ਹੈample Intel Arria 10 ਅਤੇ Intel Agilex 7 F-ਟਾਈਲ ਡਿਵਾਈਸਾਂ ਲਈ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।
ਸਿਸਟਮ ਕੰਸੋਲ
ਤੁਹਾਡੇ ਲਈ ਪਹਿਲੀ-ਪੱਧਰ ਦੀ ਡੀਬਗਿੰਗ ਕਰਨ ਅਤੇ IP ਦੀ ਸਥਿਤੀ, ਅਤੇ ਟ੍ਰੈਫਿਕ ਜਨਰੇਟਰਾਂ ਅਤੇ ਚੈਕਰਾਂ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ ਇੱਕ ਉਪਭੋਗਤਾ-ਅਨੁਕੂਲ ਇੰਟਰਫੇਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਡੈਮੋ ਕੰਟਰੋਲ
ਇਸ ਮੋਡੀਊਲ ਵਿੱਚ ਰੀਸੈਟ ਸਿੰਕ੍ਰੋਨਾਈਜ਼ਰ ਮੋਡੀਊਲ, ਅਤੇ ਸਿਸਟਮ ਡੀਬੱਗਿੰਗ ਅਤੇ ਸ਼ੁਰੂਆਤੀ ਪ੍ਰਕਿਰਿਆ ਲਈ ਇਨ-ਸਿਸਟਮ ਸੋਰਸ ਐਂਡ ਪ੍ਰੋਬ (ISSP) ਮੋਡੀਊਲ ਸ਼ਾਮਲ ਹਨ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • 25G ਈਥਰਨੈੱਟ Intel Stratix 10 FPGA IP ਉਪਭੋਗਤਾ ਗਾਈਡ
  • ਈ-ਟਾਈਲ ਹਾਰਡ IP ਯੂਜ਼ਰ ਗਾਈਡ
  • eCPRI Intel FPGA IP ਯੂਜ਼ਰ ਗਾਈਡ
  • 25G ਈਥਰਨੈੱਟ ਇੰਟੇਲ ਸਟ੍ਰੈਟਿਕਸ 10 FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Stratix 10 ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਈ-ਟਾਈਲ ਹਾਰਡ ਆਈ.ਪੀamples ਉਪਭੋਗਤਾ ਗਾਈਡ
  • Intel Stratix 10 L- ਅਤੇ H-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ PHY ਉਪਭੋਗਤਾ ਗਾਈਡ
  • ਈ-ਟਾਈਲ ਟ੍ਰਾਂਸਸੀਵਰ PHY ਉਪਭੋਗਤਾ ਗਾਈਡ
  • Intel Stratix 10 10GBASE-KR PHY IP ਯੂਜ਼ਰ ਗਾਈਡ
  • ਈ-ਟਾਈਲ ਹਾਰਡ ਆਈਪੀ ਇੰਟੇਲ ਐਜੀਲੈਕਸ ਡਿਜ਼ਾਈਨ ਐਕਸampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

2.3. ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample
eCPRI ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੱਕ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਤਿਆਰ ਕਰਦਾ ਹੈ files ਜੋ eCPRI Intel FPGA IP ਕੋਰ ਨੂੰ ਚਾਲੂ ਕਰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਸਿਮੂਲੇਸ਼ਨ ਜਾਂ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਚੁਣਦੇ ਹੋ।

ਚਿੱਤਰ 9. eCPRI Intel FPGA IP ਸਿਮੂਲੇਸ਼ਨ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 9

ਨੋਟ: ਨਿਓਸ II ਸਬ-ਸਿਸਟਮ ਬਲਾਕ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਵਿੱਚ ਮੌਜੂਦ ਨਹੀਂ ਹੈample Intel Arria 10 ਅਤੇ Intel Agilex 7 F-ਟਾਈਲ ਡਿਵਾਈਸਾਂ ਲਈ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।
ਇਸ ਡਿਜ਼ਾਈਨ ਵਿਚ ਸਾਬਕਾample, ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਮੁੱਢਲੀ ਕਾਰਜਸ਼ੀਲਤਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜਿਵੇਂ ਕਿ ਸਟਾਰਟਅਪ ਅਤੇ ਲਾਕ, ਟ੍ਰਾਂਸਮਿਟ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਉਡੀਕ ਕਰੋ।

ਸਫਲ ਟੈਸਟ ਰਨ ਹੇਠਾਂ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੀ ਪੁਸ਼ਟੀ ਕਰਦਾ ਆਉਟਪੁੱਟ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ:

  1. ਕਲਾਇੰਟ ਤਰਕ IP ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰਦਾ ਹੈ।
  2. ਕਲਾਇੰਟ ਤਰਕ RX ਡੇਟਾਪਾਥ ਅਲਾਈਨਮੈਂਟ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ।
  3. ਕਲਾਇੰਟ ਤਰਕ Avalon-ST ਇੰਟਰਫੇਸ 'ਤੇ ਪੈਕੇਟ ਪ੍ਰਸਾਰਿਤ ਕਰਦਾ ਹੈ।
  4. ਪੈਕਟਾਂ ਦੀ ਸਮੱਗਰੀ ਅਤੇ ਸ਼ੁੱਧਤਾ ਲਈ ਪ੍ਰਾਪਤ ਕਰੋ ਅਤੇ ਜਾਂਚ ਕਰੋ।
  5. "ਟੈਸਟ ਪਾਸ" ਸੁਨੇਹਾ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰੋ।

2.4 ਇੰਟਰਫੇਸ ਸਿਗਨਲ
ਸਾਰਣੀ 5. ਡਿਜ਼ਾਈਨ ਐਕਸample ਇੰਟਰਫੇਸ ਸਿਗਨਲ

ਸਿਗਨਲ ਦਿਸ਼ਾ ਵਰਣਨ
clk_ref ਇੰਪੁੱਟ ਈਥਰਨੈੱਟ MAC ਲਈ ਹਵਾਲਾ ਘੜੀ।
• Intel Stratix 10 E-tile, Intel Agilex 7 E-ਟਾਈਲ ਅਤੇ F-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ, E-ਟਾਈਲ ਈਥਰਨੈੱਟ ਹਾਰਡ IP ਕੋਰ ਜਾਂ F-ਟਾਈਲ ਈਥਰਨੈੱਟ ਹਾਰਡ IP ਕੋਰ ਲਈ 156.25 MHz ਕਲਾਕ ਇਨਪੁਟ। ਈਥਰਨੈੱਟ ਹਾਰਡ IP ਵਿੱਚ i_clk_ref[0] ਨਾਲ ਜੁੜੋ।
• Intel Stratix 10 H-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ, ਟ੍ਰਾਂਸਸੀਵਰ ATX PLL ਅਤੇ 322.2625G ਈਥਰਨੈੱਟ IP ਲਈ 25 MHz ਕਲਾਕ ਇਨਪੁਟ। ਟ੍ਰਾਂਸਸੀਵਰ ATX PLL ਵਿੱਚ pll_refclk0[0] ਅਤੇ 0G ਈਥਰਨੈੱਟ IP ਵਿੱਚ clk_ref[25] ਨਾਲ ਕਨੈਕਟ ਕਰੋ।
• Intel Arria 10 ਡਿਜ਼ਾਈਨ ਲਈ, Transceiver ATX PLL ਅਤੇ 322.265625G/ 1GbE ਅਤੇ 10GBase-KR PHY IP ਲਈ 10 MHz ਕਲਾਕ ਇਨਪੁਟ। ਟ੍ਰਾਂਸਸੀਵਰ ATX PLL ਵਿੱਚ pll_refclk0[0] ਅਤੇ 10G/ 0GbE ਅਤੇ 1G BASE-KR PHY IP ਵਿੱਚ rx_cdr_ref_clk_10g[10] ਨਾਲ ਜੁੜੋ।
tod_sync_sampling_clk ਇੰਪੁੱਟ Intel Arria 10 ਡਿਜ਼ਾਈਨ ਲਈ, TOD ਸਬ-ਸਿਸਟਮ ਲਈ 250 MHz ਕਲਾਕ ਇਨਪੁਟ।
clk100 ਇੰਪੁੱਟ ਪ੍ਰਬੰਧਨ ਘੜੀ. ਇਹ ਘੜੀ PTP ਲਈ latency_clk ਬਣਾਉਣ ਲਈ ਵਰਤੀ ਜਾਂਦੀ ਹੈ। 100 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ।
mgmt_reset_n ਇੰਪੁੱਟ Nios II ਸਿਸਟਮ ਲਈ ਸਿਗਨਲ ਰੀਸੈਟ ਕਰੋ।
tx_ਸੀਰੀਅਲ ਆਉਟਪੁੱਟ TX ਸੀਰੀਅਲ ਡਾਟਾ। 4 ਚੈਨਲਾਂ ਤੱਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
rx_ਸੀਰੀਅਲ ਇੰਪੁੱਟ RX ਸੀਰੀਅਲ ਡਾਟਾ। 4 ਚੈਨਲਾਂ ਤੱਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
iwf_cpri_ehip_ref_clk ਇੰਪੁੱਟ ਈ-ਟਾਈਲ CPRI PHY ਹਵਾਲਾ ਘੜੀ ਇਨਪੁਟ। ਇਹ ਘੜੀ ਸਿਰਫ਼ Intel Stratix 10 E-tile ਅਤੇ Intel ਵਿੱਚ ਮੌਜੂਦ ਹੈ
Agilex 7 ਈ-ਟਾਈਲ ਡਿਜ਼ਾਈਨ। 153.6 Gbps CPRI ਲਾਈਨ ਦਰ ਲਈ 9.8 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ।
iwf_cpri_pll_refclk0 ਆਉਟਪੁੱਟ CPRI TX PLL ਹਵਾਲਾ ਘੜੀ।
• Intel Stratix 10 H-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ: CPRI ਡਾਟਾ ਰੇਟ 307.2 Gbps ਲਈ 9.8 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ।
• Intel Stratix 10 E-tile ਅਤੇ Intel Agilex 7 E-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਲਈ: CPRI ਡਾਟਾ ਰੇਟ 156.25 Gbps ਲਈ 9.8 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ।
iwf_cpri_xcvr_cdr_refclk ਆਉਟਪੁੱਟ CPRI ਪ੍ਰਾਪਤਕਰਤਾ CDR ਹਵਾਲਾ ਘੜੀ। ਇਹ ਘੜੀ ਸਿਰਫ਼ Intel Stratix 10 H-ਟਾਈਲ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਮੌਜੂਦ ਹੈ।
307.2 Gbps CPRI ਲਾਈਨ ਦਰ ਲਈ 9.8 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ।
iwf_cpri_xcvr_txdataout ਆਉਟਪੁੱਟ ਸੀਪੀਆਰਆਈ ਸੀਰੀਅਲ ਡੇਟਾ ਪ੍ਰਸਾਰਿਤ ਕਰਦਾ ਹੈ। 4 ਚੈਨਲਾਂ ਤੱਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
iwf_cpri_xcvr_rxdatain ਆਉਟਪੁੱਟ ਸੀਪੀਆਰਆਈ ਰਿਸੀਵਰ ਸੀਰੀਅਲ ਡੇਟਾ। 4 ਚੈਨਲਾਂ ਤੱਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
cpri_gmii_clk ਇੰਪੁੱਟ CPRI GMII 125 MHz ਇਨਪੁਟ ਘੜੀ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
PHY ਇੰਟਰਫੇਸ ਸਿਗਨਲ
25G ਈਥਰਨੈੱਟ Intel FPGA IP ਦੇ PHY ਇੰਟਰਫੇਸ ਸਿਗਨਲਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦਾ ਹੈ।

2.5. ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਦਾ ਨਕਸ਼ਾ
ਹੇਠਾਂ eCPRI IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਰਜਿਸਟਰ ਮੈਪਿੰਗ ਹੈampLe:
ਸਾਰਣੀ 6. eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਮੈਪਿੰਗ

ਪਤਾ  ਰਜਿਸਟਰ ਕਰੋ
0x20100000 – 0x201FFFFF(2) IOPLL ਰੀ-ਸੰਰਚਨਾ ਰਜਿਸਟਰ।
0x20200000 - 0x203FFFFF ਈਥਰਨੈੱਟ MAC Avalon-MM ਰਜਿਸਟਰ
0x20400000 - 0x205FFFFF ਈਥਰਨੈੱਟ MAC ਨੇਟਿਵ PHY Avalon-MM ਰਜਿਸਟਰ
0x20600000 – 0x207FFFFF(2) ਨੇਟਿਵ PHY RS-FEC Avalon-MM ਰਜਿਸਟਰ।
0x40000000 - 0x5FFFFFFF eCPRI IP Avalon-MM ਰਜਿਸਟਰ
0x80000000 - 0x9FFFFFFF ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਟੈਸਟ ਜੇਨਰੇਟਰ/ਵੈਰੀਫਾਇਰ ਐਵਲੋਨ-ਐਮਐਮ ਰਜਿਸਟਰ

ਸਾਰਣੀ 7. Nios II ਰਜਿਸਟਰ ਮੈਪਿੰਗ
ਹੇਠਾਂ ਦਿੱਤੀ ਸਾਰਣੀ ਵਿੱਚ ਰਜਿਸਟਰ ਕੇਵਲ ਡਿਜ਼ਾਈਨ ਦੇ ਸਾਬਕਾ ਵਿੱਚ ਉਪਲਬਧ ਹਨampLe Intel Stratix 10 ਜਾਂ Intel Agilex 7 E-tile ਡਿਵਾਈਸਾਂ ਲਈ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।

ਪਤਾ  ਰਜਿਸਟਰ ਕਰੋ
0x00100000 - 0x001FFFFF IOPLL ਰੀ-ਸੰਰਚਨਾ ਰਜਿਸਟਰ
0x00200000 - 0x003FFFFF ਈਥਰਨੈੱਟ MAC Avalon-MM ਰਜਿਸਟਰ
0x00400000 - 0x005FFFFF ਈਥਰਨੈੱਟ MAC ਨੇਟਿਵ PHY Avalon-MM ਰਜਿਸਟਰ
0x00600000 - 0x007FFFFF ਨੇਟਿਵ PHY RS-FEC Avalon-MM ਰਜਿਸਟਰ

ਨੋਟ: ਤੁਸੀਂ ਬਾਈਟ ਆਫਸੈੱਟ ਦੀ ਬਜਾਏ ਵਰਡ ਆਫਸੈੱਟ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਈਥਰਨੈੱਟ MAC ਅਤੇ Ethernet MAC ਨੇਟਿਵ PHY AVMM ਰਜਿਸਟਰਾਂ ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕਦੇ ਹੋ।
ਈਥਰਨੈੱਟ MAC, ਈਥਰਨੈੱਟ MAC ਨੇਟਿਵ PHY, ਅਤੇ eCPRI IP ਕੋਰ ਰਜਿਸਟਰ ਦੇ ਨਕਸ਼ਿਆਂ ਬਾਰੇ ਵਿਸਤ੍ਰਿਤ ਜਾਣਕਾਰੀ ਲਈ, ਸੰਬੰਧਿਤ ਉਪਭੋਗਤਾ ਗਾਈਡਾਂ ਨੂੰ ਵੇਖੋ।

(2) ਸਿਰਫ਼ ਡਿਜ਼ਾਈਨ ਵਿਚ ਉਪਲਬਧ ਹੈampLe Intel Stratix 10 ਅਤੇ Intel Agilex 7 E-tile ਡਿਵਾਈਸਾਂ ਲਈ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ।

ਸਾਰਣੀ 8. eCPRI Intel FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਦਾ ਨਕਸ਼ਾ

ਸ਼ਬਦ ਔਫਸੈੱਟ  ਰਜਿਸਟਰ ਦੀ ਕਿਸਮ  ਪੂਰਵ-ਨਿਰਧਾਰਤ ਮੁੱਲ  ਪਹੁੰਚ ਦੀ ਕਿਸਮ
0x0 ਡਾਟਾ ਭੇਜਣਾ ਸ਼ੁਰੂ ਕਰੋ:
• ਬਿੱਟ 1: PTP, ਗੈਰ-PTP ਕਿਸਮ
• ਬਿੱਟ 0: eCPRI ਕਿਸਮ
0x0 RW
0x1 ਲਗਾਤਾਰ ਪੈਕੇਟ ਯੋਗ 0x0 RW
0x2 ਸਾਫ਼ ਗਲਤੀ 0x0 RW
0x3 (3) ਰੇਟ ਸਵਿੱਚ:
• ਬਿੱਟ [7] - ਟਾਇਲ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ:
- 1'b0: H-ਟਾਈਲ
— 1'b1: ਈ-ਟਾਈਲ
• ਬਿੱਟ [6:4]- ਈਥਰਨੈੱਟ ਡਾਟਾ ਰੇਟ ਬਦਲਣ ਦਾ ਸੰਕੇਤ ਦਿੰਦਾ ਹੈ:
— 3'b000: 25G ਤੋਂ 10G
— 3'b001: 10G ਤੋਂ 25G
• ਬਿੱਟ [0]- ਸਵਿੱਚ ਰੇਟ ਸਮਰੱਥ। ਇਸ ਬਿੱਟ 0 ਨੂੰ ਸੈਟ ਕਰਨ ਦੀ ਲੋੜ ਹੈ ਅਤੇ ਜਦੋਂ ਤੱਕ ਬਿਟ 0 ਰੇਟ ਸਵਿਚ ਕਰਨ ਲਈ ਸਪਸ਼ਟ ਨਹੀਂ ਹੁੰਦਾ ਹੈ।
ਨੋਟ: ਇਹ ਰਜਿਸਟਰ Intel Agilex 7 F-tile ਅਤੇ Intel Arria 10 ਡਿਜ਼ਾਈਨਾਂ ਲਈ ਉਪਲਬਧ ਨਹੀਂ ਹੈ।
• ਈ-ਟਾਈਲ: 0x80
• H-ਟਾਈਲ: 0x0
RW
0x4 (3) ਰੇਟ ਸਵਿੱਚ ਹੋ ਗਿਆ:
• ਬਿੱਟ [1] ਦਰ ਸਵਿਚਿੰਗ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
0x0 RO
0x5 (4) ਸਿਸਟਮ ਸੰਰਚਨਾ ਸਥਿਤੀ:
• ਬਿੱਟ [31]: ਸਿਸਟਮ ਤਿਆਰ ਹੈ
• ਬਿੱਟ [30]: IWF_EN
• ਬਿੱਟ [29]: STARTUP_SEQ_EN
• ਬਿੱਟ [28:4]: ਰਿਜ਼ਰਵਡ
• ਬਿੱਟ [3]: EXT_PACKET_EN
• ਬਿੱਟ [2:0]: ਰਿਜ਼ਰਵਡ
0x0 RO
0x6 (4) ਸੀਪੀਆਰਆਈ ਗੱਲਬਾਤ ਪੂਰੀ ਹੋਈ:
• ਬਿੱਟ [3:0]: ਬਿੱਟ ਰੇਟ ਪੂਰਾ
• ਬਿੱਟ [19:16]: ਪ੍ਰੋਟੋਕੋਲ ਪੂਰਾ
0x0 RW
0x7 (4) ਸੀਪੀਆਰਆਈ ਗੱਲਬਾਤ ਪੂਰੀ ਹੋਈ:
• ਬਿੱਟ [3:0]: ਤੇਜ਼ C&M ਪੂਰਾ
• ਬਿੱਟ [19:16]: ਤੇਜ਼ VSS ਸੰਪੂਰਨ
0x0 RW
0x8 - 0x1F ਰਾਖਵਾਂ.
0x20 eCPRI ਗਲਤੀ ਰੁਕਾਵਟ:
• ਬਿੱਟ [0] ਰੁਕਾਵਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।
0x0 RO
0x21 ਬਾਹਰੀ ਪੈਕੇਟ ਗਲਤੀ 0x0 RO
0x22 ਬਾਹਰੀ PTP ਪੈਕੇਟ TX ਪੈਕੇਟ ਦੀ ਸ਼ੁਰੂਆਤ (SOP) ਗਿਣਤੀ 0x0 RO
0x23 ਬਾਹਰੀ PTP ਪੈਕੇਟ TX ਪੈਕੇਟ ਦਾ ਅੰਤ (EOP) ਗਿਣਤੀ 0x0 RO
0x24 ਬਾਹਰੀ ਫੁਟਕਲ ਪੈਕੇਟ TX SOP ਗਿਣਤੀ 0x0 RO
0x25 ਬਾਹਰੀ ਫੁਟਕਲ ਪੈਕੇਟ TX EOP ਗਿਣਤੀ 0x0 RO
0x26 ਬਾਹਰੀ RX ਪੈਕੇਟ SOP ਗਿਣਤੀ 0x0 RO
0x27 ਬਾਹਰੀ RX ਪੈਕੇਟ EOP ਗਿਣਤੀ 0x0 RO
0x28 ਬਾਹਰੀ ਪੈਕੇਟ ਗਲਤੀ ਗਿਣਤੀ 0x0 RO
0x29 - 0x2C ਰਾਖਵਾਂ.
0x2D ਬਾਹਰੀ PTP ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਅਸ਼ੁੱਧੀ ਗਿਣਤੀ 0x0 RO
0x2E ਬਾਹਰੀ PTP ਟਾਈਮਸਟamp ਫਿੰਗਰਪ੍ਰਿੰਟ ਗੜਬੜ 0x0 RO
0x2F ਬਾਹਰੀ Rx ਗਲਤੀ ਸਥਿਤੀ 0x0 RO
0x30 – 0x47 ਰਾਖਵਾਂ.
0x48 eCPRI ਪੈਕੇਟ ਗਲਤੀ RO
0x49 eCPRI TX SOP ਗਿਣਤੀ RO
0x4A eCPRI TX EOP ਗਿਣਤੀ RO
0x4B eCPRI RX SOP ਗਿਣਤੀ RO
0x4 ਸੀ eCPRI RX EOP ਗਿਣਤੀ RO
0x4D eCPRI ਪੈਕੇਟ ਗਲਤੀ ਗਿਣਤੀ RO

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • ਨਿਯੰਤਰਣ, ਸਥਿਤੀ, ਅਤੇ ਅੰਕੜੇ ਰਜਿਸਟਰ ਵਰਣਨ
    25G ਈਥਰਨੈੱਟ ਸਟ੍ਰੈਟਿਕਸ 10 FPGA IP ਲਈ ਜਾਣਕਾਰੀ ਰਜਿਸਟਰ ਕਰੋ
  • ਮੁੜ ਸੰਰਚਨਾ ਅਤੇ ਸਥਿਤੀ ਰਜਿਸਟਰ
    ਵਰਣਨ ਈਥਰਨੈੱਟ ਲਈ ਈ-ਟਾਈਲ ਹਾਰਡ IP ਲਈ ਜਾਣਕਾਰੀ ਰਜਿਸਟਰ ਕਰੋ
  • ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
    eCPRI Intel FPGA IP ਲਈ ਜਾਣਕਾਰੀ ਰਜਿਸਟਰ ਕਰੋ

eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਯੂਜ਼ਰ ਗਾਈਡ ਆਰਕਾਈਵਜ਼

ਇਸ ਉਪਭੋਗਤਾ ਗਾਈਡ ਦੇ ਨਵੀਨਤਮ ਅਤੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਲਈ, eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਐਕਸ ਵੇਖੋample ਯੂਜ਼ਰ ਗਾਈਡ HTML ਸੰਸਕਰਣ. ਸੰਸਕਰਣ ਚੁਣੋ ਅਤੇ ਡਾਉਨਲੋਡ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਜੇਕਰ ਇੱਕ IP ਜਾਂ ਸੌਫਟਵੇਅਰ ਸੰਸਕਰਣ ਸੂਚੀਬੱਧ ਨਹੀਂ ਹੈ, ਤਾਂ ਪਿਛਲੇ IP ਜਾਂ ਸੌਫਟਵੇਅਰ ਸੰਸਕਰਣ ਲਈ ਉਪਭੋਗਤਾ ਗਾਈਡ ਲਾਗੂ ਹੁੰਦਾ ਹੈ।

eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ Intel Quartus
ਪ੍ਰਧਾਨ ਸੰਸਕਰਣ
IP ਸੰਸਕਰਣ ਤਬਦੀਲੀਆਂ
2023.05.19 23.1 2.0.3 • ਸਿਮੂਲੇਟਿੰਗ ਦਿ ਡਿਜ਼ਾਈਨ ਨੂੰ ਅਪਡੇਟ ਕੀਤਾ ਐਕਸampਤਤਕਾਲ ਸ਼ੁਰੂਆਤ ਗਾਈਡ ਚੈਪਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਸੈਕਸ਼ਨ।
• ਉਤਪਾਦ ਦੇ ਪਰਿਵਾਰਕ ਨਾਮ ਨੂੰ "Intel Agilex 7" ਵਿੱਚ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ।
2022.11.15 22.3 2.0.1 ਸੈਕਸ਼ਨ ਵਿੱਚ VCS ਸਿਮੂਲੇਟਰ ਲਈ ਅੱਪਡੇਟ ਕੀਤੀਆਂ ਹਦਾਇਤਾਂ: ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚ।
2022.07.01 22.1 1.4.1 • ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸ਼ਾਮਲ ਕੀਤਾ ਗਿਆ ਹੈampIntel Agilex 7 F-ਟਾਈਲ ਡਿਵਾਈਸ ਭਿੰਨਤਾਵਾਂ ਲਈ ਸਮਰਥਨ.
• ਨਿਮਨਲਿਖਤ ਵਿਕਾਸ ਕਿੱਟਾਂ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ:
— Intel Agilex 7 I-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਕਿੱਟ
— Intel Agilex 7 I-ਸੀਰੀਜ਼ ਟ੍ਰਾਂਸਸੀਵਰ-SoC ਵਿਕਾਸ ਕਿੱਟ
• QuestaSim ਸਿਮੂਲੇਟਰ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
• ModelSim* SE ਸਿਮੂਲੇਟਰ ਲਈ ਸਮਰਥਨ ਹਟਾਇਆ ਗਿਆ।
2021.10.01 21.2 1.3.1 • Intel Agilex 7 F-ਟਾਈਲ ਡਿਵਾਈਸਾਂ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
• ਮਲਟੀ-ਚੈਨਲ ਡਿਜ਼ਾਈਨ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
• ਅੱਪਡੇਟ ਕੀਤੀ ਸਾਰਣੀ: eCPRI Intel FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਦਾ ਨਕਸ਼ਾ.
• NCSim ਸਿਮੂਲੇਟਰ ਲਈ ਸਮਰਥਨ ਹਟਾਇਆ ਗਿਆ।
2021.02.26 20.4 1.3.0 • Intel Agilex 7 E-tile ਡਿਵਾਈਸਾਂ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
2021.01.08 20.3 1.2.0 • eCPRI Intel Stratix 10 FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਤੋਂ ਦਸਤਾਵੇਜ਼ ਦਾ ਸਿਰਲੇਖ ਬਦਲਿਆ ਗਿਆ ਹੈampਲਈ ਉਪਭੋਗਤਾ ਗਾਈਡ
eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ।
• Intel Arria 10 ਡਿਜ਼ਾਈਨ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
• eCPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਹੁਣ ਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਵਿਸ਼ੇਸ਼ਤਾ ਸਮਰਥਨ ਨਾਲ ਉਪਲਬਧ ਹੈ।
• ਸਪੱਸ਼ਟ ਕਰਨ ਲਈ ਇੱਕ ਨੋਟ ਜੋੜਿਆ ਗਿਆ ਹੈ ਕਿ eCPRI ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample IWF ਫੀਚਰ ਨਾਲ ਸਿਰਫ 9.8 Gbps CPRI ਲਈ ਉਪਲਬਧ ਹੈ
ਲਾਈਨ ਬਿੱਟ ਦਰ.
• ਡਿਜ਼ਾਇਨ ਤਿਆਰ ਕਰਦੇ ਸਮੇਂ ਡਿਜ਼ਾਇਨ ਤਿਆਰ ਕਰਨਾ ਸੈਕਸ਼ਨ ਵਿੱਚ ਸ਼ਰਤਾਂ ਜੋੜੀਆਂ ਗਈਆਂampਨਾਲ le
ਇੰਟਰਵਰਕਿੰਗ ਫੰਕਸ਼ਨ (IWF) ਸਪੋਰਟ ਪੈਰਾਮੀਟਰ ਸਮਰਥਿਤ ਹੈ।
• ਜੋੜਿਆ ਗਿਆ ਐੱਸampਸੈਕਸ਼ਨ ਸਿਮੂਲੇਟਿੰਗ ਦਿ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਮਰੱਥ IWF ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ le ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਰਨ ਆਉਟਪੁੱਟ
Exampਲੇ ਟੈਸਟਬੈਂਚ।
• ਈਥਰਨੈੱਟ IP ਵਿੱਚ ਗਤੀਸ਼ੀਲ ਪੁਨਰ-ਸੰਰਚਨਾ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ ਨਵਾਂ ਭਾਗ ਜੋੜਿਆ ਗਿਆ।
• ਅੱਪਡੇਟ ਕੀਤਾ ਹਾਰਡਵੇਅਰ ਟੈਸਟ ਐੱਸampਭਾਗ ਵਿੱਚ le ਆਉਟਪੁੱਟ
eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨਾ ਸਾਬਕਾample.
2020.06.15 20.1 1.1.0 • 10G ਡਾਟਾ ਦਰ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
• flow.c file ਹੁਣ ਡਿਜ਼ਾਈਨ ਐਕਸ ਦੇ ਨਾਲ ਉਪਲਬਧ ਹੈampਲੂਪਬੈਕ ਮੋਡ ਚੁਣਨ ਲਈ le ਪੀੜ੍ਹੀ।
• ਐੱਸ ਨੂੰ ਸੋਧਿਆampਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਲਈ le ਆਉਟਪੁੱਟ ਸੈਕਸ਼ਨ ਵਿੱਚ ਚਲਾਏਗੀ ਡਿਜ਼ਾਈਨ ਦੀ ਸਿਮੂਲੇਟਿੰਗ ਐਕਸampਲੇ ਟੈਸਟਬੈਂਚ।
• ਕੰਪਾਈਲਿੰਗ ਅਤੇ ਕੌਂਫਿਗਰਿੰਗ ਸੈਕਸ਼ਨ ਵਿੱਚ 10G ਡੇਟਾ ਰੇਟ ਡਿਜ਼ਾਈਨ ਨੂੰ ਚਲਾਉਣ ਲਈ ਬਾਰੰਬਾਰਤਾ ਮੁੱਲ ਜੋੜਿਆ ਗਿਆ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਹਾਰਡਵੇਅਰ ਵਿੱਚ le.
• eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਵਾਲੇ ਭਾਗ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਬਦਲਾਅ ਕੀਤੇ ਗਏ ਹਨampLe:
- 10G ਅਤੇ 25G ਵਿਚਕਾਰ ਡਾਟਾ ਦਰ ਨੂੰ ਬਦਲਣ ਲਈ ਕਮਾਂਡਾਂ ਸ਼ਾਮਲ ਕੀਤੀਆਂ ਗਈਆਂ ਹਨ
- ਸ਼ਾਮਲ ਕੀਤਾ ਗਿਆampਡਾਟਾ ਰੇਟ ਸਵਿਚਿੰਗ ਲਈ le ਆਉਟਪੁੱਟ
— ਈ-ਟਾਈਲ ਡਿਵਾਈਸ ਪਰਿਵਰਤਨ ਵਿੱਚ ਲੂਪਬੈਕ ਦੀ ਚੋਣ ਕਰਨ ਲਈ TEST_MODE ਵੇਰੀਏਬਲ ਜਾਣਕਾਰੀ ਸ਼ਾਮਲ ਕੀਤੀ ਗਈ।
• ਸੋਧਿਆ eCPRI Intel FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਨਵਾਂ ਸ਼ਾਮਲ ਕਰਨ ਲਈ ਉੱਚ ਪੱਧਰੀ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ
ਬਲਾਕ.
• ਅੱਪਡੇਟ ਕੀਤੀ ਸਾਰਣੀ: ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੰਟਰਫੇਸ ਸਿਗਨਲ ਨਵਾਂ ਸਿਗਨਲ ਸ਼ਾਮਲ ਕਰਨ ਲਈ।
• ਅੱਪਡੇਟ ਕੀਤਾ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਨਕਸ਼ਾ ਭਾਗ.
• ਨਵਾਂ ਅੰਤਿਕਾ ਭਾਗ ਜੋੜਿਆ ਗਿਆ: ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਅਤੇ ਲਿੰਕਿੰਗ ਫਾਰਮੈਟ (.elf) ਪ੍ਰੋਗਰਾਮਿੰਗ ਬਣਾਉਣਾ ਅਤੇ ਡਾਊਨਲੋਡ ਕਰਨਾ File .
2020.04.13 19.4 1.1.0 ਸ਼ੁਰੂਆਤੀ ਰੀਲੀਜ਼।

A. ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਅਤੇ ਲਿੰਕਿੰਗ ਫਾਰਮੈਟ (.elf) ਪ੍ਰੋਗਰਾਮਿੰਗ ਨੂੰ ਤਿਆਰ ਕਰਨਾ ਅਤੇ ਡਾਊਨਲੋਡ ਕਰਨਾ File

ਇਹ ਭਾਗ ਦੱਸਦਾ ਹੈ ਕਿ .elf ਨੂੰ ਕਿਵੇਂ ਬਣਾਉਣਾ ਅਤੇ ਡਾਊਨਲੋਡ ਕਰਨਾ ਹੈ file ਬੋਰਡ ਨੂੰ:

  1. ਡਾਇਰੈਕਟਰੀ ਨੂੰ ਇਸ ਵਿੱਚ ਬਦਲੋample_dir>/ਸਿੰਥੇਸਿਸ/ਕੁਆਟਸ।
  2. Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਓਪਨ ਪ੍ਰੋਜੈਕਟ ਤੇ ਕਲਿਕ ਕਰੋ ਅਤੇ ਓਪਨ ਕਰੋample_dir>/synthesis/quartus/epri_ed.qpf. ਹੁਣ Eclipse ਲਈ Tools ➤ Nios II ਸਾਫਟਵੇਅਰ ਬਿਲਡ ਟੂਲ ਚੁਣੋ।
    ਚਿੱਤਰ 10. ਈਲੈਪਸ ਲਈ Nios II ਸਾਫਟਵੇਅਰ ਬਿਲਡ ਟੂਲeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 10
  3. ਵਰਕਸਪੇਸ ਲਾਂਚਰ ਵਿੰਡੋ ਪ੍ਰੋਂਪਟ ਦਿਸਦਾ ਹੈ। ਵਰਕਸਪੇਸ ਵਿੱਚ ਮਾਰਗ ਨੂੰ ਇਸ ਤਰ੍ਹਾਂ ਦਿਓample_dir>/synthesis/quatus ਤੁਹਾਡੇ ਈਲੈਪਸ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਸਟੋਰ ਕਰਨ ਲਈ। ਨਵੀਂ Nios II – Eclipse ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
    ਚਿੱਤਰ 11. ਵਰਕਸਪੇਸ ਲਾਂਚਰ ਵਿੰਡੋeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 11
  4. ਨਿਓਸ II - ਈਲੈਪਸ ਵਿੰਡੋ ਵਿੱਚ, ਪ੍ਰੋਜੈਕਟ ਐਕਸਪਲੋਰਰ ਟੈਬ ਦੇ ਹੇਠਾਂ ਸੱਜਾ-ਕਲਿਕ ਕਰੋ, ਅਤੇ ਨਵਾਂ ➤ ਨਿਓਸ II ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਚੁਣੋ। ਨਵੀਂ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
    ਚਿੱਤਰ 12. ਪ੍ਰੋਜੈਕਟ ਐਕਸਪਲੋਰਰ ਟੈਬeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 12
  5. Nios II ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਵਿੰਡੋ ਵਿੱਚ:
    • ਪ੍ਰੋਜੈਕਟ ਨਾਮ ਪੈਰਾਮੀਟਰ ਵਿੱਚ, ਆਪਣਾ ਲੋੜੀਂਦਾ ਪ੍ਰੋਜੈਕਟ ਨਾਮ ਦਿਓ।
    • SOPC ਜਾਣਕਾਰੀ ਵਿੱਚ File ਨਾਮ ਪੈਰਾਮੀਟਰ, ਦੀ ਸਥਿਤੀ ਨੂੰ ਬ੍ਰਾਊਜ਼ ਕਰੋample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. ਕਲਿਕ ਕਰੋ ਮੁਕੰਮਲ.
    ਚਿੱਤਰ 13. Nios II ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਵਿੰਡੋeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 13
  6. ਨਵਾਂ ਬਣਾਇਆ ਪ੍ਰੋਜੈਕਟ ਨਿਓਸ II ਈਲੈਪਸ ਵਿੰਡੋ ਵਿੱਚ ਪ੍ਰੋਜੈਕਟ ਐਕਸਪਲੋਰਰ ਟੈਬ ਦੇ ਹੇਠਾਂ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ। ਪ੍ਰੋਜੈਕਟ ਐਕਸਪਲੋਰਰ ਟੈਬ ਦੇ ਹੇਠਾਂ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਨਿਓਸ II ➤ ਨਿਓਸ II ਕਮਾਂਡ ਸ਼ੈੱਲ ਦੀ ਚੋਣ ਕਰੋ।
    ਚਿੱਤਰ 14. ਪ੍ਰੋਜੈਕਟ ਐਕਸਪਲੋਰਰ- ਨਿਓਸ II ਕਮਾਂਡ ਸ਼ੈੱਲeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਚਿੱਤਰ 14
  7. ਨਿਓਸ II ਕਮਾਂਡ ਸ਼ੈੱਲ ਵਿੱਚ, ਹੇਠ ਲਿਖੀਆਂ ਤਿੰਨ ਕਮਾਂਡਾਂ ਟਾਈਪ ਕਰੋ: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir ਐਪ –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. .elf file ਹੇਠ ਦਿੱਤੇ ਸਥਾਨ 'ਤੇ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਹੈ:ample_dir>/ ਸੰਸਲੇਸ਼ਣ/ip_components/software/ /ਐਪ.
  9. ਬੋਰਡ ਵਿੱਚ .elf ਨੂੰ ਡਾਊਨਲੋਡ ਕਰਨ ਲਈ Nios II ਕਮਾਂਡ ਸ਼ੈੱਲ ਵਿੱਚ ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਟਾਈਪ ਕਰੋ:
    • Intel Stratix 10 ਲਈ: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
    • Intel Agilex 7 ਲਈ: nios2-ਡਾਊਨਲੋਡ -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

Intel ਲੋਗੋeCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਆਈਕਨ ਆਨਲਾਈਨ ਵਰਜਨ
eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ - ਆਈਕਨ 1 ਫੀਡਬੈਕ ਭੇਜੋ
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ

Intel eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ [pdf] ਯੂਜ਼ਰ ਗਾਈਡ
eCPRI Intel FPGA IP ਡਿਜ਼ਾਈਨ, eCPRI, Intel FPGA IP ਡਿਜ਼ਾਈਨ, FPGA IP ਡਿਜ਼ਾਈਨ, IP ਡਿਜ਼ਾਈਨ, ਡਿਜ਼ਾਈਨ

ਹਵਾਲੇ

ਇੱਕ ਟਿੱਪਣੀ ਛੱਡੋ

ਤੁਹਾਡਾ ਈਮੇਲ ਪਤਾ ਪ੍ਰਕਾਸ਼ਿਤ ਨਹੀਂ ਕੀਤਾ ਜਾਵੇਗਾ। ਲੋੜੀਂਦੇ ਖੇਤਰਾਂ ਨੂੰ ਚਿੰਨ੍ਹਿਤ ਕੀਤਾ ਗਿਆ ਹੈ *