eCPRI Intel® FPGA IP ڊيزائن
Exampلي يوزر گائيڊ
Intel® لاءِ اپڊيٽ ڪيو ويو
Quartus®
پرائم ڊيزائن سوٽ: 23.1
IP ورزن: 2.0.3
تڪڙو شروع ھدايت
وڌايل ڪمن پبلڪ ريڊيو انٽرفيس (eCPRI) Intel® FPGA IP core eCPRI وضاحتي ورزن 2.0 کي لاڳو ڪري ٿو. eCPRI Intel FPGA IP مهيا ڪري ٿو هڪ تخليق ٽيسٽ بينچ ۽ هڪ هارڊويئر ڊيزائن اڳample جيڪو تاليف ۽ هارڊويئر ٽيسٽ کي سپورٽ ڪري ٿو. جڏهن توهان ڊزائين ٺاهي رهيا آهيو example، پيٽرولر ايڊيٽر پاڻمرادو ٺاهي ٿو files ضروري آھي ته ٺاھڻ، ٺاھڻ، ۽ جانچڻ لاءِ ڊيزائن exampهارڊويئر ۾.
مرتب ٿيل هارڊويئر ڊيزائن Exampتي هلندو آهي:
- Intel Agilex™ 7 I-Series FPGA ڊولپمينٽ کٽ
- Intel Agilex 7 I-Series Transceiver-SoC ڊولپمينٽ کٽ
- Intel Agilex 7 F-Series Transceiver-SoC ڊولپمينٽ کٽ
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit for H-Tile Design ex.amples
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit for E-Tile Design ex.amples
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
Intel مهيا ڪري ٿو هڪ تاليف-صرف example پروجيڪٽ جيڪو توهان استعمال ڪري سگهو ٿا تڪڙو اندازو لڳائڻ لاءِ IP ڪور ايريا ۽ وقت.
ٽيسٽ بينچ ۽ ڊيزائن اڳوڻيample سپورٽ ڪري ٿو 25G ۽ 10G ڊيٽا جي شرحن لاءِ Intel Stratix 10 H-Tile or E-Tile and Intel Agilex 7 E-Tile or F-Tile device variations for eCPRI IP.
نوٽ: eCPRI IP ڊيزائن اڳوڻيample with interworking function (IWF) موجوده رليز ۾ صرف 9.8 Gbps CPRI لائن بٽ ريٽ لاءِ موجود آهي.
نوٽ: eCPRI IP ڊيزائن اڳوڻيample Intel Arria 10 ڊيزائن ۾ 10G ڊيٽا جي شرح لاءِ متحرڪ بحالي جي حمايت نٿو ڪري.
eCPRI Intel FPGA IP ڪور ڊيزائن اڳوڻيampهيٺ ڏنل خاصيتن کي سپورٽ ڪري ٿو:
- اندروني TX کان RX سيريل لوپ بڪ موڊ
- ٽريفڪ جنريٽر ۽ چيڪ ڪندڙ
- بنيادي پيڪيٽ جي چڪاس جي صلاحيتون
- ڊيزائن کي هلائڻ لاءِ سسٽم ڪنسول استعمال ڪرڻ جي صلاحيت ۽ ٻيهر ٽيسٽ جي مقصد لاءِ ڊيزائن کي ري سيٽ ڪريو
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪابه ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ پروڊڪٽس يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن. * ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
ISO 9001:2015 رجسٽر ٿيل
شڪل 1. ڊيزائن جي ترقي لاء قدم Example
لاڳاپيل معلومات
- eCPRI Intel FPGA IP يوزر گائيڊ
- eCPRI Intel FPGA IP رليز نوٽس
1.1. هارڊويئر ۽ سافٽ ويئر گهرجون
اڳوڻي کي جانچڻ لاءampلي ڊيزائن، هيٺ ڏنل هارڊويئر ۽ سافٽ ويئر استعمال ڪريو:
- Intel Quartus® Prime Pro Edition سافٽ ويئر ورزن 23.1
- سسٽم ڪنسول
- سپورٽ ٿيل سموليٽر:
- سيمينس * EDA QuestaSim*
- Synopsys * VCS *
- Synopsys VCS MX
- Aldec* Riviera-PRO*
- Cadence * Xcelium * - ترقي کٽ:
- Intel Agilex 7 I-Series FPGA ڊولپمينٽ کٽ
- Intel Agilex 7 I-Series Transceiver-SoC ڊولپمينٽ کٽ
- Intel Agilex 7 F-Series Transceiver-SoC ڊولپمينٽ کٽ
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit for H-Tile Device Variation Design example
- Intel Stratix 10 TX Transceiver Signal Integrity Development for E-Tile Device Variation Design ex.ample
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit
لاڳاپيل معلومات
- Intel Agilex 7 I-Series FPGA ڊولپمينٽ کٽ يوزر گائيڊ
- Intel Agilex 7 I-Series Transceiver-SoC ڊولپمينٽ کٽ يوزر گائيڊ
- Intel Agilex 7 F-Series Transceiver-SoC ڊولپمينٽ کٽ يوزر گائيڊ
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit User Guide
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide
1.2. ڊيزائن ٺاهڻ
شرط: هڪ دفعو توهان حاصل ڪيو اي سي پي آر web-core IP، محفوظ ڪريو web- مقامي علائقي ڏانهن ڪور انسٽالر. ونڊوز / لينڪس سان انسٽالر کي هلايو. جڏهن اشارو ڪيو ويو، انسٽال ڪريو webانٽيل ڪوارٽس پرائم فولڊر جي طور تي ساڳيو هنڌ.
eCPRI Intel FPGA IP هاڻي IP Catalog ۾ ظاهر ٿئي ٿو.
جيڪڏهن توهان وٽ اڳ ۾ ئي نه آهي Intel Quartus Prime Pro Edition پروجيڪٽ جنهن ۾ توهان جي eCPRI Intel FPGA IP ڪور کي ضم ڪرڻ لاءِ، توهان کي هڪ ٺاهڻ گهرجي.
- Intel Quartus Prime Pro Edition سافٽ ويئر ۾، ڪلڪ ڪريو File ➤ نئون پروجيڪٽ مددگار نئون Intel Quartus Prime پروجيڪٽ ٺاهڻ لاءِ، يا ڪلڪ ڪريو File ➤ اوپن پروجيڪٽ هڪ موجوده Intel Quartus Prime پروجيڪٽ کي کولڻ لاءِ. جادوگر توهان کي هڪ ڊوائيس بيان ڪرڻ لاء اشارو ڏئي ٿو.
- ڊوائيس خاندان جي وضاحت ڪريو ۽ ھڪڙو ڊوائيس جيڪو رفتار جي گريڊ جي ضرورتن کي پورو ڪري ٿو.
- ڪلڪ ڪريو ختم.
- IP Catalog ۾، ڳوليو ۽ ڊبل ڪلڪ ڪريو eCPRI Intel FPGA IP. نئين IP مختلف ونڊو ظاهر ٿئي ٿي.
اي سي پي آر آئي پي هارڊويئر ڊيزائن ٺاهڻ لاءِ انهن قدمن تي عمل ڪريو اڳampلي ۽ ٽيسٽ بينچ:
- IP Catalog ۾، ڳوليو ۽ ڊبل ڪلڪ ڪريو eCPRI Intel FPGA IP. نئين IP مختلف ونڊو ظاهر ٿئي ٿي.
- OK تي ڪلڪ ڪريو. پراميٽر ايڊيٽر ظاهر ٿئي ٿو.
شڪل 2. ExampeCPRI Intel FPGA IP پيٽرولر ايڊيٽر ۾ لي ڊيزائن ٽيب - هڪ اعلي سطحي نالو بيان ڪريو توهان جي ڪسٽم IP تبديلين لاء. پيٽرولر ايڊيٽر محفوظ ڪري ٿو IP مختلف سيٽنگون a file نالو .ip.
- OK تي ڪلڪ ڪريو. پراميٽر ايڊيٽر ظاهر ٿئي ٿو.
- جنرل ٽئب تي، وضاحت ڪريو پيٽرولر توهان جي IP بنيادي تبديلي لاءِ.
نوٽ: • توهان کي eCPRI IP پيٽرول ايڊيٽر ۾ اسٽريمنگ پيراميٽر کي آن ڪرڻ گهرجي جڏهن توهان ڊيزائن ٺاهيampلي انٽر ورڪنگ فنڪشن (IWF) سپورٽ پيٽرولر سان فعال،
• توهان کي سي پي آر آئي لائن بٽ ريٽ (Gbit/s) ٻين کي مقرر ڪرڻ گهرجي جڏهن ڊيزائن ٺاهي رهيا آهيو ex.ample سان Interworking Function (IWF) سپورٽ پيٽرولر فعال. - تي Exampلي ڊيزائن ٽيب، ٽيسٽ بينچ پيدا ڪرڻ لاءِ تخليق جو اختيار چونڊيو، هارڊويئر تيار ڪرڻ لاءِ سنٿيسس آپشن چونڊيوampلي ڊيزائن، ۽ ٽيسٽ بينچ ۽ هارڊويئر ڊيزائن ٻنهي کي پيدا ڪرڻ لاءِ سنٿيسس ۽ سموليشن آپشن چونڊيوampلي.
- مٿين سطح جي تخليق لاءِ ٻولي لاءِ file, چونڊيو Verilog يا VHDL.
نوٽ: هي اختيار صرف موجود آهي جڏهن توهان چونڊيو سموليشن آپشن پنهنجي اڳوڻي لاءِampلي ڊيزائن. - ٻوليءَ لاءِ اعليٰ سطحي ترڪيب لاءِ file, چونڊيو Verilog يا VHDL.
نوٽ: هي اختيار صرف تڏهن موجود آهي جڏهن توهان پنهنجي اڳوڻي لاءِ Synthesis آپشن کي چونڊيوampلي ڊيزائن. - چينلن جي تعداد لاءِ، توھان داخل ڪري سگھوٿا چينلن جو تعداد (1 کان 4) توھان جي ڊيزائن لاءِ. ڊفالٽ قدر 1 آهي.
- ڪلڪ Generate Exampلي ڊيزائن. منتخب ڪريو Exampلي ڊيزائن ڊاريڪٽري ونڊو ظاهر ٿئي ٿي.
- جيڪڏهن توهان ڊزائن کي تبديل ڪرڻ چاهيو ٿا example ڊاريڪٽري جو رستو يا نالو ڏيکاريل ڊفالٽ مان (ecpri_0_testbench)، براؤز ڪريو نئين رستي تي ۽ ٽائيپ ڪريو نئين ڊيزائن ex.ample ڊاريڪٽري جو نالو.
- OK تي ڪلڪ ڪريو.
لاڳاپيل معلومات
eCPRI Intel FPGA IP يوزر گائيڊ
1.3. ڊاريڪٽري جي جوڙجڪ
اي سي پي آر آئي پي ڪور ڊيزائن اڳوڻيample file ڊاريڪٽري هيٺ ڏنل ٺاهيل تي مشتمل آهي files ڊزائينز لاءِ exampلي.
شڪل 3. ٺاهيل Ex جي ڊائريڪٽري جي جوڙجڪampلي ڊزائن
نوٽ:
- صرف Intel Arria 10 IP ڊيزائن ۾ موجود آهيampتبديلي.
- صرف Intel Stratix 10 (H-tile or E-tile) IP ڊيزائن ۾ موجودampتبديلي.
- صرف Intel Agilex E-tile IP ڊيزائن ۾ موجودampتبديلي.
ٽيبل 1. eCPRI Intel FPGA IP ڪور ٽيسٽ بينچ File وضاحتون
File نالا | وصف |
اهم ٽيسٽ بينچ ۽ تخليق Files | |
<design_example_dir>/simulation/testbench/ ecpri_tb.sv | اعليٰ سطحي ٽيسٽ بينچ file. ٽيسٽ بينچ DUT ريپر کي فوري ڪري ٿو ۽ پيڪٽ ٺاهڻ ۽ قبول ڪرڻ لاءِ Verilog HDL ڪمن کي هلائي ٿو. |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT لفافي جيڪو DUT ۽ ٻين ٽيسٽ بينچ اجزاء کي فوري ڪري ٿو. |
<design_example_dir>/simulation/ed_fw/flow.c | سي-ڪوڊ جو ذريعو file. |
ٽيسٽ بينچ اسڪرپٽ | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | سيمينس EDA QuestaSim اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاءِ. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | ٽيسٽ بينچ کي هلائڻ لاءِ Synopsys VCS اسڪرپٽ. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Synopsys VCS MX اسڪرپٽ (گڏيل Verilog HDL ۽ سسٽم ويريلوگ سان VHDL) ٽيسٽ بينچ کي هلائڻ لاء. |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | Aldec* Riviera-PRO اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاءِ. |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | Cadence* Xcelium اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاءِ. |
ٽيبل 2. eCPRI Intel FPGA IP ڪور هارڊويئر ڊيزائن Example File وضاحتون
File نالا | وضاحتون |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Intel Quartus Prime پروجيڪٽ file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Intel Quartus Prime پروجيڪٽ سيٽنگ file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Synopsys ڊيزائن جي پابنديون fileايس. توھان انھن کي نقل ۽ تبديل ڪري سگھو ٿا fileتوهان جي پنهنجي Intel Stratix 10 ڊيزائن لاءِ. |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | اعلي سطحي ويريلوگ HDL ڊيزائن اڳوڻيample file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT لفافي جيڪو DUT ۽ ٻين ٽيسٽ بينچ اجزاء کي فوري ڪري ٿو. |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | مکيه file سسٽم ڪنسول تائين رسائي حاصل ڪرڻ لاءِ (Intel Stratix 10 H-Tile and E-Tile Designs ۾ موجود). |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | مکيه file سسٽم ڪنسول تائين رسائي حاصل ڪرڻ لاءِ (Intel Arria 10 ڊيزائن ۾ موجود). |
<design_example_dir>/synthesis/quartus/ecpri_agilex.tcl | مکيه file سسٽم ڪنسول تائين رسائي حاصل ڪرڻ لاءِ (Intel Agilex 7 ڊيزائن ۾ موجود). |
1.4. ڊيزائن جو نمونو Exampلي ٽيسٽ بينچ
شڪل 4. طريقيڪار
ٽيسٽ بينچ کي نقل ڪرڻ لاءِ انهن قدمن تي عمل ڪريو:
- ڪمانڊ پرامپٽ تي، ٽيسٽ بينچ سموليشن ڊاريڪٽري ۾ تبديل ڪريوample_dir>/simulation/setup_scripts.
- Intel Agilex F-ٽائل ڊيوائس جي تبديلين لاءِ، انهن قدمن تي عمل ڪريو:
هڪ ڏانهن وڃوample_dir>/simulation/quartus ڊاريڪٽري ۽ ھيٺ ڏنل ٻن حڪمن کي ھلايو: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
متبادل طور تي، توھان ecpri_ed.qpf پروجيڪٽ کي Intel Quartus Prime Pro Edition ۾ کوليو ۽ ڪمپليشن انجام ڏيو جيستائين لاجڪ جنريشن کي سپورٽ ڪري.tage.
ب. ڏانهن وڃوample_dir>/simulation/setup_scripts ڊاريڪٽري.
ج. ھيٺ ڏنل حڪم ھلايو: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf - پنھنجي پسند جي سپورٽ ٿيل سموليٽر لاءِ نقلي اسڪرپٽ ھلايو. اسڪرپٽ گڏ ڪري ٿو ۽ ٽيسٽ بينچ کي سمائيٽر ۾ هلائي ٿو. ٽيبل ڏانهن رجوع ڪريو ٽيسٽ بينچ کي ترتيب ڏيڻ لاءِ قدم.
نوٽ: تخليق لاءِ VHDL ٻولي سپورٽ صرف QuestaSim ۽ VCS MX سموليٽرن سان دستياب آهي. سميوليشن لاءِ ويريلاگ ٻولي سپورٽ ٽيبل ۾ ڏنل سڀني سميوليٽرز لاءِ دستياب آهي: ٽيسٽ بينچ کي ترتيب ڏيڻ جا قدم. - نتيجن جو تجزيو ڪريو. ڪامياب ٽيسٽ بينچ پيڪٽ موڪلي ٿو ۽ وصول ڪري ٿو، ۽ "PASSED" ڏيکاري ٿو.
ٽيبل 3. ٽيسٽ بينچ کي ترتيب ڏيڻ لاء قدم
سمائيٽر | هدايتون |
ڪوئٽا سم | ڪمانڊ لائن ۾، ٽائپ ڪريو vsim -do run_vsim.do جيڪڏهن توهان QuestaSim GUI کي آڻڻ کان سواءِ تخليق ڪرڻ چاهيو ٿا، ٽائپ ڪريو vsim -c -do run_vsim.do |
وي سي ايس | • ڪمانڊ لائن ۾ ٽائپ ڪريو sh run_vcs.sh • ڏانهن وڃوample_dir>/simulation/setup_scripts/ synopsys/vcs ۽ ھيٺ ڏنل حڪم ھلايو: sh run_vcs.sh |
VCS MX | ڪمانڊ لائن ۾، ٽائپ ڪريو sh run_vcsmx.sh |
رويرا-پرو | ڪمانڊ لائن ۾، ٽائيپ ڪريو vsim -c -do run_rivierapro.tcl نوٽ: صرف Intel Stratix 10 H-ٽائل ڊيزائن جي مختلف قسمن ۾ سپورٽ ڪئي وئي. |
ايڪسيليم (1) | ڪمانڊ لائن ۾، ٽائپ ڪريو sh run_xcelium.sh |
- هي سمائيليٽر eCPRI Intel FPGA IP ڊيزائن اڳوڻي لاءِ سپورٽ ناهيample generated with IWF فيچر فعال.
Sampلي آئوٽ: هيٺيون ايسampلي آئوٽ پڌرو ڪري ٿو هڪ ڪامياب تخليق ٽيسٽ رن جو اي سي پي آر آئي پي ڊيزائن exampلي بغير IWF فيچر فعال ٿيل چينلن جي تعداد سان = 4:
# RX ترتيب جي انتظار ۾
# RX ڊيسڪ بند ٿيل
# RX لين جي ترتيب کي بند ڪيو ويو
# لنڪ جي غلطي صاف ڪرڻ جو انتظار
# لنڪ غلطي صاف ڪريو
# MAC ذريعو پتو 0_0 چينل 0: 33445566
# MAC ذريعو پتو 0_1 چينل 0: 00007788
# MAC منزل جو پتو 0_0 چينل 0: 33445566
# MAC منزل جو پتو 0_1 چينل 0: 00007788
# MAC منزل جو پتو 1_0 چينل 0: 11223344
# MAC منزل جو پتو 1_1 چينل 0: 00005566
# MAC منزل جو پتو 2_0 چينل 0: 22334455
# MAC منزل جو پتو 2_1 چينل 0: 00006677
# MAC منزل جو پتو 3_0 چينل 0: 44556677
# MAC منزل جو پتو 3_1 چينل 0: 00008899
# MAC منزل جو پتو 4_0 چينل 0: 66778899
# MAC منزل جو پتو 4_1 چينل 0: 0000aabb
# MAC منزل جو پتو 5_0 چينل 0: 778899aa
# MAC منزل جو پتو 5_1 چينل 0: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 0: 8899aabb
# MAC منزل جو پتو 6_1 چينل 0: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 0: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 0: 0000ddee
# eCPRI عام ڪنٽرول چينل 0: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 0: 00000241
# eCPRI ورزن چينل 0: 2
# MAC ذريعو پتو 0_0 چينل 1: 33445566
# MAC ذريعو پتو 0_1 چينل 1: 00007788
# MAC منزل جو پتو 0_0 چينل 1: 33445566
# MAC منزل جو پتو 0_1 چينل 1: 00007788
# MAC منزل جو پتو 1_0 چينل 1: 11223344
# MAC منزل جو پتو 1_1 چينل 1: 00005566
# MAC منزل جو پتو 2_0 چينل 1: 22334455
# MAC منزل جو پتو 2_1 چينل 1: 00006677
# MAC منزل جو پتو 3_0 چينل 1: 44556677
# MAC منزل جو پتو 3_1 چينل 1: 00008899
# MAC منزل جو پتو 4_0 چينل 1: 66778899
# MAC منزل جو پتو 4_1 چينل 1: 0000aabb
# MAC منزل جو پتو 5_0 چينل 1: 778899aa
# MAC منزل جو پتو 5_1 چينل 1: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 1: 8899aabb
# MAC منزل جو پتو 6_1 چينل 1: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 1: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 1: 0000ddee
# eCPRI عام ڪنٽرول چينل 1: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 1: 00000241
# eCPRI ورزن چينل 1: 2
# MAC ذريعو پتو 0_0 چينل 2: 33445566
# MAC ذريعو پتو 0_1 چينل 2: 00007788
# MAC منزل جو پتو 0_0 چينل 2: 33445566
# MAC منزل جو پتو 0_1 چينل 2: 00007788
# MAC منزل جو پتو 1_0 چينل 2: 11223344
# MAC منزل جو پتو 1_1 چينل 2: 00005566
# MAC منزل جو پتو 2_0 چينل 2: 22334455
# MAC منزل جو پتو 2_1 چينل 2: 00006677
# MAC منزل جو پتو 3_0 چينل 2: 44556677
# MAC منزل جو پتو 3_1 چينل 2: 00008899
# MAC منزل جو پتو 4_0 چينل 2: 66778899
# MAC منزل جو پتو 4_1 چينل 2: 0000aabb
# MAC منزل جو پتو 5_0 چينل 2: 778899aa
# MAC منزل جو پتو 5_1 چينل 2: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 2: 8899aabb
# MAC منزل جو پتو 6_1 چينل 2: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 2: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 2: 0000ddee
# eCPRI عام ڪنٽرول چينل 2: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 2: 00000241
# eCPRI ورزن چينل 2: 2
# MAC ذريعو پتو 0_0 چينل 3: 33445566
# MAC ذريعو پتو 0_1 چينل 3: 00007788
# MAC منزل جو پتو 0_0 چينل 3: 33445566
# MAC منزل جو پتو 0_1 چينل 3: 00007788
# MAC منزل جو پتو 1_0 چينل 3: 11223344
# MAC منزل جو پتو 1_1 چينل 3: 00005566
# MAC منزل جو پتو 2_0 چينل 3: 22334455
# MAC منزل جو پتو 2_1 چينل 3: 00006677
# MAC منزل جو پتو 3_0 چينل 3: 44556677
# MAC منزل جو پتو 3_1 چينل 3: 00008899
# MAC منزل جو پتو 4_0 چينل 3: 66778899
# MAC منزل جو پتو 4_1 چينل 3: 0000aabb
# MAC منزل جو پتو 5_0 چينل 3: 778899aa
# MAC منزل جو پتو 5_1 چينل 3: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 3: 8899aabb
# MAC منزل جو پتو 6_1 چينل 3: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 3: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 3: 0000ddee
# eCPRI عام ڪنٽرول چينل 3: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 3: 00000241
# eCPRI ورزن چينل 3: 2
#_________________________________________________________
# ڄاڻ: ريٽ اسٽيٽ کان ٻاهر
#_________________________________________________________
#
#
# چينل 0 eCPRI TX SOPs ڳڻپ: 0
# چينل 0 eCPRI TX EOPs ڳڻپ: 0
# چينل 0 eCPRI RX SOPs ڳڻپ: 0
# چينل 0 eCPRI RX EOPs ڳڻپ: 0
# چينل 0 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 0 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 0 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 0 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 0 خارجي RX SOPs ڳڻپ: 0
# چينل 0 خارجي RX EOPs ڳڻپ: 0
# چينل 1 eCPRI TX SOPs ڳڻپ: 0
# چينل 1 eCPRI TX EOPs ڳڻپ: 0
# چينل 1 eCPRI RX SOPs ڳڻپ: 0
# چينل 1 eCPRI RX EOPs ڳڻپ: 0
# چينل 1 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 1 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 1 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 1 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 1 خارجي RX SOPs ڳڻپ: 0
# چينل 1 خارجي RX EOPs ڳڻپ: 0
# چينل 2 eCPRI TX SOPs ڳڻپ: 0
# چينل 2 eCPRI TX EOPs ڳڻپ: 0
# چينل 2 eCPRI RX SOPs ڳڻپ: 0
# چينل 2 eCPRI RX EOPs ڳڻپ: 0
# چينل 2 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 2 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 2 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 2 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 2 خارجي RX SOPs ڳڻپ: 0
# چينل 2 خارجي RX EOPs ڳڻپ: 0
# چينل 3 eCPRI TX SOPs ڳڻپ: 0
# چينل 3 eCPRI TX EOPs ڳڻپ: 0
# چينل 3 eCPRI RX SOPs ڳڻپ: 0
# چينل 3 eCPRI RX EOPs ڳڻپ: 0
# چينل 3 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 3 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 3 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 3 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 3 خارجي RX SOPs ڳڻپ: 0
# چينل 3 خارجي RX EOPs ڳڻپ: 0
#_________________________________________________________
# ڄاڻ: منتقل ڪرڻ شروع ڪريو پيڪيٽ
#_________________________________________________________
#
#
# INFO: انتظار ڪري رهيو آهي چينل 0 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 0 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 0 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 0 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 0 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 0 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# INFO: انتظار ڪري رهيو آهي چينل 1 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 1 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 1 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 1 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 1 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 1 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# INFO: انتظار ڪري رهيو آهي چينل 2 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 2 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 2 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 2 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 2 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 2 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# INFO: انتظار ڪري رهيو آهي چينل 3 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 3 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 3 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 3 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 3 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 3 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
#_________________________________________________________
# ڄاڻ: منتقل ڪرڻ بند ڪريو پيڪيٽ
#_________________________________________________________
#
#
#_________________________________________________________
# INFO: چيڪنگ پيڪٽس جي انگ اکر
#_________________________________________________________
#
#
# چينل 0 eCPRI SOPs منتقل ٿيل: 300
# چينل 0 eCPRI EOPs منتقل ٿيل: 300
# چينل 0 eCPRI SOPs مليل: 300
# چينل 0 eCPRI EOPs مليل: 300
# چينل 0 eCPRI غلطي ٻڌايو: 0
# چينل 0 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 0 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 0 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 0 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 0 خارجي SOPs مليل: 132
# چينل 0 خارجي EOPs مليل: 132
# چينل 0 خارجي PTP SOPs مليل: 4
# چينل 0 خارجي PTP EOPs وصول ڪيا: 4
# چينل 0 خارجي MISC SOPs مليا: 128
# چينل 0 خارجي MISC EOPs مليا: 128
# چينل 0 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 0 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
# چينل 1 eCPRI SOPs منتقل ٿيل: 300
# چينل 1 eCPRI EOPs منتقل ٿيل: 300
# چينل 1 eCPRI SOPs مليل: 300
# چينل 1 eCPRI EOPs مليل: 300
# چينل 1 eCPRI غلطي ٻڌايو: 0
# چينل 1 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 1 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 1 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 1 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 1 خارجي SOPs مليل: 132
# چينل 1 خارجي EOPs مليل: 132
# چينل 1 خارجي PTP SOPs مليل: 4
# چينل 1 خارجي PTP EOPs وصول ڪيا: 4
# چينل 1 خارجي MISC SOPs مليا: 128
# چينل 1 خارجي MISC EOPs مليا: 128
# چينل 1 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 1 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
# چينل 2 eCPRI SOPs منتقل ٿيل: 300
# چينل 2 eCPRI EOPs منتقل ٿيل: 300
# چينل 2 eCPRI SOPs مليل: 300
# چينل 2 eCPRI EOPs مليل: 300
# چينل 2 eCPRI غلطي ٻڌايو: 0
# چينل 2 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 2 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 2 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 2 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 2 خارجي SOPs مليل: 132
# چينل 2 خارجي EOPs مليل: 132
# چينل 2 خارجي PTP SOPs مليل: 4
# چينل 2 خارجي PTP EOPs وصول ڪيا: 4
# چينل 2 خارجي MISC SOPs مليا: 128
# چينل 2 خارجي MISC EOPs مليا: 128
# چينل 2 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 2 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
# چينل 3 eCPRI SOPs منتقل ٿيل: 300
# چينل 3 eCPRI EOPs منتقل ٿيل: 300
# چينل 3 eCPRI SOPs مليل: 300
# چينل 3 eCPRI EOPs مليل: 300
# چينل 3 eCPRI غلطي ٻڌايو: 0
# چينل 3 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 3 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 3 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 3 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 3 خارجي SOPs مليل: 132
# چينل 3 خارجي EOPs مليل: 132
# چينل 3 خارجي PTP SOPs مليل: 4
# چينل 3 خارجي PTP EOPs وصول ڪيا: 4
# چينل 3 خارجي MISC SOPs مليا: 128
# چينل 3 خارجي MISC EOPs مليا: 128
# چينل 3 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 3 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
#_________________________________________________________
# ڄاڻ: ٽيسٽ پاس ڪئي وئي
#
#_________________________________________________________
Sampلي آئوٽ: هيٺيون ايسampلي آئوٽ پڌرو ڪري ٿو هڪ ڪامياب تخليق ٽيسٽ رن جو اي سي پي آر آئي پي ڊيزائن example IWF خصوصيت سان چالو ڪيو ويو چينلن جي تعداد سان = 4:
# CPRI TX کي فعال ڪريو
# CPRI چينل 0 L1_CONFIG: 00000001
# CPRI چينل 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI چينل 1 L1_CONFIG: 00000001
# CPRI چينل 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI چينل 2 L1_CONFIG: 00000001
# CPRI چينل 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI چينل 3 L1_CONFIG: 00000001
# CPRI چينل 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX ترتيب جي انتظار ۾
# RX ڊيسڪ بند ٿيل
# RX لين جي ترتيب کي بند ڪيو ويو
# لنڪ جي غلطي صاف ڪرڻ جو انتظار
# لنڪ غلطي صاف ڪريو
# MAC ذريعو پتو 0_0 چينل 0: 33445566
# MAC ذريعو پتو 0_1 چينل 0: 00007788
# MAC منزل جو پتو 0_0 چينل 0: 33445566
# MAC منزل جو پتو 0_1 چينل 0: 00007788
# MAC منزل جو پتو 1_0 چينل 0: 11223344
# MAC منزل جو پتو 1_1 چينل 0: 00005566
# MAC منزل جو پتو 2_0 چينل 0: 22334455
# MAC منزل جو پتو 2_1 چينل 0: 00006677
# MAC منزل جو پتو 3_0 چينل 0: 44556677
# MAC منزل جو پتو 3_1 چينل 0: 00008899
# MAC منزل جو پتو 4_0 چينل 0: 66778899
# MAC منزل جو پتو 4_1 چينل 0: 0000aabb
# MAC منزل جو پتو 5_0 چينل 0: 778899aa
# MAC منزل جو پتو 5_1 چينل 0: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 0: 8899aabb
# MAC منزل جو پتو 6_1 چينل 0: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 0: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 0: 0000ddee
# eCPRI عام ڪنٽرول چينل 0: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 0: 00000241
# eCPRI ورزن چينل 0: 2
# MAC ذريعو پتو 0_0 چينل 1: 33445566
# MAC ذريعو پتو 0_1 چينل 1: 00007788
# MAC منزل جو پتو 0_0 چينل 1: 33445566
# MAC منزل جو پتو 0_1 چينل 1: 00007788
# MAC منزل جو پتو 1_0 چينل 1: 11223344
# MAC منزل جو پتو 1_1 چينل 1: 00005566
# MAC منزل جو پتو 2_0 چينل 1: 22334455
# MAC منزل جو پتو 2_1 چينل 1: 00006677
# MAC منزل جو پتو 3_0 چينل 1: 44556677
# MAC منزل جو پتو 3_1 چينل 1: 00008899
# MAC منزل جو پتو 4_0 چينل 1: 66778899
# MAC منزل جو پتو 4_1 چينل 1: 0000aabb
# MAC منزل جو پتو 5_0 چينل 1: 778899aa
# MAC منزل جو پتو 5_1 چينل 1: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 1: 8899aabb
# MAC منزل جو پتو 6_1 چينل 1: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 1: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 1: 0000ddee
# eCPRI عام ڪنٽرول چينل 1: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 1: 00000241
# eCPRI ورزن چينل 1: 2
# MAC ذريعو پتو 0_0 چينل 2: 33445566
# MAC ذريعو پتو 0_1 چينل 2: 00007788
# MAC منزل جو پتو 0_0 چينل 2: 33445566
# MAC منزل جو پتو 0_1 چينل 2: 00007788
# MAC منزل جو پتو 1_0 چينل 2: 11223344
# MAC منزل جو پتو 1_1 چينل 2: 00005566
# MAC منزل جو پتو 2_0 چينل 2: 22334455
# MAC منزل جو پتو 2_1 چينل 2: 00006677
# MAC منزل جو پتو 3_0 چينل 2: 44556677
# MAC منزل جو پتو 3_1 چينل 2: 00008899
# MAC منزل جو پتو 4_0 چينل 2: 66778899
# MAC منزل جو پتو 4_1 چينل 2: 0000aabb
# MAC منزل جو پتو 5_0 چينل 2: 778899aa
# MAC منزل جو پتو 5_1 چينل 2: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 2: 8899aabb
# MAC منزل جو پتو 6_1 چينل 2: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 2: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 2: 0000ddee
# eCPRI عام ڪنٽرول چينل 2: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 2: 00000241
# eCPRI ورزن چينل 2: 2
# MAC ذريعو پتو 0_0 چينل 3: 33445566
# MAC ذريعو پتو 0_1 چينل 3: 00007788
# MAC منزل جو پتو 0_0 چينل 3: 33445566
# MAC منزل جو پتو 0_1 چينل 3: 00007788
# MAC منزل جو پتو 1_0 چينل 3: 11223344
# MAC منزل جو پتو 1_1 چينل 3: 00005566
# MAC منزل جو پتو 2_0 چينل 3: 22334455
# MAC منزل جو پتو 2_1 چينل 3: 00006677
# MAC منزل جو پتو 3_0 چينل 3: 44556677
# MAC منزل جو پتو 3_1 چينل 3: 00008899
# MAC منزل جو پتو 4_0 چينل 3: 66778899
# MAC منزل جو پتو 4_1 چينل 3: 0000aabb
# MAC منزل جو پتو 5_0 چينل 3: 778899aa
# MAC منزل جو پتو 5_1 چينل 3: 0000bbcc
# MAC منزل جو پتو 6_0 چينل 3: 8899aabb
# MAC منزل جو پتو 6_1 چينل 3: 0000ccdd
# MAC منزل جو پتو 7_0 چينل 3: 99aabbcc
# MAC منزل جو پتو 7_1 چينل 3: 0000ddee
# eCPRI عام ڪنٽرول چينل 3: 00000041
# مداخلت کي فعال ڪريو eCPRI عام ڪنٽرول چينل 3: 00000241
# eCPRI ورزن چينل 3: 2
# CPRI جو انتظار HSYNC لنڪ اپ اسٽيٽ حاصل ڪرڻ
# CPRI چينل 0 HSYNC رياست حاصل ڪئي
# CPRI چينل 1 HSYNC رياست حاصل ڪئي
# CPRI چينل 2 HSYNC رياست حاصل ڪئي
# CPRI چينل 3 HSYNC رياست حاصل ڪئي
# 11100250000 لکو 1 to nego_bitrate_complete
#11100650000 پولنگ PROT_VER چينل 0
#_________________________________________________________
# 11100850000 پولنگ رجسٽر: a0000010
#_________________________________________________________
#13105050000 پولنگ PROT_VER چينل 1
#_________________________________________________________
# 13105250000 پولنگ رجسٽر: a0800010
#_________________________________________________________
#13105950000 پولنگ PROT_VER چينل 2
#_________________________________________________________
# 13106150000 پولنگ رجسٽر: a1000010
#_________________________________________________________
#13106850000 پولنگ PROT_VER چينل 3
#_________________________________________________________
# 13107050000 پولنگ رجسٽر: a1800010
#_________________________________________________________
# 13107750000 لکو 1 to nego_protol_complete
# 13108150000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چينل 0
#_________________________________________________________
# 13108350000 پولنگ رجسٽر: a0000020
#_________________________________________________________
# 14272050000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چينل 1
#_________________________________________________________
# 14272250000 پولنگ رجسٽر: a0800020
#_________________________________________________________
# 14272950000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چينل 2
#_________________________________________________________
# 14273150000 پولنگ رجسٽر: a1000020
#_________________________________________________________
# 14273850000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چينل 3
#_________________________________________________________
# 14274050000 پولنگ رجسٽر: a1800020
#_________________________________________________________
#14274750000 لکو 1 to nego_cm_complete
# 14275150000 لکو 1 to nego_vss_complete
# انتظار ڪري رهيو آهي CPRI چينل 0 حاصل ڪرڻ HSYNC ۽ شروعاتي ترتيب FSM STATE_F
# CPRI چينل 0 HSYNC ۽ شروعاتي ترتيب FSM STATE_F حاصل ڪيو
# انتظار ڪري رهيو آهي CPRI چينل 1 حاصل ڪرڻ HSYNC ۽ شروعاتي ترتيب FSM STATE_F
# CPRI چينل 1 HSYNC ۽ شروعاتي ترتيب FSM STATE_F حاصل ڪيو
# انتظار ڪري رهيو آهي CPRI چينل 2 حاصل ڪرڻ HSYNC ۽ شروعاتي ترتيب FSM STATE_F
# CPRI چينل 2 HSYNC ۽ شروعاتي ترتيب FSM STATE_F حاصل ڪيو
# انتظار ڪري رهيو آهي CPRI چينل 3 حاصل ڪرڻ HSYNC ۽ شروعاتي ترتيب FSM STATE_F
# CPRI چينل 3 HSYNC ۽ شروعاتي ترتيب FSM STATE_F حاصل ڪيو
#_________________________________________________________
# ڄاڻ: ريٽ اسٽيٽ کان ٻاهر
#_________________________________________________________
#
#
# چينل 0 eCPRI TX SOPs ڳڻپ: 0
# چينل 0 eCPRI TX EOPs ڳڻپ: 0
# چينل 0 eCPRI RX SOPs ڳڻپ: 0
# چينل 0 eCPRI RX EOPs ڳڻپ: 0
# چينل 0 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 0 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 0 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 0 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 0 خارجي RX SOPs ڳڻپ: 0
# چينل 0 خارجي RX EOPs ڳڻپ: 0
# چينل 1 eCPRI TX SOPs ڳڻپ: 0
# چينل 1 eCPRI TX EOPs ڳڻپ: 0
# چينل 1 eCPRI RX SOPs ڳڻپ: 0
# چينل 1 eCPRI RX EOPs ڳڻپ: 0
# چينل 1 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 1 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 1 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 1 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 1 خارجي RX SOPs ڳڻپ: 0
# چينل 1 خارجي RX EOPs ڳڻپ: 0
# چينل 2 eCPRI TX SOPs ڳڻپ: 0
# چينل 2 eCPRI TX EOPs ڳڻپ: 0
# چينل 2 eCPRI RX SOPs ڳڻپ: 0
# چينل 2 eCPRI RX EOPs ڳڻپ: 0
# چينل 2 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 2 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 2 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 2 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 2 خارجي RX SOPs ڳڻپ: 0
# چينل 2 خارجي RX EOPs ڳڻپ: 0
# چينل 3 eCPRI TX SOPs ڳڻپ: 0
# چينل 3 eCPRI TX EOPs ڳڻپ: 0
# چينل 3 eCPRI RX SOPs ڳڻپ: 0
# چينل 3 eCPRI RX EOPs ڳڻپ: 0
# چينل 3 خارجي PTP TX SOPs ڳڻپ: 0
# چينل 3 خارجي PTP TX EOPs ڳڻپ: 0
# چينل 3 خارجي MISC TX SOPs ڳڻپ: 0
# چينل 3 خارجي MISC TX EOPs ڳڻپ: 0
# چينل 3 خارجي RX SOPs ڳڻپ: 0
# چينل 3 خارجي RX EOPs ڳڻپ: 0
#_________________________________________________________
# ڄاڻ: منتقل ڪرڻ شروع ڪريو پيڪيٽ
#_________________________________________________________
#
#
# INFO: انتظار ڪري رهيو آهي چينل 0 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 0 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 0 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 0 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 0 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 0 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# INFO: انتظار ڪري رهيو آهي چينل 1 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 1 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 1 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 1 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 1 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 1 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# INFO: انتظار ڪري رهيو آهي چينل 2 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 2 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 2 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 2 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 2 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 2 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# INFO: انتظار ڪري رهيو آهي چينل 3 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿيڻ لاءِ
# ڄاڻ: چينل 3 eCPRI TX ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 3 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 3 eCPRI خارجي TX PTP ٽرئفڪ جي منتقلي مڪمل ٿي وئي
# ڄاڻ: چينل 3 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي جي انتظار ۾
مڪمل
# ڄاڻ: چينل 3 eCPRI خارجي TX متفرق ٽرئفڪ جي منتقلي مڪمل ٿي وئي
#_________________________________________________________
# ڄاڻ: منتقل ڪرڻ بند ڪريو پيڪيٽ
#_________________________________________________________
#
#
#_________________________________________________________
# INFO: چيڪنگ پيڪٽس جي انگ اکر
#_________________________________________________________
#
#
# چينل 0 eCPRI SOPs منتقل ٿيل: 50
# چينل 0 eCPRI EOPs منتقل ٿيل: 50
# چينل 0 eCPRI SOPs مليل: 50
# چينل 0 eCPRI EOPs مليل: 50
# چينل 0 eCPRI غلطي ٻڌايو: 0
# چينل 0 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 0 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 0 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 0 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 0 خارجي SOPs مليل: 132
# چينل 0 خارجي EOPs مليل: 132
# چينل 0 خارجي PTP SOPs مليل: 4
# چينل 0 خارجي PTP EOPs وصول ڪيا: 4
# چينل 0 خارجي MISC SOPs مليا: 128
# چينل 0 خارجي MISC EOPs مليا: 128
# چينل 0 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 0 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
# چينل 1 eCPRI SOPs منتقل ٿيل: 50
# چينل 1 eCPRI EOPs منتقل ٿيل: 50
# چينل 1 eCPRI SOPs مليل: 50
# چينل 1 eCPRI EOPs مليل: 50
# چينل 1 eCPRI غلطي ٻڌايو: 0
# چينل 1 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 1 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 1 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 1 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 1 خارجي SOPs مليل: 132
# چينل 1 خارجي EOPs مليل: 132
# چينل 1 خارجي PTP SOPs مليل: 4
# چينل 1 خارجي PTP EOPs وصول ڪيا: 4
# چينل 1 خارجي MISC SOPs مليا: 128
# چينل 1 خارجي MISC EOPs مليا: 128
# چينل 1 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 1 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
# چينل 2 eCPRI SOPs منتقل ٿيل: 50
# چينل 2 eCPRI EOPs منتقل ٿيل: 50
# چينل 2 eCPRI SOPs مليل: 50
# چينل 2 eCPRI EOPs مليل: 50
# چينل 2 eCPRI غلطي ٻڌايو: 0
# چينل 2 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 2 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 2 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 2 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 2 خارجي SOPs مليل: 132
# چينل 2 خارجي EOPs مليل: 132
# چينل 2 خارجي PTP SOPs مليل: 4
# چينل 2 خارجي PTP EOPs وصول ڪيا: 4
# چينل 2 خارجي MISC SOPs مليا: 128
# چينل 2 خارجي MISC EOPs مليا: 128
# چينل 2 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 2 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
# چينل 3 eCPRI SOPs منتقل ٿيل: 50
# چينل 3 eCPRI EOPs منتقل ٿيل: 50
# چينل 3 eCPRI SOPs مليل: 50
# چينل 3 eCPRI EOPs مليل: 50
# چينل 3 eCPRI غلطي ٻڌايو: 0
# چينل 3 خارجي PTP SOPs منتقل ٿيل: 4
# چينل 3 خارجي PTP EOPs منتقل ٿيل: 4
# چينل 3 خارجي MISC SOPs منتقل ٿيل: 128
# چينل 3 خارجي MISC EOPs منتقل ٿيل: 128
# چينل 3 خارجي SOPs مليل: 132
# چينل 3 خارجي EOPs مليل: 132
# چينل 3 خارجي PTP SOPs مليل: 4
# چينل 3 خارجي PTP EOPs وصول ڪيا: 4
# چينل 3 خارجي MISC SOPs مليا: 128
# چينل 3 خارجي MISC EOPs مليا: 128
# چينل 3 خارجي غلطي رپورٽ ڪئي وئي: 0
# چينل 3 خارجي ٽائمسٽamp فنگر پرنٽ جي غلطي رپورٽ ڪئي وئي: 0
#_________________________________________________________
# ڄاڻ: ٽيسٽ پاس ڪئي وئي
#
#_________________________________________________________
1.4.1. Ethernet IP کي متحرڪ ريڪنفيگريشن کي فعال ڪرڻ
ڊفالٽ طور، متحرڪ ٻيهر ترتيب ڏيڻ غير فعال آهي eCPRI IP ڊيزائن exampلي ۽ اهو صرف انٽيل اسٽريٽڪس 10 (اي ٽائل ۽ ايڇ ٽائل) ۽ انٽيل ايجيليڪس 7 (اي ٽائل) ڊيزائن تي لاڳو ٿئي ٿو.amples.
- test_wrapper.sv ۾ هيٺ ڏنل لائن لاءِ ڏسو تيار ڪيل مانample_dir>/simulation/testbench ڊاريڪٽري: پيرا ميٽر ETHERNET_DR_EN = 0
- قدر تبديل ڪريو 0 کان 1: پيرا ميٽر ETHERNET_DR_EN = 1
- ساڳي ٺاهيل اڳوڻي استعمال ڪندي تخليق کي ٻيهر هلايوampلي ڊيزائن ڊاريڪٽري.
1.5. ڪمپيليشن-صرف پروجيڪٽ کي ترتيب ڏيڻ
تاليف کي گڏ ڪرڻ لاءِ- صرف exampلي پروجيڪٽ، انهن قدمن تي عمل ڪريو:
- ٺاھڻ جي ڊيزائن کي يقيني بڻايو وڃيampنسل مڪمل آهي.
- Intel Quartus Prime Pro Edition سافٽ ويئر ۾، Intel Quartus Prime Pro Edition پروجيڪٽ کوليوample_dir>/synthesis/quartus/ecpri_ed.qpf.
- پروسيسنگ مينيو تي، ڪلڪ ڪريو ڪمپليشن شروع ڪريو.
- ڪامياب تاليف کان پوء، وقت ۽ وسيلن جي استعمال لاء رپورٽون توهان جي Intel Quartus Prime Pro Edition سيشن ۾ موجود آهن. وڃو پروسيسنگ ➤ ڪمپليشن رپورٽ ڏانهن view تفصيلي رپورٽ گڏ ڪرڻ تي.
لاڳاپيل معلومات
بلاڪ بيسڊ ڊيزائن فلوز
1.6. ڊيزائن کي گڏ ڪرڻ ۽ ترتيب ڏيڻ Exampهارڊويئر ۾
هارڊويئر ڊيزائن کي گڏ ڪرڻ لاءِ example ۽ ان کي پنهنجي Intel ڊوائيس تي ترتيب ڏيو، انهن قدمن تي عمل ڪريو:
- هارڊويئر ڊيزائن کي يقيني بڻايو exampنسل مڪمل آهي.
- Intel Quartus Prime Pro Edition سافٽ ويئر ۾، Intel Quartus Prime پروجيڪٽ کوليوample_dir>/synthesis/quartus/ecpri_ed.qpf.
- پروسيسنگ مينيو تي، ڪلڪ ڪريو ڪمپليشن شروع ڪريو.
- ڪامياب تاليف کان پوء، هڪ .sof file ۾ موجود آهيample_dir>/ synthesis/quartus/output_files ڊاريڪٽري. هارڊويئر ڊيزائن کي پروگرام ڪرڻ لاءِ انهن قدمن تي عمل ڪريوampLe Intel FPGA ڊوائيس تي:
هڪ ڊولپمينٽ کٽ کي ميزبان ڪمپيوٽر سان ڳنڍيو.
ب. گھڙي ڪنٽرول ايپليڪيشن کي لانچ ڪريو، جيڪو ڊولپمينٽ کٽ جو حصو آھي، ۽ نئين تعدد کي ترتيب ڏيو اڳوڻي ڊيزائن لاءِample. گھڙي ڪنٽرول ايپليڪيشن ۾ فريڪوئنسي سيٽنگ ھيٺ ڏنل آھي:
• جيڪڏهن توهان Intel Stratix 10 GX SI ڊولپمينٽ کٽ تي پنهنجي ڊيزائن کي نشانو بڻائي رهيا آهيو:
- U5، OUT8- 100 MHz
- U6، OUT3- 322.265625 MHz
- U6، OUT4 ۽ OUT5- 307.2 MHz
• جيڪڏهن توهان Intel Stratix 10 TX SI ڊولپمينٽ کٽ تي پنهنجي ڊيزائن کي نشانو بڻائي رهيا آهيو:
- U1، CLK4- 322.265625 MHz (25G ڊيٽا جي شرح لاءِ)
- U6- 156.25 MHz (10G ڊيٽا جي شرح لاءِ)
- U3، OUT3- 100 MHz
- U3، OUT8- 153.6 MHz
• جيڪڏهن توهان Intel Agilex 7 F-Series Transceiver-SoC ڊولپمينٽ کٽ تي پنهنجي ڊيزائن کي نشانو بڻائي رهيا آهيو:
- U37، CLK1A- 100 MHz
- U34، CLK0P- 156.25 MHz
- U38، OUT2_P- 153.6 MHz
• جيڪڏهن توهان Intel Arria 10 GX SI ڊولپمينٽ کٽ تي پنهنجي ڊيزائن کي نشانو بڻائي رهيا آهيو:
- U52، CLK0- 156.25 MHz
- U52، CLK1- 250 MHz
- U52، CLK3- 125 MHz
Y5- 307.2 MHz
Y6- 322.265625 MHz
ج. اوزار مينيو تي، پروگرامر تي ڪلڪ ڪريو.
ڊي. پروگرامر ۾، هارڊويئر سيٽ اپ تي ڪلڪ ڪريو.
e. هڪ پروگرامنگ ڊوائيس چونڊيو.
f. منتخب ڪريو ۽ شامل ڪريو ڊولپمينٽ کٽ جنھن سان توھان جو Intel Quartus Prime Pro Edition سيشن ڳنڍي سگھي ٿو.
جي. پڪ ڪريو ته موڊ سيٽ ڪيو ويو آهي JTAG.
ايڇ. ڊوائيس چونڊيو ۽ ڊوائيس شامل ڪريو تي ڪلڪ ڪريو. پروگرامر توهان جي بورڊ تي ڊوائيسز جي وچ ۾ رابطن جو هڪ بلاڪ ڊراگرام ڏيکاري ٿو.
i. لوڊ ڪريو .sof file توهان جي لاڳاپيل Intel FPGA ڊوائيس ڏانهن.
جي. ايگزيڪيوٽوبل ۽ لنڪنگ فارميٽ لوڊ ڪريو (.elf) file توهان جي Intel Stratix 10 ڏانهن يا
Intel Agilex 7 ڊيوائس جيڪڏھن توھان 25G ۽ 10G جي وچ ۾ ڊيٽا جي شرح کي مٽائڻ لاءِ متحرڪ ريڪنفيگريشن (DR) انجام ڏيڻ جو ارادو ڪيو. ايگزيڪيوٽوبل ۽ لنڪنگ فارميٽ (.elf) پروگرامنگ ٺاهي ۽ ڊائون لوڊ ڪرڻ جي هدايتن تي عمل ڪريو File صفحي 38 تي .elf پيدا ڪرڻ لاءِ file.
ڪ. توهان جي .sof سان قطار ۾، چيڪ ڪريو پروگرام/ڪانفيگر باڪس لاءِ .sof file.
ل. ڪلڪ ڪريو شروع.
لاڳاپيل معلومات
- بلاڪ تي ٻڌل ڊيزائن
- Intel Quartus Prime پروگرامر يوزر گائيڊ
- سسٽم ڪنسول سان ڊيزائن جو تجزيو ۽ ڊيبگنگ
- Intel Agilex 7 F-Series Transceiver-SoC ڊولپمينٽ کٽ يوزر گائيڊ
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit User Guide
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide
1.7. ٽيسٽ ڪندي eCPRI Intel FPGA IP ڊيزائن Example
توهان کي گڏ ڪرڻ کان پوءِ eCPRI Intel FPGA IP ڪور ڊيزائن اڳampلي ۽ ان کي پنهنجي Intel FPGA ڊوائيس تي ترتيب ڏيو، توھان استعمال ڪري سگھو ٿا سسٽم ڪنسول کي پروگرام ڪرڻ لاءِ IP ڪور ۽ ان ۾ شامل ڪيل اصلي PHY IP ڪور رجسٽر.
سسٽم ڪنسول کي چالو ڪرڻ ۽ هارڊويئر ڊيزائن کي جانچڻ لاءِ exampپوء، انهن قدمن تي عمل ڪريو:
- هارڊويئر ڊيزائن کان پوءِ exampلي انٽيل ڊيوائس تي ترتيب ڏنل آهي، Intel Quartus Prime Pro Edition سافٽ ويئر ۾، ٽولز مينيو تي، ڪلڪ ڪريو سسٽم ڊيبگنگ ٽولز ➤ سسٽم ڪنسول.
- Tcl ڪنسول پين ۾، ڊاريڪٽري کي تبديل ڪريوample_dir>/ synthesis/quartus/hardware_test ۽ J سان ڪنيڪشن کولڻ لاءِ ھيٺ ڏنل حڪم ٽائپ ڪريوTAG ماسٽر ۽ امتحان شروع ڪريو:
• ذريعو ecpri_agilex.tcl Intel Agilex 7 ڊيزائن لاءِ
• ذريعو ecpri_s10.tcl Intel Stratix 10 ڊيزائنز لاءِ
• ذريعو ecpri_a10.tcl Intel Arria 10 ڊيزائنز لاءِ - توهان جي Intel Stratix 10 يا Intel Agilex 7 E-ٽائل ڊيوائس جي تبديلين لاءِ، توهان کي لازمي طور تي هڪ ڀيرو اندروني يا بيروني لوپ بيڪ ڪمانڊ انجام ڏيڻ گهرجي جڏهن توهان .sof پروگرام ڪرڻ کان پوءِ. file:
هڪ flow.c ۾ TEST_MODE متغير کي تبديل ڪريو file لوپ بڪ موڊ کي چونڊڻ لاء:TEST_MODE عمل 0 سيريل لوپ بيڪ صرف تخليق لاءِ فعال ڪريو 1 سيريل لوپ بيڪ صرف هارڊويئر لاءِ فعال ڪريو 2 سيريل لوپ بيڪ ۽ حساب ڪتاب 3 صرف حساب ڪتاب توهان کي لازمي طور تي NIOS II سافٽ ويئر کي ٻيهر ٺاهڻ ۽ ٻيهر ٺاهڻ گهرجي جڏهن توهان flow.c کي تبديل ڪريو file.
ب. .elf کي ٻيهر ٺاهيو file ۽ هڪ دفعو ٻيهر بورڊ ڏانهن پروگرام ڪريو ۽ .sof کي ٻيهر پروگرام ڪريو file. - سسٽم ڪنسول اسڪرپٽ ۾ سپورٽ ڪيل حڪمن ذريعي ڊيزائن آپريشن کي جانچيو. سسٽم ڪنسول اسڪرپٽ مهيا ڪري ٿي مفيد حڪمن کي پڙهڻ لاءِ انگ اکر ۽ خاصيتن کي ڊزائين ۾ فعال ڪرڻ.
ٽيبل 4. سسٽم ڪنسول اسڪرپٽ ڪمانڊ
حڪم | وصف |
loop_on | TX کان RX اندروني سيريل لوپ بيڪ کي فعال ڪري ٿو. صرف Intel Stratix 10 H-tile ۽ Intel Arria 10 ڊوائيسز لاءِ استعمال ڪريو. |
loop_off | TX کان RX اندروني سيريل لوپ بيڪ کي غير فعال ڪري ٿو. صرف Intel Stratix 10 H-tile ۽ Intel Arria 10 ڊوائيسز لاءِ استعمال ڪريو. |
لنڪ _ init _ int _1pbk | TX کان RX اندروني سيريل لوپ بڪ کي ٽرانسيور اندر فعال ڪري ٿو ۽ ٽرانسيور جي حساب سان وهڪري کي انجام ڏئي ٿو. صرف Intel Stratix 10 E-Tile ۽ Intel Agilex 7 E-ٽائل ڊزائينز تي لاڳو. |
لنڪ _ init _ ext _1pbk | TX کان RX خارجي لوپ بڪ کي فعال ڪري ٿو ۽ ٽرانسيور جي حساب سان وهڪري کي انجام ڏئي ٿو. صرف Intel Stratix 10 E-Tile ۽ Intel Agilex 7 E-ٽائل ڊزائينز تي لاڳو. |
ٽريفڪ جين غير فعال | ٽريفڪ جنريٽر ۽ چيڪ ڪندڙ کي بند ڪري ٿو. |
chkmac انگ اکر | Ethernet MAC لاءِ انگ اکر ڏيکاري ٿو. |
پڙهو_ ٽيسٽ_ شماريات | ٽريفڪ جنريٽر ۽ چيڪرز لاءِ غلطي جا انگ اکر ڏيکاريو. |
ext _ لڳاتار _ موڊ _en | مڪمل ڊيزائن سسٽم کي ري سيٽ ڪري ٿو، ۽ ٽرئفڪ جنريٽر کي مسلسل ٽرئفڪ پيڪيٽس پيدا ڪرڻ جي قابل بنائي ٿو. |
dr _ 25g _ to _ log _etile | Ethernet MAC جي ڊيٽا جي شرح کي 25G کان 10G تائين تبديل ڪري ٿو. صرف Intel Stratix 10 E-tile ۽ Intel Agilex 7 E-tile ڊوائيسز لاءِ استعمال ڪريو. |
dr_25g_to_10g_htile | Ethernet MAC جي ڊيٽا جي شرح کي 25G کان 10G تائين تبديل ڪري ٿو. صرف H-ٽائل ڊوائيسز لاء استعمال ڪريو |
dr_10g_to_25g_etile | Ethernet MAC جي ڊيٽا جي شرح کي 10G کان 25G تائين تبديل ڪري ٿو. صرف Intel Stratix 10 E-tile ۽ Intel Agilex 7 E-tile ڊوائيسز لاءِ استعمال ڪريو. |
dr _ 25g _ to _ lOg _htile | Ethernet MAC جي ڊيٽا جي شرح کي 10G کان 25G تائين تبديل ڪري ٿو. صرف H-ٽائل ڊوائيسز لاء استعمال ڪريو. |
هيٺيون ايسampلي آئوٽ هڪ ڪامياب ٽيسٽ رن کي بيان ڪري ٿو:
سسٽم ڪنسول پرنٽ آئوٽ (چينل جو تعداد = 1)
چينل 0 EXT PTP TX SOP ڳڻپ: 256
چينل 0 EXT PTP TX EOP ڳڻپ: 256
چينل 0 EXT MISC TX SOP ڳڻپ: 36328972
چينل 0 EXT MISC TX EOP ڳڻپ: 36369511
چينل 0 EXT RX SOP ڳڻپ: 36410364
چينل 0 EXT RX EOP ڳڻپ: 36449971
چينل 0 EXT چيڪ ڪندڙ غلطيون: 0
چينل 0 EXT چڪاس ڪندڙ غلطيون شمار: 0
چينل 0 EXT PTP فنگر پرنٽ نقص: 0
چينل 0 EXT PTP فنگر پرنٽ نقص شمار: 0
چينل 0 TX SOP ڳڻپ: 1337760
چينل 0 TX EOP ڳڻپ: 1339229
چينل 0 RX SOP ڳڻپ: 1340728
چينل 0 RX EOP ڳڻپ: 1342555
چينل 0 جاچ ڪندڙ غلطيون: 0
چينل 0 جاچ ڪندڙ غلطيون شمار: 0
================================== =======================
============
ETHERNET MAC شماريات چينل 0 (Rx) لاءِ
================================== =======================
============
ٽڪرا ٽڪرا: 0
جابر ٿيل فريم: 0
FCS ايرر فريم سان ساڄي سائيز: 0
ملٽي ڪاسٽ ڊيٽا ارر فريم: 0
براڊڪاسٽ ڊيٽا ايرر فريم: 0
يونيڪاسٽ ڊيٽا ايرر فريم: 0
64 بائيٽ فريم: 3641342
65 - 127 بائيٽ فريم: 0
128 - 255 بائيٽ فريم: 37404809
256 - 511 بائيٽ فريم: 29128650
512 - 1023 بائيٽ فريم: 0
1024 - 1518 بائيٽ فريم: 0
1519 - MAX بائيٽ فريم: 0
> MAX بائيٽ فريم: 0
ملٽي ڪاسٽ ڊيٽا OK فريم: 70174801
براڊڪاسٽ ڊيٽا OK فريم: 0
يونيڪاسٽ ڊيٽا ٺيڪ فريم: 0
ملٽي ڪاسٽ ڪنٽرول فريم: 0
براڊڪاسٽ ڪنٽرول فريم: 0
يونيڪاسٽ ڪنٽرول فريم: 0
روڪ ڪنٽرول فريم: 0
پيل لوڊ آڪٽيٽس ٺيڪ: 11505935812
فريم آڪٽيٽس ٺيڪ: 12918701444
Rx وڌ ۾ وڌ فريم ڊگھائي: 1518
FCS Err فريم سان ڪا به سائيز: 0
ملٽي ڪاسٽ ڪنٽرول اير فريم: 0
براڊڪاسٽ ڪنٽرول اير فريم: 0
يونيڪاسٽ ڪنٽرول اير فريم: 0
روڪ ڪنٽرول اير فريم: 0
Rx فريم شروع ٿئي ٿو: 70174801
هيٺ ڏنل آهي ايسamp25G کان 10G DR ٽيسٽ رن لاءِ لي آئوٽ:
سسٽم ڪنسول پرنٽ آئوٽ (25G کان 10G DR اي ٽائل)
Ethernet 25G -> 10G لاءِ متحرڪ ٻيهر ترتيب ڏيڻ شروع ڪريو
DR ڪامياب 25G -> 10G
RX PHY رجسٽر جي رسائي: چيڪنگ ڪلاڪ فريڪوئنسيز (KHz)
TXCLK : 16114 (KHZ)
RXCLK : 16113 (KHZ)
RX PHY اسٽيٽس پولنگ
Rx فريکوئنسي لاڪ اسٽيٽس 0x0000000f
ميڪ ڪلاڪ ٺيڪ حالت ۾؟ 0x00000001
Rx فريم غلطي؟ 0x00000000
Rx PHY مڪمل طور تي ترتيب ڏنل؟ 0x00000001
پولنگ RX PHY چينل 0
RX PHY چينل 0 مٿي ۽ هلندڙ آهي!
سسٽم ڪنسول پرنٽ آئوٽ (25G کان 10G DR H-ٽائل)
Ethernet 25G -> 10G لاءِ متحرڪ ٻيهر ترتيب ڏيڻ شروع ڪريو
DR ڪامياب 25G -> 10G
RX PHY رجسٽر جي رسائي: چيڪنگ ڪلاڪ فريڪوئنسيز (KHz)
TXCLK : 15625 (KHZ)
RXCLK : 15625 (KHZ)
RX PHY اسٽيٽس پولنگ
Rx فريکوئنسي لاڪ اسٽيٽس 0x00000001
ميڪ ڪلاڪ ٺيڪ حالت ۾؟ 0x00000007
Rx فريم غلطي؟ 0x00000000
Rx PHY مڪمل طور تي ترتيب ڏنل؟ 0x00000001
پولنگ RX PHY چينل 0
RX PHY چينل 0 مٿي ۽ هلندڙ آهي!
سسٽم ڪنسول پرنٽ آئوٽ (10G کان 25G DR اي ٽائل)
Ethernet 10G -> 25G لاءِ متحرڪ ٻيهر ترتيب ڏيڻ شروع ڪريو
DR ڪامياب 10G -> 25G
RX PHY رجسٽر جي رسائي: چيڪنگ ڪلاڪ فريڪوئنسيز (KHz)
TXCLK : 40283 (KHZ)
RXCLK : 40283 (KHZ)
RX PHY اسٽيٽس پولنگ
Rx فريکوئنسي لاڪ اسٽيٽس 0x0000000f
ميڪ ڪلاڪ ٺيڪ حالت ۾؟ 0x00000001
Rx فريم غلطي؟ 0x00000000
Rx PHY مڪمل طور تي ترتيب ڏنل؟ 0x00000001
پولنگ RX PHY چينل 0
RX PHY چينل 0 مٿي ۽ هلندڙ آهي!
سسٽم ڪنسول پرنٽ آئوٽ (10G کان 25G DR H-ٽائل)
Ethernet 10G -> 25G لاءِ متحرڪ ٻيهر ترتيب ڏيڻ شروع ڪريو
DR ڪامياب 10G -> 25G
RX PHY رجسٽر جي رسائي: چيڪنگ ڪلاڪ فريڪوئنسيز (KHz)
TXCLK : 39061 (KHZ)
RXCLK : 39063 (KHZ)
RX PHY اسٽيٽس پولنگ
Rx فريکوئنسي لاڪ اسٽيٽس 0x00000001
ميڪ ڪلاڪ ٺيڪ حالت ۾؟ 0x00000007
Rx فريم غلطي؟ 0x00000000
Rx PHY مڪمل طور تي ترتيب ڏنل؟ 0x00000001
پولنگ RX PHY چينل 0
RX PHY چينل 0 مٿي ۽ هلندڙ آهي!
ڊيزائن Exampوضاحت
ڊزائن جو مثالample eCPRI IP ڪور جي بنيادي ڪارڪردگي کي ظاھر ڪري ٿو. توھان ٺاھي سگھوٿا ڊيزائن مان ExampeCPRI IP پيٽرولر ايڊيٽر ۾ ڊيزائن ٽيب.
2.1. خاصيتون
- اندروني TX ۽ RX سيريل لوپ بيڪ موڊ
- خودڪار طور تي مقرر ٿيل سائيز پيڪيٽ ٺاهي ٿو
- بنيادي پيڪيٽ جي چڪاس جي صلاحيتون
- ڊيزائن کي جانچڻ لاءِ سسٽم ڪنسول استعمال ڪرڻ جي صلاحيت ۽ ٻيهر ٽيسٽ جي مقصد لاءِ ڊيزائن کي ري سيٽ ڪرڻ
2.2. هارڊويئر ڊيزائن Example
شڪل 5. بلاڪ ڊاگرام لاءِ Intel Agilex 7 F-ٽائل ڊيزائن
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪابه ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ پروڊڪٽس يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن. * ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
شڪل 6. بلاڪ ڊاگرام لاءِ Intel Agilex 7 E-Tile Designsشڪل 7. انٽيل اسٽريٽڪس 10 ڊيزائن لاءِ بلاڪ ڊاگرام
شڪل 8. انٽيل آرريا 10 ڊيزائنز لاءِ بلاڪ ڊاگرامeCPRI Intel FPGA IP ڪور هارڊويئر ڊيزائن exampهيٺ ڏنل اجزاء شامل آهن:
eCPRI Intel FPGA IP
ٽريفڪ جنريٽر کان ڊيٽا کي قبول ڪري ٿو ٽيسٽ ريپر اندر فوري طور تي ۽ ڊيٽا کي ترجيح ڏي ٿو ايٿرنيٽ IP ڏانهن منتقلي لاءِ.
Ethernet IP
- ايف ٽائل ايٿرنيٽ انٽيل FPGA هارڊ آئي پي (انٽيل ايجيليڪس 7 ايف ٽائل ڊيزائن)
- Ethernet لاءِ اي ٽائل هارڊ IP (Intel Stratix 10 يا Intel Agilex 7 E-Tile Designs)
- 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-Tile Designs)
- گھٽ ويڪرائي Ethernet 10G MAC IP ۽ 1G/10GbE ۽ 10GBASE-KR PHY IP (Intel Arria 10 ڊيزائن)
پريسشن ٽائيم پروٽوڪول (PTP) IO PLL
Intel Stratix 10 H-Tile Designs لاءِ- Ethernet IP ۽ s لاءِ ويڪرائي ماپ ان پٽ ريفرنس ڪلاڪ پيدا ڪرڻ لاءِ فوري طور تيampling clock for Day of Time (TOD) سبسسٽم. 25G Ethernet Intel Stratix 10 FPGA IP لاءِ IEEE 1588v2 خصوصيت سان، Intel توهان کي سفارش ڪري ٿو ته هن ڪلاڪ جي تعدد کي 156.25 MHz تي مقرر ڪريو. وڌيڪ معلومات لاءِ 25G Ethernet Intel Stratix 10 FPGA IP يوزر گائيڊ ۽ Intel Stratix 10 H-Tile Transceiver PHY يوزر گائيڊ ڏانهن رجوع ڪريو. PTP IOPLL پڻ ٺاهي ٿو ريفرنس ڪلاڪ eCPRI IO PLL لاءِ cascading انداز ۾.
Intel Arria 10 ڊزائينز لاءِ- 312.5 MHz ۽ 156.25 MHz ڪلاڪ ان پٽز پيدا ڪرڻ لاءِ فوري طور تي گھٽ ليٽيسي ايٿرنيٽ 10G MAC IP ۽ 1G/10GbE، 10GBASE-KR PHY IP، ۽ eCPRI IP.
eCPRI IO PLL
ECPRI IP جي TX ۽ RX رستي لاءِ 390.625 MHz جي ڪور ڪلاڪ آئوٽ، ۽ ٽريفڪ اجزاء پيدا ڪري ٿي.
نوٽ: هي بلاڪ صرف ڊزائن ۾ موجود آهي اڳوڻيampلي انٽيل اسٽريٽڪس 10 ۽ انٽيل ايجيليڪس 7 ڊوائيسز لاءِ ٺاهيل آهي.
نوٽ: eCPRI Intel FPGA IP جو موجوده ورزن صرف IWF قسم 0 کي سپورٽ ڪري ٿو. Intel Agilex 7 F-ٽائل ڊوائيسز لاءِ، ڊيزائن اڳample فعال IWF خصوصيت سان سهڪار نه آهي.
جڏهن توهان ڊزائين ٺاهي رهيا آهيو example with Interworking Function (IWF) سپورٽ پيٽرولر بند ٿي ويو، پيڪٽ ٽرئفڪ سڌو سنئون ٽيسٽ ريپر ماڊل کان Avalon-ST سورس/sink انٽرفيس ۽ eCPRI IP جي خارجي ماخذ/sink انٽرفيس ڏانهن وهندو آهي.
جڏهن توهان ڊزائين ٺاهي رهيا آهيو example with Interworking Function (IWF) سپورٽ پيٽرول آن ڪيو، پيڪٽ ٽريفڪ IWF Avalon-ST سنڪ انٽرفيس ڏانهن وهندي آهي ٽيسٽ ريپر ماڊل مان پهريون، ۽ نڪرندي IWF Avalon-ST سورس انٽرفيس کان eCPRI Avalon-ST source/sink ڏانهن. انٽرفيس.
CPRI MAC
صارف جهاز، C&M، ۽ REC ۽ RE جي وچ ۾ هم وقت سازي جي معلومات جي منتقلي لاءِ پرت 1 جو CPRI حصو ۽ مڪمل پرت 2 پروٽوڪول مهيا ڪري ٿو ۽ گڏوگڏ ٻن RE جي وچ ۾،
CPRI PHY
سي پي آر آئي پرت جو باقي حصو مهيا ڪري ٿو 1 پروٽوڪول لائن ڪوڊنگ لاءِ، بٽ نقص جي اصلاح/پڙتال، وغيره.
نوٽ: CPRI MAC ۽ CPRI PHY IP ھن ڊيزائن ۾ فوري طور تيample صرف سي پي آر آئي لائن جي شرح 9.8 Gbps تي هلائڻ لاءِ ترتيب ڏنل آھن. ڊزائن جو مثالample موجوده رليز ۾ لائن جي شرح خودڪار ڳالهين جي حمايت نه ڪندو آهي.
ٽيسٽ ريپر
ٽريفڪ جنريٽر ۽ چيڪرز تي مشتمل آهي جيڪي هيٺ ڏنل اي سي پي آر آئي پي جي ايولون اسٽريمنگ (Avalon-ST) انٽرفيس کي ڊيٽا پيڪيٽ جا مختلف سيٽ ٺاهي ٿو:
- اي سي پي آر آئي پيڪٽس ڏانهن Avalon-ST ماخذ / سنڪ انٽرفيس (IWF خصوصيت غير فعال):
- صرف پيغام جي قسم 2 کي سپورٽ ڪري ٿو.
- ھر پيٽ لاءِ 72 بائيٽس جي وڌايل نموني واري موڊ جنريشن سان گڏ پوئتي کان پوئتي موڊ جنريشن.
- سي ايس آر ذريعي ترتيب ڏيڻ يا ته غير مسلسل يا مسلسل موڊ ۾ هلائڻ لاء.
- CSR ذريعي رسائي لاءِ موجود TX/RX پيڪٽ جي شمارياتي صورتحال. - اي سي پي آر آئي پيڪٽس ڏانهن Avalon-ST ماخذ / سنڪ انٽرفيس (IWF فيچر فعال):
- موجوده رليز ۾ صرف پيغام جي قسم 0 کي سپورٽ ڪري ٿو.
- انڪريمينٽل پيٽرن موڊ جنريشن سان گڏ انٽرپيڪٽ گپ جنريشن ۽ هر پيڪيٽ لاءِ 240 بائيٽ جي پيل لوڊ سائيز.
- سي ايس آر ذريعي ترتيب ڏيڻ يا ته غير مسلسل يا مسلسل موڊ ۾ هلائڻ لاء.
- CSR ذريعي رسائي لاءِ موجود TX/RX پيڪٽ جي شمارياتي صورتحال. - Precision Time Protocol (1588 PTP) پيڪيٽ ۽ غير PTP متفرق پيڪٽس خارجي ماخذ/sink interfaces ڏانهن:
- جامد ايٿرنيٽ هيڊر نسل اڳ ۾ بيان ڪيل پيٽرولن سان: Ethertype0x88F7، پيغام جو قسم- Opcode 0 (Sync)، ۽ PTP ورزن-0.
- 2 سائيڪلن جي انٽرپيڪٽ گپ سان ۽ هر پيڪيٽ لاءِ 57 بائيٽس جي پيل لوڊ سائيز سان اڳي بيان ڪيل نموني موڊ نسل.
- 128 پيڪيٽ هر هڪ سيڪنڊ جي عرصي ۾ ٺاهيا ويا آهن.
- سي ايس آر ذريعي ترتيب ڏيڻ يا ته غير مسلسل يا مسلسل موڊ ۾ هلائڻ لاء.
- CSR ذريعي رسائي لاءِ موجود TX/RX پيڪٽ جي شمارياتي صورتحال. - خارجي غير PTP متفرق پيڪيٽ:
- جامد ايٿرنيٽ هيڊر نسل اڳئين بيان ڪيل پيٽرولر سان، Ethertype- 0x8100 (غير PTP).
- PRBS پيٽرن موڊ جنريشن 2 سائيڪلن جي انٽرپيڪٽ گپ سان ۽ هر پيڪيٽ لاءِ 128 بائيٽ جي پيل لوڊ سائيز.
- سي ايس آر ذريعي ترتيب ڏيڻ يا ته غير مسلسل يا مسلسل موڊ ۾ هلائڻ لاء.
- CSR ذريعي رسائي لاءِ موجود TX/RX پيڪٽ جي شمارياتي صورتحال.
ڏينهن جو وقت (TOD) سب سسٽم
TX ۽ RX ٻنهي لاءِ ٻه IEEE 1588 TOD ماڊل شامل آهن، ۽ هڪ IEEE 1588 TOD Synchronizer ماڊل Intel Quartus Prime سافٽ ويئر پاران ٺاهيل آهي.
Nios® II سب سسٽم
Avalon-MM پل تي مشتمل آهي جيڪا اجازت ڏئي ٿي Avalon-MM ڊيٽا ثالثي جي وچ ۾ Nios II پروسيسر، ٽيسٽ ريپر، ۽ Avalon® -MM ايڊريس ڊيڪوڊر بلاڪ.
Nios II ذميوار آهي ڊيٽا جي شرح سوئچنگ کي انجام ڏيڻ جي بنياد تي ٽيسٽ ريپر جي ريٽ_سوئچ رجسٽر ويل جي پيداوار جي بنياد تي. هي بلاڪ ضروري رجسٽر کي پروگرام ڪري ٿو هڪ دفعو ان کي ٽيسٽ ريپر کان حڪم ملي ٿو.
نوٽ: هي بلاڪ ڊزائن ۾ موجود ناهيampلي انٽيل آرريا 10 ۽ Intel Agilex 7 F-ٽائل ڊوائيسز لاءِ ٺاهيل آهي.
سسٽم ڪنسول
توهان کي پهرين سطح جي ڊيبگنگ ڪرڻ ۽ IP جي صورتحال مانيٽر ڪرڻ لاءِ هڪ صارف دوست انٽرفيس مهيا ڪري ٿو، ۽ ٽرئفڪ جنريٽر ۽ چيڪرز.
ڊيمو ڪنٽرول
ھي ماڊل ري سيٽ سنڪرونائيزر ماڊلز، ۽ ان-سسٽم سورس ۽ پروب (ISSP) ماڊلز تي مشتمل آھي ڊيزائن سسٽم ڊيبگنگ ۽ شروعاتي عمل لاءِ.
لاڳاپيل معلومات
- 25G Ethernet Intel Stratix 10 FPGA IP يوزر گائيڊ
- اي ٽائل هارڊ IP صارف گائيڊ
- eCPRI Intel FPGA IP يوزر گائيڊ
- 25G Ethernet Intel Stratix 10 FPGA IP ڊيزائن Exampلي يوزر گائيڊ
- اي ٽائل هارڊ IP لاءِ Intel Stratix 10 Design Examples يوزر گائيڊ
- Intel Stratix 10 L- ۽ H-Tile Transceiver PHY يوزر گائيڊ
- اي ٽائل ٽرانسيور PHY استعمال ڪندڙ ھدايت
- Intel Stratix 10 10GBASE-KR PHY IP يوزر گائيڊ
- اي ٽائل هارڊ IP Intel Agilex Design Exampلي يوزر گائيڊ
2.3. Simulation Design Example
اي سي پي آر آئي ڊيزائن اڳوڻيample هڪ تخليق ٽيسٽ بينچ ۽ تخليق ٺاهي ٿو files جيڪو eCPRI Intel FPGA IP ڪور کي انسٽيٽيو ڪري ٿو جڏھن توھان چونڊيو ٿا Simulation يا Synthesis & Simulation اختيار.
شڪل 9. eCPRI Intel FPGA IP Simulation Block Diagram
نوٽ: Nios II سب سسٽم بلاڪ ڊزائن ۾ موجود ناهيampلي انٽيل آرريا 10 ۽ Intel Agilex 7 F-ٽائل ڊوائيسز لاءِ ٺاهيل آهي.
هن ڊزائن ۾ اڳوڻيampلي، سموليشن ٽيسٽ بينچ بنيادي ڪارڪردگي مهيا ڪري ٿي جهڙوڪ شروع ڪرڻ ۽ انتظار ڪرڻ لاءِ لاڪ، منتقلي ۽ پيڪيٽ وصول ڪرڻ.
ڪامياب ٽيسٽ رن ھيٺ ڏنل رويي جي تصديق ڪندي ٻاھر ڏيکاري ٿو:
- ڪلائنٽ منطق IP ڪور کي ري سيٽ ڪري ٿو.
- ڪلائنٽ منطق RX ڊيٽا پاٿ جي ترتيب جي انتظار ۾ آهي.
- ڪلائنٽ منطق Avalon-ST انٽرفيس تي پيڪيٽ منتقل ڪري ٿو.
- وصول ڪريو ۽ چيڪ ڪريو مواد ۽ پيڪن جي درستگي لاءِ.
- ڏيکاريو "Test PASSED" پيغام.
2.4. انٽرفيس سگنل
ٽيبل 5. ڊيزائن Exampلي انٽرفيس سگنل
سگنل | ھدايت | وصف |
clk_ref | ان پٽ | Ethernet MAC لاءِ حوالو گھڙي. • Intel Stratix 10 E-ٽائل، Intel Agilex 7 E-ٽائل ۽ F-ٽائل ڊزائينز لاءِ، E-tile Ethernet Hard IP core يا F-tile Ethernet Hard IP core لاءِ 156.25 MHz ڪلاڪ ان پٽ. Ethernet Hard IP ۾ i_clk_ref[0] سان ڳنڍيو. • Intel Stratix 10 H-ٽائل ڊزائينز لاءِ، Transceiver ATX PLL ۽ 322.2625G Ethernet IP لاءِ 25 MHz ڪلاڪ ان پٽ. ٽرانسيور ATX PLL ۾ pll_refclk0[0] ۽ 0G Ethernet IP ۾ clk_ref[25] سان ڳنڍيو. • Intel Arria 10 ڊزائينز لاءِ، Transceiver ATX PLL ۽ 322.265625G/ 1GbE ۽ 10GBase-KR PHY IP لاءِ 10 MHz ڪلاڪ ان پٽ. 0G/0GbE ۽ 10G BASE-KR PHY IP ۾ ٽرانسيور ATX PLL ۽ rx_cdr_ref_clk_0g[1] ۾ pll_refclk10[10] سان ڳنڍيو. |
tod_sync_sampling_clk | ان پٽ | Intel Arria 10 ڊيزائنز لاءِ، TOD سبسسٽم لاءِ 250 MHz ڪلاڪ ان پٽ. |
clk100 | ان پٽ | انتظامي ڪلاڪ. هي ڪلاڪ پي ٽي پي لاءِ latency_clk پيدا ڪرڻ لاءِ استعمال ڪيو ويندو آهي. 100 MHz تي ڊرائيو. |
mgmt_reset_n | ان پٽ | Nios II سسٽم لاءِ سگنل ري سيٽ ڪريو. |
tx_serial | ٻاھر | TX سيريل ڊيٽا. 4 چينلن کي سپورٽ ڪري ٿو. |
rx_serial | ان پٽ | RX سيريل ڊيٽا. 4 چينلن کي سپورٽ ڪري ٿو. |
iwf_cpri_ehip_ref_clk | ان پٽ | اي ٽائل CPRI PHY حوالو گھڙي ان پٽ. ھي گھڙي صرف Intel Stratix 10 E-tile ۽ Intel ۾ موجود آھي Agilex 7 اي ٽائل ڊيزائن. 153.6 Gbps CPRI لائن جي شرح لاءِ 9.8 MHz تي ڊرائيو ڪريو. |
iwf_cpri_pll_refclk0 | ٻاھر | CPRI TX PLL حوالو گھڙي. • Intel Stratix 10 H-ٽائل ڊيزائن لاءِ: ڊرائيو 307.2 MHz تي CPRI ڊيٽا جي شرح 9.8 Gbps لاءِ. • Intel Stratix 10 E-tile ۽ Intel Agilex 7 E-tile ڊيزائن لاءِ: CPRI ڊيٽا جي شرح 156.25 Gbps لاءِ 9.8 MHz تي ڊرائيو ڪريو. |
iwf_cpri_xcvr_cdr_refclk | ٻاھر | CPRI وصول ڪندڙ CDR حوالو گھڙي. هي ڪلاڪ صرف Intel Stratix 10 H-ٽائل ڊيزائن ۾ موجود آهي. 307.2 Gbps CPRI لائن جي شرح لاءِ 9.8 MHz تي ڊرائيو ڪريو. |
iwf_cpri_xcvr_txdataout | ٻاھر | سي پي آر سي سيريل ڊيٽا کي منتقل ڪري ٿو. 4 چينلن کي سپورٽ ڪري ٿو. |
iwf_cpri_xcvr_rxdatain | ٻاھر | CPRI وصول ڪندڙ سيريل ڊيٽا. 4 چينلن کي سپورٽ ڪري ٿو. |
cpri_gmii_clk | ان پٽ | CPRI GMII 125 MHz ان پٽ گھڙي. |
لاڳاپيل معلومات
PHY انٽرفيس سگنل
25G Ethernet Intel FPGA IP جي PHY انٽرفيس سگنلن کي لسٽ ڪري ٿو.
2.5. ڊيزائن Example رجسٽر نقشو
هيٺ ڏنل آهي رجسٽر ميپنگ لاءِ eCPRI IP ڪور ڊيزائن exampاليزي:
ٽيبل 6. eCPRI Intel FPGA IP ڊيزائن Example رجسٽر ميپنگ
پتو | رجسٽر |
0x20100000 – 0x201FFFFF(2) | IOPLL ٻيهر ترتيب ڏيڻ وارو رجسٽر. |
0x20200000 - 0x203FFFFF | Ethernet MAC Avalon-MM رجسٽر |
0x20400000 - 0x205FFFFF | Ethernet MAC اصلي PHY Avalon-MM رجسٽر |
0x20600000 – 0x207FFFFF(2) | اصلي PHY RS-FEC Avalon-MM رجسٽر. |
0x40000000 - 0x5FFFFFFF | eCPRI IP Avalon-MM رجسٽر |
0x80000000 - 0x9FFFFFFF | Ethernet ڊيزائن ٽيسٽ جنريٽر/Verifier Avalon-MM رجسٽر |
ٽيبل 7. Nios II رجسٽر ميپنگ
ھيٺ ڏنل جدول ۾ رجسٽر صرف ڊزائن ۾ موجود آھنampانٽيل اسٽريٽڪس 10 يا Intel Agilex 7 اي ٽائل ڊوائيسز لاءِ ٺاهيل.
پتو | رجسٽر |
0x00100000 - 0x001FFFFF | IOPLL ٻيهر ترتيب ڏيڻ وارو رجسٽر |
0x00200000 - 0x003FFFFF | Ethernet MAC Avalon-MM رجسٽر |
0x00400000 - 0x005FFFFF | Ethernet MAC اصلي PHY Avalon-MM رجسٽر |
0x00600000 - 0x007FFFFF | اصلي PHY RS-FEC Avalon-MM رجسٽر |
نوٽ: توھان رسائي ڪري سگھوٿا Ethernet MAC ۽ Ethernet MAC Native PHY AVMM رجسٽرز استعمال ڪندي بائيٽ آفسيٽ جي بدران لفظ آفسيٽ.
Ethernet MAC، Ethernet MAC Native PHY، ۽ eCPRI IP ڪور رجسٽر نقشن تي تفصيلي ڄاڻ لاءِ، حوالي ڪريو لاڳاپيل استعمال ڪندڙ ھدايتون.
(2) صرف ڊزائن ۾ موجود آهيampانٽيل اسٽريٽڪس 10 ۽ انٽيل ايجيليڪس 7 اي ٽائل ڊوائيسز لاءِ ٺاهيل.
ٽيبل 8. eCPRI Intel FPGA IP هارڊويئر ڊيزائن Example رجسٽر نقشو
لفظ آفسٽ | رجسٽر جو قسم | ڊفالٽ قدر | رسائي جو قسم |
0x0 | ڊيٽا موڪلڻ شروع ڪريو: • بٽ 1: PTP، غير PTP قسم • بٽ 0: eCPRI قسم |
0x0 | RW |
0x1 | مسلسل پيڪٽ فعال ڪريو | 0x0 | RW |
0x2 | غلطي صاف ڪريو | 0x0 | RW |
0x3 (3) | شرح مٽائي: • بٽ [7]- ٽائل اشارو ڪري ٿو: - 1'b0: ايڇ ٽائل - 1'b1: اي ٽائل • بٽ [6:4]- اشارو ڪري ٿو ايٿرنيٽ ڊيٽا جي شرح مٽائڻ: - 3'b000: 25G کان 10G - 3'b001: 10G کان 25G • بٽ [0]- سوئچ ريٽ فعال. هن بٽ 0 کي سيٽ ڪرڻ ۽ پول ڪرڻ جي ضرورت آهي جيستائين ريٽ سوئچنگ لاءِ بٽ 0 صاف نه ٿئي. نوٽ: هي رجسٽر Intel Agilex 7 F-tile ۽ Intel Arria 10 ڊزائينز لاءِ دستياب ناهي. |
• اي ٽائل: 0x80 • ايڇ ٽائل: 0x0 |
RW |
0x4 (3) | شرح تبديل ٿي ويو: • بٽ [1] اشارو ڪري ٿو ريٽ سوئچنگ ٿي چڪو آهي. |
0x0 | RO |
0x5 (4) | سسٽم جي ٺاھ جوڙ جي حالت: • بٽ [31]: سسٽم تيار • بٽ [30]: IWF_EN • بٽ [29]: STARTUP_SEQ_EN • بٽ [28:4]: محفوظ • بٽ [3]: EXT_PACKET_EN • بٽ [2:0]: محفوظ |
0x0 | RO |
0x6 (4) | CPRI ڳالهين مڪمل: • بٽ [3:0]: بٽ جي شرح مڪمل • بٽ [19:16]: پروٽوڪول مڪمل |
0x0 | RW |
0x7 (4) | CPRI ڳالهين مڪمل: • بٽ [3:0]: فاسٽ C&M مڪمل • بٽ [19:16]: فاسٽ VSS مڪمل |
0x0 | RW |
0x8 - 0x1F | رکيل. | ||
0x20 | eCPRI غلطي مداخلت: • بٽ [0] مداخلت کي اشارو ڪري ٿو. |
0x0 | RO |
0x21 | خارجي پيڪٽس جي غلطي | 0x0 | RO |
0x22 | خارجي پي ٽي پي پيڪٽس TX پيڪٽ جي شروعات (SOP) ڳڻپ | 0x0 | RO |
0x23 | خارجي پي ٽي پي پيڪٽس TX پيڪٽ جي آخر (EOP) ڳڻپ | 0x0 | RO |
0x24 | خارجي متفرق پيڪٽس TX SOP ڳڻپ | 0x0 | RO |
0x25 | خارجي متفرق پيڪٽس TX EOP ڳڻپ | 0x0 | RO |
0x26 | ٻاهرين RX پيڪٽس SOP ڳڻپ | 0x0 | RO |
0x27 | خارجي RX پيڪٽس EOP ڳڻپ | 0x0 | RO |
0x28 | خارجي پيڪٽس جي غلطي جي ڳڻپ | 0x0 | RO |
0x29 - 0x2 سي | رکيل. | ||
0x2D | خارجي پي ٽي پي ٽائمسٽamp فنگر پرنٽ جي غلطي جو شمار | 0x0 | RO |
0x2E | خارجي پي ٽي پي ٽائمسٽamp فنگر پرنٽ جي غلطي | 0x0 | RO |
0x2F | خارجي Rx نقص جي حالت | 0x0 | RO |
0x30 - 0x47 | رکيل. | ||
0x48 | eCPRI پيڪٽس جي غلطي | RO | |
0x49 | eCPRI TX SOP شمار | RO | |
0x4A | eCPRI TX EOP ڳڻپ | RO | |
0x4B | eCPRI RX SOP شمار | RO | |
0x4 سي | eCPRI RX EOP شمار | RO | |
0x4D | eCPRI پيڪٽس جي غلطي جي ڳڻپ | RO |
لاڳاپيل معلومات
- ڪنٽرول, اسٽيٽس, ۽ انگ اکر رجسٽر تفصيل
25G Ethernet Stratix 10 FPGA IP لاءِ معلومات رجسٽر ڪريو - Reconfiguration ۽ اسٽيٽس رجسٽر
تفصيلات رجسٽري معلومات Ethernet لاءِ اي ٽائل هارڊ IP لاءِ - رجسٽر
eCPRI Intel FPGA IP لاءِ معلومات رجسٽر ڪريو
eCPRI Intel FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز
هن يوزر گائيڊ جي جديد ۽ پوئين ورزن لاءِ، حوالي ڪريو eCPRI Intel FPGA IP Design Exampلي يوزر گائيڊ HTML ورزن. ورجن چونڊيو ۽ ڪلڪ ڪريو ڊائون لوڊ. جيڪڏهن هڪ IP يا سافٽ ويئر ورزن درج نه ڪيو ويو آهي، اڳوڻي IP يا سافٽ ويئر ورزن لاءِ صارف گائيڊ لاڳو ٿئي ٿو.
ECPRI Intel FPGA IP Design Ex لاءِ دستاويز جي نظرثاني جي تاريخampلي يوزر گائيڊ
دستاويزي نسخو | Intel Quartus وزيراعظم نسخو |
IP نسخو | تبديليون |
2023.05.19 | 23.1 | 2.0.3 | • سميولنگ دي ڊيزائن کي اپڊيٽ ڪيو ExampLe Testbench سيڪشن ۾ Quick Start Guide باب. • پراڊڪٽ جو خانداني نالو اپڊيٽ ڪيو ”Intel Agilex 7“. |
2022.11.15 | 22.3 | 2.0.1 | سيڪشن ۾ وي سي ايس سميوليٽر لاءِ اپڊيٽ ڪيل هدايتون: ڊيزائن کي ترتيب ڏيڻ Exampلي ٽيسٽ بينچ. |
2022.07.01 | 22.1 | 1.4.1 | • شامل ڪيو ويو هارڊويئر ڊيزائن exampIntel Agilex 7 F-ٽائل ڊيوائس جي مختلف قسمن لاءِ سپورٽ. • شامل ڪيل سپورٽ هيٺين ڊولپمينٽ ڪٽس لاءِ: - Intel Agilex 7 I-Series FPGA ڊولپمينٽ کٽ - Intel Agilex 7 I-Series Transceiver-SoC ڊولپمينٽ کٽ QuestaSim سمائيٽر لاءِ شامل ڪيل سپورٽ. • ModelSim*SE simulator لاءِ سپورٽ ختم ڪئي وئي. |
2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-ٽائل ڊوائيسز لاءِ سپورٽ شامل ڪئي وئي. • ملٽي چينل ڊيزائن لاءِ سپورٽ شامل ڪئي وئي. • اپڊيٽ ٿيل جدول: eCPRI Intel FPGA IP هارڊويئر ڊيزائن Example رجسٽر نقشو. • NCSim simulator لاءِ سپورٽ ختم ڪئي وئي. |
2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-tile ڊوائيسز لاءِ سپورٽ شامل ڪئي وئي. |
2021.01.08 | 20.3 | 1.2.0 | • eCPRI Intel Stratix 10 FPGA IP Design Ex کان دستاويز جو عنوان تبديل ڪيو ويوampاستعمال ڪندڙ ھدايت ڪرڻ لاء eCPRI Intel FPGA IP ڊيزائن Exampلي يوزر گائيڊ. • Intel Arria 10 ڊزائينز لاءِ سپورٽ شامل ڪئي وئي. • eCPRI IP ڊيزائن Example هاڻي موجود آهي interworking function (IWF) فيچر سپورٽ سان. • واضح ڪرڻ لاءِ هڪ نوٽ شامل ڪيو ويو آهي ته eCPRI ڊيزائن example IWF خصوصيت سان صرف 9.8 Gbps CPRI لاءِ دستياب آهي لائن بٽ جي شرح. • سيڪشن ۾ شامل ڪيل حالتون جنريٽنگ دي ڊيزائين جڏهن ڊيزائن ٺاهيندي exampسان Interworking Function (IWF) سپورٽ پيٽرولر کي فعال ڪيو ويو. • شامل ڪيو ويو ايسampلي سيميوليشن ٽيسٽ رن آئوٽ پُٽ IWF فيچر سان فعال ٿيل سيڪشن ۾ ٺهيل ڊيزائن Exampلي ٽيسٽ بينچ. • شامل ڪيو ويو نئون سيڪشن Ethernet IP تي متحرڪ ريڪنفيگريشن کي فعال ڪرڻ. • اپڊيٽ ٿيل هارڊويئر ٽيسٽ ايسampلي آئوٽ سيڪشن ۾ ٽيسٽ ڪندي eCPRI Intel FPGA IP ڊيزائن Exampلي. |
2020.06.15 | 20.1 | 1.1.0 | • شامل ڪيل سپورٽ 10G ڊيٽا جي شرح لاءِ. • flow.c file ھاڻي دستياب آھي ڊيزائن سانampلوپ بيڪ موڊ چونڊڻ لاءِ نسل. • تبديل ٿيل ايسample output for simulation test run in section Simulating the Design Exampلي ٽيسٽ بينچ. • سيڪشن ۾ 10G ڊيٽا جي شرح جي ڊيزائن کي گڏ ڪرڻ ۽ ترتيب ڏيڻ لاءِ فريڪوئنسي قدر شامل ڪيو ويو ڊيزائن Exampهارڊويئر ۾. • اي سي پي آر آئي انٽيل FPGA IP ڊيزائن Exampاليزي: - 10G ۽ 25G جي وچ ۾ ڊيٽا جي شرح کي تبديل ڪرڻ لاء حڪم شامل ڪيو ويو - شامل ڪيو ويو ايسampڊيٽا جي شرح جي سوئچنگ لاءِ لي آئوٽ شامل ڪيو ويو TEST_MODE متغير معلومات اي-ٽائل ڊيوائس جي مختلف قسمن ۾ لوپ بيڪ چونڊڻ لاءِ. • تبديل ٿيل eCPRI Intel FPGA IP هارڊويئر ڊيزائن Examples هاء ليول بلاڪ ڊاگرام شامل ڪرڻ لاء نئون بلاڪ. • اپڊيٽ ٿيل ٽيبل: ڊيزائن Exampلي انٽرفيس سگنل شامل ڪرڻ لاءِ نئون سگنل. • اپڊيٽ ٿيل ڊيزائن Example رجسٽر نقشو سيڪشن. • نئون ضميمو سيڪشن شامل ڪيو ويو: ايگزيڪيوٽوبل ۽ لنڪنگ فارميٽ (.elf) پروگرامنگ ٺاهڻ ۽ ڊائون لوڊ ڪرڻ File . |
2020.04.13 | 19.4 | 1.1.0 | شروعاتي ڇڏڻ. |
A. Executable ۽ Linking Format (.elf) پروگرامنگ ٺاهي ۽ ڊائون لوڊ ڪرڻ File
هي سيڪشن بيان ڪري ٿو ته ڪيئن ٺاهي ۽ ڊائون لوڊ ڪجي .elf file بورڊ ڏانهن:
- ڊاريڪٽري کي تبديل ڪريوample_dir>/synthesis/quatus.
- Intel Quartus Prime Pro Edition سافٽ ويئر ۾، اوپن پروجيڪٽ تي ڪلڪ ڪريو ۽ کوليوample_dir>/synthesis/quartus/epri_ed.qpf. ھاڻي چونڊيو اوزار ➤ Nios II Software Build Tools for Eclipse.
شڪل 10. Nios II سافٽ ويئر ٺاھڻ جا اوزار Eclipse لاءِ - ڪم اسپيس لانچر ونڊو پرامپٹ ظاهر ٿئي ٿو. ڪم اسپيس ۾ رستو بيان ڪريو جيئنample_dir>/synthesis/quatus توهان جي Eclipse پروجيڪٽ کي ذخيرو ڪرڻ لاء. نئون Nios II - Eclipse ونڊو ظاهر ٿئي ٿو.
شڪل 11. ورڪ اسپيس لانچر ونڊو - Nios II - Eclipse ونڊو ۾، پراجيڪٽ ايڪسپلورر ٽيب جي تحت صحيح ڪلڪ ڪريو، ۽ نئون ➤ Nios II بورڊ سپورٽ پيڪيج چونڊيو. نئين ونڊو ظاهر ٿيندي.
شڪل 12. پروجيڪٽ ايڪسپلورر ٽيب - Nios II بورڊ سپورٽ پيڪيج ونڊو ۾:
• پروجيڪٽ جو نالو پيٽرولر ۾، توهان جي گهربل منصوبي جو نالو بيان ڪريو.
• SOPC معلومات ۾ File نالو پيٽرولر، جي جڳھ ڏانھن براؤز ڪريوample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. ختم ڪريو تي ڪلڪ ڪريو.
شڪل 13. Nios II بورڊ سپورٽ پيڪيج ونڊو - نئون ٺاهيل پروجيڪٽ ظاهر ٿئي ٿو پروجيڪٽ ايڪسپلورر ٽيب ۾ Nios II Eclipse ونڊو ۾. پروجيڪٽ ايڪسپلورر ٽيب جي تحت صحيح ڪلڪ ڪريو، ۽ چونڊيو Nios II ➤ Nios II Command Shell.
شڪل 14. پروجيڪٽ ايڪسپلورر- Nios II ڪمانڊ شيل - Nios II ڪمانڊ شيل ۾، ٽي ھيٺيون حڪم ٽائپ ڪريو: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile -app-dir ايپ -bsp-dir bsp -elf-name\ nios_system.elf -src-dir ../../../ed_fw make -directory=app
- .ايلف file ھيٺ ڏنل جڳھ ۾ ٺاھيو ويو آھي:ample_dir>/ synthesis/ip_components/software/ /ايپ.
- هيٺ ڏنل حڪم ٽائپ ڪريو Nios II Command Shell ۾ .elf کي بورڊ تي ڊائون لوڊ ڪرڻ لاءِ:
• Intel Stratix 10 لاءِ: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
• Intel Agilex 7 لاءِ: nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf
آن لائين ورجن
موٽ موڪليو
يو جي-20278
683837
2023.05.19
eCPRI Intel® FPGA IP ڊيزائن Exampلي يوزر گائيڊ
دستاويز / وسيلا
![]() |
Intel eCPRI Intel FPGA IP ڊيزائن [pdf] استعمال ڪندڙ ھدايت eCPRI Intel FPGA IP ڊيزائن, eCPRI, Intel FPGA IP ڊيزائن, FPGA IP ڊيزائن, IP ڊيزائن, ڊيزائن |