intel-LOGO

intel AN 805 Iṣatunṣe Apakan Iṣepo ti Apẹrẹ kan lori Igbimọ Idagbasoke Arria 10 SoC

intel-AN-805-Hierarchical-Partial-Atunto-ti-apẹrẹ-lori-Arria-10-SoC-Idagbasoke-Board-ọja

Ilana Atunto Apapọ Iṣọkan fun Intel® Arria® 10 SoC Development Board

Akọsilẹ ohun elo yii n ṣe afihan iyipada apẹrẹ ti o rọrun sinu apẹrẹ atunto apakan ni akoso, ati imuse apẹrẹ lori igbimọ idagbasoke Intel® Arria® 10 SoC. Atunto apakan apa kan (HPR) jẹ oriṣi pataki ti atunto apa kan (PR), nibiti o ti ni agbegbe PR kan laarin agbegbe PR miiran. O le ṣẹda awọn eniyan pupọ fun awọn ọmọ ati awọn ipin obi. O ṣe itẹ-ẹiyẹ awọn ipin ọmọ laarin awọn ipin obi wọn. Atunto ipin ọmọ ko ni ipa iṣẹ ni obi tabi awọn agbegbe aimi. Atunto ipin obi ko ni ipa iṣẹ ṣiṣe ni agbegbe aimi, ṣugbọn rọpo awọn ipin ọmọ ti agbegbe obi pẹlu awọn eniyan ipin ọmọ aiyipada. Ọna yii jẹ doko ni awọn eto nibiti awọn iṣẹ lọpọlọpọ ti pin akoko-pin awọn orisun ẹrọ FPGA kanna.
Atunto apa kan pese awọn ilọsiwaju wọnyi si apẹrẹ alapin kan:

  • Faye gba ṣiṣe-akoko oniru atunto
  • Ṣe alekun scalability ti apẹrẹ
  • Dinku akoko idaduro eto
  • Ṣe atilẹyin awọn iṣẹ akoko-multiplexing ti o ni agbara ninu apẹrẹ
  • N dinku idiyele ati agbara agbara nipasẹ lilo daradara ti aaye igbimọ
  • Akiyesi:
  • Imuse apẹrẹ itọkasi yii nilo ifaramọ ipilẹ pẹlu ṣiṣan imuse Intel Quartus® Prime FPGA ati imọ ti iṣẹ akanṣe Intel Quartus Prime akọkọ files.

Alaye ti o jọmọ

  • Intel Arria 10 SoC Development Apo olumulo Itọsọna
  • Awọn Agbekale Atunto apakan
  • Apa kan atunto Design sisan
  • Apa kan atunto Design Awọn iṣeduro
  • Apa kan reconfiguration Design ero

Reference Design ibeere

Apẹrẹ itọkasi yii nilo awọn atẹle:

  • Ẹya sọfitiwia Intel Quartus Prime Pro Edition 17.1 fun imuse apẹrẹ.
  • Ohun elo idagbasoke Intel Arria 10 SoC fun imuse FPGA.

Intel Corporation. Gbogbo awọn ẹtọ wa ni ipamọ. Intel, aami Intel, ati awọn aami Intel miiran jẹ aami-išowo ti Intel Corporation tabi awọn oniranlọwọ rẹ. Intel ṣe atilẹyin iṣẹ ṣiṣe ti FPGA rẹ ati awọn ọja semikondokito si awọn pato lọwọlọwọ ni ibamu pẹlu atilẹyin ọja boṣewa Intel, ṣugbọn ni ẹtọ lati ṣe awọn ayipada si eyikeyi awọn ọja ati iṣẹ nigbakugba laisi akiyesi. Intel ko gba ojuse tabi layabiliti ti o dide lati inu ohun elo tabi lilo eyikeyi alaye, ọja, tabi iṣẹ ti a ṣalaye ninu rẹ ayafi bi a ti gba ni kikun si kikọ nipasẹ Intel. A gba awọn alabara Intel nimọran lati gba ẹya tuntun ti awọn pato ẹrọ ṣaaju gbigbekele eyikeyi alaye ti a tẹjade ati ṣaaju gbigbe awọn aṣẹ fun awọn ọja tabi awọn iṣẹ.

  • Awọn orukọ miiran ati awọn ami iyasọtọ le jẹ ẹtọ bi ohun-ini ti awọn miiran.

Reference Design Loriview

  • Yi itọkasi oniru oriširiši ọkan 32-bit counter. Ni ipele igbimọ, apẹrẹ naa so aago pọ si orisun 50MHz ati so iṣẹjade pọ si awọn LED mẹrin lori FPGA. Yiyan iṣẹjade lati awọn iwọn counter ni ọkọọkan kan jẹ ki awọn LED seju ni igbohunsafẹfẹ kan pato.
    Olusin 1. Alapin Reference Design lai PR Partitioningintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-1

Apẹrẹ itọkasi Files

Ikẹkọ atunto apa kan wa ni ipo atẹle: https://github.com/intel/fpga-partial-reconfig
Lati ṣe igbasilẹ ikẹkọ:

  1. Tẹ Clone tabi ṣe igbasilẹ.
  2. Tẹ Gba ZIP silẹ. Yọọ FPGA-partial-config-master.zip file.
  3. Lilö kiri si awọn olukọni/a10_soc_devkit_blinking_led_hpr folda lati wọle si apẹrẹ itọkasi.

Awọn Building folda oriširiši awọn wọnyi files: 

Table 1. Reference Design Files

File Oruko Apejuwe
oke. SV Ipele oke file ni awọn Building imuse ti awọn oniru. Eleyi module instantiates awọn blinking_led iha-ipin ati top_counter module.
oke_counter.sv Oke-ipele 32-bit counter ti o dari LED[1] taara. Awọn aami-ijade ti counter idari LED [0], ati ki o tun agbara LED [2] ati LED[3] nipasẹ blinking_led module.
blinking_led.sdc Ṣe alaye awọn ihamọ akoko fun iṣẹ akanṣe naa.
tesiwaju…
File Oruko Apejuwe
blinking_led.SV Ninu ikẹkọ yii, o ṣe iyipada module yii sinu ipin PR obi kan. Awọn module gba awọn aami-o wu ti top_counter module, ti o išakoso LED [2] ati LED [3].
blinking_led.qpf Intel Quartus NOMBA ise agbese file ti o ni awọn akojọ ti gbogbo awọn atunṣe ni ise agbese.
blinking_led.qsf Intel Quartus NOMBA eto file ti o ni awọn iṣẹ iyansilẹ ati eto fun ise agbese.

Akiyesi: Awọn hpr folda ni awọn pipe ṣeto ti files o ṣẹda nipa lilo akọsilẹ ohun elo yii. Tọkasi awọn wọnyi files ni eyikeyi ojuami nigba ti Ririn.

olusin 2. Reference Design Filesintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-2

Reference Design Ririn

Awọn igbesẹ wọnyi ṣe apejuwe ohun elo ti atunto apakan si apẹrẹ alapin. Ikẹkọ naa nlo sọfitiwia Intel Quartus Prime Pro Edition fun igbimọ idagbasoke Intel Arria 10 SoC:

  • Igbesẹ 1: Bibẹrẹ ni oju-iwe 6
  • Igbesẹ 2: Ṣẹda Ipele Ipele Ọmọ kan ni oju-iwe 6
  • Igbesẹ 3: Ṣiṣẹda Awọn ipin Apẹrẹ ni oju-iwe 7
  • Igbesẹ 4: Pipin Ibi-ipo ati Agbegbe Ipa-ọna fun Awọn ipin PR ni oju-iwe 9
  • Igbesẹ 5: Ṣafikun Intel Arria 10 Alakoso Itunṣe atunto apakan IP Core ni oju-iwe 10
  • Igbesẹ 6: Itumọ Awọn eniyan ni oju-iwe 13
  • Igbesẹ 7: Ṣiṣẹda Awọn atunṣe ni oju-iwe 15
  • Igbesẹ 8: Ṣiṣẹda iwe afọwọkọ Iṣatunṣe Apakan Iṣalaye ni oju-iwe 20
  • Igbesẹ 9: Nṣiṣẹ Iwe afọwọkọ Iṣatunṣe Apakan Iṣatunṣe ni oju-iwe 21
  • Igbesẹ 10: Siseto Igbimọ ni oju-iwe 22

Igbesẹ 1: Bibẹrẹ

Lati daakọ apẹrẹ itọkasi files si agbegbe iṣẹ rẹ ki o ṣajọ apẹrẹ alapin blinking_led:

  • Ṣẹda itọsọna kan ni agbegbe iṣẹ rẹ, a10_soc_devkit_blinking_led_hpr.
  • Da awọn ikoeko ti a gbasile/a10_soc_devkit_blinking_led_hpr/apapọ alapin si itọsọna, a10_soc_devkit_blinking_led_hpr.
  • Ninu sọfitiwia Intel Quartus Prime Pro Edition, tẹ File ➤ Ṣii Project ko si yan blinking_led.qpf.
  • Lati ṣajọ apẹrẹ alapin, tẹ Ṣiṣe-ṣiṣe ➤ Bẹrẹ Iṣakojọpọ.

Igbesẹ 2: Ṣiṣẹda Ipele Ipele Ọmọ kan

Lati se iyipada yi alapin oniru sinu kan akosoagbasomode PR oniru, o gbọdọ ṣẹda a ọmọ iha-modul (blinking_led_child. SV) ti o ti wa ni iteeye laarin awọn obi iha-module (blinking_led.sv).

  1. Ṣẹda titun oniru file, blinking_led_child.sv, ki o si fi awọn ila ti koodu wọnyi kun si eyi file: timescale 1 ps / 1 ps `default_nettype ko si module blinking_led_child (// aago waya titẹ sii aago, waya titẹ sii [31:0] counter, // Awọn ifihan agbara iṣakoso fun awọn LEDintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-3intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-4
  2. Ṣe atunṣe blinking_led.sv file lati so led_two_on to bit 23 ti awọn counter lati aimi ekun, ati instantiate blinking_led_child module. Lẹhin awọn iyipada, blinking_led.sv rẹ file gbọdọ han bi wọnyi:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-5
  3. Lori iyipada gbogbo apẹrẹ files, tun ṣe iṣẹ akanṣe naa nipa titẹ sisẹ ➤ Bẹrẹ Iṣakojọpọ

Igbesẹ 3: Ṣiṣẹda Awọn ipin Apẹrẹ

O gbọdọ ṣẹda awọn ipin apẹrẹ fun agbegbe PR kọọkan ti o fẹ lati tunto ni apakan. O le ṣẹda nọmba eyikeyi ti awọn ipin ominira tabi awọn agbegbe PR ninu apẹrẹ rẹ. Ikẹkọ yii ṣẹda awọn ipin apẹrẹ meji fun u_blinking_led_child ati awọn iṣẹlẹ u_blinking_led.
Lati ṣẹda awọn ipin apẹrẹ fun atunto apa kan logalomomoise:

  1. Tẹ-ọtun apẹẹrẹ u_blinking_led_child ninu Atọka Ise agbese ki o tẹ Ipin Apẹrẹ ➤ Ṣeto bi ipin Oniru. Aami ipin apẹrẹ kan han lẹgbẹẹ apẹẹrẹ kọọkan ti o ṣeto bi ipin kan.

Ṣe nọmba 3. Ṣiṣẹda Awọn ipin Apẹrẹ lati ọdọ Navigator Projectintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-6

  1. Lati setumo awọn ipin Iru, ọtun-tẹ awọn u_blinking_led_child apeere ninu awọn logalomomoise taabu, tẹ Design Partition ➤ Reconfigurable. O le ṣalaye Iru ipin nikan lẹhin ti o ṣeto apẹẹrẹ bi ipin kan. Ipin oniru han lori awọn iyansilẹ View taabu ti awọn Oniru Partitions Window.

olusin 4. Design Partitions Windowintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-7

  1. Ṣatunkọ orukọ ipin ni Window Awọn ipin Oniru nipasẹ titẹ-lẹẹmeji orukọ naa. Fun apẹrẹ itọkasi yii, tun lorukọ ipin si pr_partition.
    Akiyesi: Nigbati o ba ṣẹda ipin kan, sọfitiwia Intel Quartus Prime ṣe ipilẹṣẹ orukọ ipin kan laifọwọyi, da lori orukọ apẹẹrẹ ati ipa-ọna ilana. Orukọ ipin aiyipada yii le yatọ pẹlu apẹẹrẹ kọọkan.
  2. Tun awọn igbesẹ 1 ati 2 ṣe lati fi awọn ipin apẹrẹ atunto si apẹẹrẹ u_blinking_led. Sọ ipin yi lorukọ si pr_parent_partition.
    Daju pe blinking_led.qsf ni awọn iṣẹ iyansilẹ wọnyi, ti o baamu si awọn ipin apẹrẹ atunto rẹ:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-8
Alaye ti o jọmọ

Ṣẹda Awọn ipin Oniru fun Atunto Apa kan

Igbesẹ 4: Ipinfunni Ibi ati Agbegbe ipa ọna fun Awọn ipin PR

Nigbati o ba ṣẹda atunyẹwo ipilẹ, ṣiṣan apẹrẹ PR nlo ipinpin agbegbe ipin PR rẹ lati gbe mojuto persona ti o baamu ni agbegbe ti a fi pamọ. Lati wa ati fi agbegbe PR sinu ero ilẹ-ilẹ ẹrọ fun atunyẹwo ipilẹ rẹ:

  1. Tẹ-ọtun apẹẹrẹ u_blinking_led_child ninu Atọka Ise agbese ki o tẹ Agbegbe Titiipa Logic ➤ Ṣẹda Agbegbe Titiipa Logic Tuntun. Ekun naa han loju Ferese Awọn Agbegbe Logic Lock.
  2. Ẹkun ibi-ipo rẹ gbọdọ fi imọ-ọrọ blinking_led_child kun. Yan agbegbe ifisilẹ nipa wiwa ipade ni Chip Planner. Tẹ-ọtun orukọ ẹkun u_blinking_led_child ninu Atọka Ise agbese ki o tẹ Wa Node ➤ Wa ni Chip Planner.
    olusin 5. Chip Alakoso Node Location fun blinking_ledintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-9
  3. Ninu ferese Logic Lock Regions, pato awọn ipoidojuko agbegbe ibi-ipamọ ni iwe Oti. Ipilẹṣẹ ni ibamu si igun apa osi isalẹ ti agbegbe naa. Fun example, lati ṣeto agbegbe kan pẹlu (X1 Y1) ipoidojuko (69 10), pato awọn Oti bi X69_Y10. Sọfitiwia Intel Quartus Prime ṣe iṣiro awọn ipoidojuko (X2 Y2) laifọwọyi (oke-ọtun) fun agbegbe ifisilẹ, da lori giga ati iwọn ti o pato.
    Akiyesi: Ikẹkọ yii nlo awọn ipoidojuko (X1 Y1) - (69 10), ati giga ati iwọn ti 20 fun agbegbe ifisilẹ. Setumo eyikeyi iye fun awọn placement agbegbe, pese wipe ekun ni wiwa blinking_led_child kannaa.
  4. Mu awọn aṣayan ipamọ ati Core-Nikan ṣiṣẹ.
  5. Tẹ lẹẹmeji aṣayan Agbegbe ipa ọna. Apoti ibanisọrọ Awọn Eto Agbegbe Logic Lock Routing yoo han.
  6. Yan Ti o wa titi pẹlu imugboroja fun iru ipa ọna. Yiyan aṣayan yii laifọwọyi ṣe iyasọtọ ipari imugboroosi ti 1.
    Akiyesi: Ẹkun ipa-ọna gbọdọ jẹ tobi ju agbegbe gbigbe lọ, lati pese irọrun ni afikun fun Fitter nigbati ẹrọ ba tọ awọn eniyan oriṣiriṣi lọ.
  7. Tun awọn igbesẹ 1 -6 ṣe fun apẹẹrẹ u_blinking_led. Ibi ipele obi Tun awọn igbesẹ 1 -6 fun apẹẹrẹ u_blinking_led. Ẹkun ibi-ipele obi gbọdọ ni kikun paarọ ipo ipo ọmọ ti o baamu ati awọn agbegbe ipa-ọna lakoko gbigba aaye ti o to fun ipo oye ipele obi. Ikẹkọ yii nlo awọn ipoidojuko (X1 Y1) - (66 7), giga ti 47, ati iwọn ti 26 fun agbegbe ifisilẹ ti apẹẹrẹ u_blinking_led.

olusin 6.Logic Lock Regions Windowintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-10

Daju pe blinking_led.qsf ni awọn iṣẹ iyansilẹ wọnyi, ti o baamu si igbero ilẹ rẹ:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-11

Alaye ti o jọmọ
  • Floorplan awọn Apa kan atunto Apẹrẹ
  • Ilọsiwaju mimuṣe atunto apakan apakan

Igbesẹ 5: Ṣafikun Intel Arria 10 Alakoso Itunṣe atunto apakan IP Core

  • Lo Intel Arria 10 Alakoso Atunto Ipilẹ Ipin IP mojuto lati tunto ipin PR. IP mojuto yii nlo JTAG lati tunto ipin PR. Lati ṣafikun Intel Arria 10 Alakoso atunto atunto apakan IP mojuto si iṣẹ akanṣe Intel Quartus Prime rẹ:
  1. Tẹ Atunto Apa kan ninu katalogi IP.
  2. Lati lọlẹ awọn IP Parameter Olootu Pro window, yan awọn Intel Arria 10 Partial Reconfiguration Controller IP mojuto lati awọn IP ìkàwé, ki o si tẹ Fikun-un.
  3. Ninu apoti ibaraẹnisọrọ IP Variant Tuntun, tẹ pr_ip bi awọn file lorukọ ki o tẹ Ṣẹda. Lo paramita aiyipada fun pr_ip. Rii daju pe Jeki JTAG Ipo yokokoro ati Mu awọn aṣayan wiwo di didi wa ni titan, ati Mu Avalon-MM ẹrú ni wiwo aṣayan ti wa ni pipa.

olusin 7. Intel Arria 10 Apa kan Reconfiguration Adarí IP mojuto paramitaintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-12

  1. Tẹ Pari, ati jade kuro ni olootu paramita laisi ipilẹṣẹ eto naa. Intel Quartus Prime software ṣẹda pr_ip.ip IP iyatọ file, ati afikun awọn file si blinking_led ise agbese.

Akiyesi:

  1. Ti o ba n ṣe didakọ pr_ip.ip file lati hpr folda, pẹlu ọwọ satunkọ blinking_led.qsf file lati ni laini atẹle yii: set_global_assignment -name IP_FILE pr_ip.ip
  2. Gbe IP_FILE iṣẹ iyansilẹ lẹhin SDC_FILE awọn iṣẹ iyansilẹ (jtag.sdc ati blinking_led.sdc) ninu blinking_led.qsf rẹ file. Ilana yii ṣe idaniloju idinamọ ti o yẹ ti ipilẹ IP atunto apakan.
    Akiyesi: Lati wa awọn aago, awọn SDC file fun PR IP gbọdọ tẹle eyikeyi SDC ti o ṣẹda awọn aago ti IP mojuto nlo. O dẹrọ aṣẹ yii nipasẹ ṣiṣe idaniloju .ip file fun PR IP mojuto ba wa lẹhin eyikeyi .ip files tabi SDC files ti a lo lati ṣẹda awọn aago wọnyi ni QSF file fun atunyẹwo iṣẹ akanṣe Intel Quartus Prime rẹ. Fun alaye diẹ sii, tọka si apakan Awọn ihamọ akoko ni Itọsọna Olumulo Olumulo Ipilẹ Ipilẹ Apakan.

Alaye ti o jọmọ

  • Itọnisọna olumulo Awọn solusan IP atunto apakan
    • Fun alaye lori IP mojuto Atunto Agbegbe Apakan.
  • Atunto apakan IP Itọsọna olumulo mojuto
    • Fun alaye lori awọn ihamọ akoko.
Ṣiṣe imudojuiwọn Ipele Ipele Ipele

Lati mu oke.SV file pẹlu apẹẹrẹ PR_IP:

  1. Lati ṣafikun apẹẹrẹ PR_IP si apẹrẹ ipele-giga, ṣe akiyesi bulọọki koodu atẹle ni oke.SV file:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-13
  2. Lati fi ipa mu awọn ebute oko oju omi si imọ-ọrọ 1 lakoko atunto, lo iṣẹjade ifihan iṣakoso didi lati PR_IP. Bibẹẹkọ, lati ṣe akiyesi LED tẹsiwaju si pawalara lati ipin PR obi lakoko ti o n ṣe eto ipin ọmọ, ifihan iṣakoso didi ko pa led_two_on. Rii daju pe pr_led_two_on wa taara intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-14
  3. Lati fi apẹẹrẹ ti ẹni obi aiyipada (blinking_led), ṣe imudojuiwọn oke.SV file pẹlu koodu koodu atẹle: intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-15

olusin 8. Apakan Reconfiguration IP Core Integrationintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-16

Igbesẹ 6: Itumọ Awọn Eniyan

Apẹrẹ itọkasi yii ṣalaye awọn eniyan lọtọ marun fun obi ati awọn ipin PR ọmọ. Lati ṣalaye ati ṣafikun awọn eniyan ninu iṣẹ akanṣe rẹ:

  1. Ṣẹda mẹrin SystemVerilog files, blinking_led_child.sv, blinking_led_child_slow.sv, blinking_led_child_empty.sv, ati blinking_led_slow.sv ninu ilana iṣẹ rẹ fun awọn eniyan marun.
    Akiyesi: Ti o ba ṣẹda SystemVerilog files lati Intel Quartus Prime Text Editor, mu awọn Fikun-un file to lọwọlọwọ ise agbese aṣayan, nigba ti fifipamọ awọn files.

Table 2. Reference Design Personas

File Oruko Apejuwe Koodu
blinking_led_child.sv Eniyan aiyipada fun apẹrẹ ipele ọmọ  

`timescale 1 ps / 1 ps

`default_nettype ko si module blinking_led_child (

// aago

aago waya titẹ sii,

waya titẹ sii [31:0] counter,

 

// Iṣakoso awọn ifihan agbara fun awọn LED o wu wire led_three_on

 

);

localparam COUNTER_TAP = 23; reg led_mẹta_on_r;

 

fi led_three_on = led_three_on_r; nigbagbogbo_ff @ (aago posi) bẹrẹ

led_three_on_r <= counter[COUNTER_TAP]; ipari

 

endmodule

tesiwaju…
File Oruko Apejuwe Koodu
blinking_led_child_slow.sv Awọn

LED_META

seju losokepupo

 

`timescale 1 ps / 1 ps

`default_nettype ko si

 

module blinking_led_child_slow (

 

// aago

aago waya titẹ sii,

waya titẹ sii [31:0] counter,

 

// Iṣakoso awọn ifihan agbara fun awọn LED o wu wire led_three_on

);

 

localparam COUNTER_TAP = 27; reg led_mẹta_on_r;

 

fi led_three_on = led_three_on_r; nigbagbogbo_ff @ (aago posi) bẹrẹ

led_three_on_r <= counter[COUNTER_TAP];

ipari

 

endmodule

blinking_led_child_empty.sv Awọn

LED_META

duro ON

 

`timescale 1 ps / 1 ps

`default_nettype ko si

 

module blinking_led_child_empty (

 

// aago

aago waya titẹ sii,

waya titẹ sii [31:0] counter,

 

// Iṣakoso awọn ifihan agbara fun awọn LED o wu wire led_three_on

 

);

 

// LED ti nṣiṣe lọwọ kekere

fi led_three_on = 1'b0;

 

endmodule

blinking_led_slow.sv LED_TWO naa

seju losokepupo.

 

`timescale 1 ps / 1 ps

`default_nettype ko si module blinking_led_slow(

// aago

aago waya titẹ sii,

waya titẹ sii [31:0] counter,

 

// Awọn ifihan agbara iṣakoso fun okun LED ti o wu led_two_on,

o wu waya led_three_on

 

);

 

localparam COUNTER_TAP = 27; reg led_meji_on_r;

fi led_two_on = led_two_on_r;

 

// Awọn counter:

nigbagbogbo_ff @( aago posige ) bẹrẹ led_two_on_r <= counter[COUNTER_TAP];

ipari

 

blinking_led_child u_blinking_led_child(

.a dari_mẹta_lori (asiwaju_mẹta_lori),

.counter (counter),

aago (aago)

File Oruko Apejuwe Koodu
);ndmodule
Alaye ti o jọmọ

Igbesẹ 3: Ṣiṣẹda Awọn ipin Apẹrẹ ni oju-iwe 7

Igbesẹ 7: Ṣiṣẹda Awọn Atunyẹwo

Ṣiṣan apẹrẹ PR nlo ẹya awọn atunyẹwo iṣẹ akanṣe ni sọfitiwia Intel Quartus Prime. Apẹrẹ akọkọ rẹ jẹ atunyẹwo ipilẹ, nibiti o ti ṣalaye awọn aala agbegbe aimi ati awọn agbegbe atunto lori FPGA. Lati atunyẹwo ipilẹ, o ṣẹda awọn atunyẹwo pupọ. Awọn atunyẹwo wọnyi ni awọn imuse oriṣiriṣi fun awọn agbegbe PR. Sibẹsibẹ, gbogbo awọn atunyẹwo imuse PR lo ipo ipo-oke kanna ati awọn abajade ipa-ọna lati atunyẹwo ipilẹ. Lati ṣajọ apẹrẹ PR kan, o gbọdọ ṣẹda atunyẹwo imuse PR ati atunyẹwo iṣelọpọ fun eniyan kọọkan. Ninu apẹrẹ itọkasi yii, ni afikun si atunyẹwo ipilẹ (blinking_led), awọn eniyan ipele-ọmọ mẹta ati awọn eniyan ipele-obi meji ni awọn atunyẹwo akojọpọ lọtọ marun ati awọn atunyẹwo imuse lọtọ marun:
Tabili 3. Awọn Atunyẹwo fun Awọn Eniyan Obi Meji ati Awọn Ọmọde Mẹta

Àtúnyẹwò kolaginni Atunse imuse
blinking_led_parent, blinking_led_default blinking_led_pr_alpha
blinking_led_parent, blinking_led_child_slow blinking_led_pr_bravo
blinking_led_parent, blinking_led_child_empty blinking_led_pr_charlie
blinking_led_parent_slow, blinking_led_child_slow blinking_led_pr_delta
blinking_led_parent_slow, blinking_led_child_empty blinking_led_pr_emma

Ṣiṣẹda Awọn atunṣe imuse

Lati ṣẹda awọn atunyẹwo imuse PR:

  1. Lati ṣii apoti ibanisọrọ Awọn atunṣe, tẹ Project ➤ Awọn atunṣe.
  2. Lati ṣẹda atunyẹwo tuntun, tẹ-lẹẹmeji < >.
  3. Pato orukọ Atunyẹwo naa bi blinking_led_pr_alpha ki o si yan blinking_led fun Da lori Atunyẹwo.
  4. Pa Ṣeto bi aṣayan atunyẹwo lọwọlọwọ ki o tẹ O DARA.
  5. Bakanna, ṣẹda blinking_led_pr_bravo, blinking_led_pr_charlie, blinking_led_pr_delta, ati awọn atunyẹwo blinking_led_pr_emma, da lori atunyẹwo blinking_led.
    Akiyesi: Ma ṣe ṣeto awọn atunyẹwo loke bi atunyẹwo lọwọlọwọ.

olusin 9. Ṣiṣẹda Awọn atunṣeintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-17

Ṣiṣẹda Synthesis-Nikan Awọn atunwo

Lati ṣẹda awọn atunwo iṣelọpọ-nikan fun awọn eniyan, o gbọdọ fi nkan ti o ga julọ si ati SystemVerilog ti o baamu file fun olukuluku eniyan:

  1. Ninu sọfitiwia Intel Quartus Prime, tẹ Project ➤ Awọn atunwo.
  2. Ṣẹda blinking_led_default àtúnyẹwò da lori blinking_led àtúnyẹwò. Ma ṣe ṣeto atunyẹwo yii bi atunyẹwo lọwọlọwọ.
  3. Ṣe atunṣe blinking_led_default.qsf file lati ni awọn iṣẹ iyansilẹ wọnyi:
    set_global_assignment -orukọ TOP_LEVEL_ENTITY blinking_led_child
    set_global_assignment -orukọ SYSTEMVERILOG_FILE
  4. Bakanna, ṣẹda blinking_led_child_slow, blinking_led_child_empty, blinking_led_parent, ati awọn atunyẹwo blinking_led_parent_slow ti o da lori atunyẹwo blinking_led. Ma ṣe ṣeto awọn atunyẹwo wọnyi bi awọn atunyẹwo lọwọlọwọ.
  5. Ṣe imudojuiwọn blinking_led_child_slow.qsf, blinking_led_child_empty.qsf, blinking_led_parent.qsf, ati blinking_led_parent_slow.qsf files pẹlu wọn ti o baamu
    TOP_LEVEL_ENTITY ati SYSTEMVERILOG_FILE awọn iṣẹ iyansilẹ: intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-18
  6. Lati yago fun awọn aṣiṣe kolaginni, rii daju wipe awọn atunwo kolaginni files fun awọn ọmọ ipin ko ni eyikeyi oniru ipin, pin iyansilẹ, tabi Logic Lock
    agbegbe iyansilẹ. Bakannaa, awọn kolaginni àtúnyẹwò files fun awọn obi ipin gbọdọ nikan ni oniru ipin iyansilẹ fun awọn ti o baamu ọmọ ipin. Yọ awọn iṣẹ iyansilẹ wọnyi kuro, ti o ba jẹ eyikeyi, ni blinking_led_default.qsf, blinking_led_child_slow.qsf, blinking_led_child_empty.qsf, blinking_led_parent.qsf, ati blinking_led_parent_slow.pdf filesintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-19
  7. Fi awọn iṣẹ iyansilẹ wọnyi sinu blinking_led_parent.qsf ati blinking_led_parent_slow.qsf files: intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-20
  8. Daju pe blinking_led.qpf file ni awọn atunyẹwo atẹle wọnyi, ni ko si ilana kan pato:
  • intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-21
  • Akiyesi: Ti o ba n daakọ atunṣe naa files lati hpr folda, ṣe imudojuiwọn blinking_led.qpf pẹlu ọwọ file pẹlu awọn loke ila ti koodu.

Pato Àtúnyẹwò Iru

O gbọdọ fi iru àtúnyẹwò fun ọkọọkan awọn atunyẹwo rẹ. Awọn oriṣi atunṣe mẹta lo wa:

  • Atunto apakan - Ipilẹ
  • Atunto apakan – Persona Synthesis
  • Atunto apa kan – Persona imuse
  • Tabili ti o tẹle yii ṣe atokọ awọn iṣẹ iyansilẹ iru-atunyẹwo fun ọkọọkan awọn atunwo naa:

Table 4. Àtúnyẹwò Orisi

Orukọ Atunyẹwo Àtúnyẹwò Iru
blinking_led.qsf Atunto apakan - Ipilẹ
blinking_led_default.qsf Atunto apakan – Persona Synthesis
blinking_led_child_empty.qsf Atunto apakan – Persona Synthesis
blinking_led_child_slow.qsf Atunto apakan – Persona Synthesis
blinking_led_parent.qsf Atunto apakan – Persona Synthesis
blinking_led_parent_slow.qsf Atunto apakan – Persona Synthesis
blinking_led_pr_alpha.qsf Atunto apa kan – Persona imuse
blinking_led_pr_bravo.qsf Atunto apa kan – Persona imuse
blinking_led_pr_charlie.qsf Atunto apa kan – Persona imuse
blinking_led_pr_delta.qsf Atunto apa kan – Persona imuse
blinking_led_pr_emma.qsf Atunto apa kan – Persona imuse
  1. Tẹ Project ➤ Awọn atunwo. Apoti ibaraẹnisọrọ Awọn atunwo yoo han.
  2. Yan blinking_led ninu iwe Orukọ Atunyẹwo, ki o tẹ Ṣeto Lọwọlọwọ.
  3. Tẹ Waye. Atunyẹwo blinking_led naa ṣii.
  4. Lati ṣeto iru atunwo fun blinking_led, tẹ Awọn iṣẹ iyansilẹ ➤ Eto ➤ Gbogbogbo.
  5. Yan Iru Atunyẹwo bi Atunto Apa kan – Ipilẹ.
  6. Bakanna, ṣeto awọn iru atunyẹwo fun awọn atunyẹwo mẹwa miiran, bi a ti ṣe akojọ rẹ ninu tabili loke.
  • Akiyesi: O gbọdọ ṣeto atunyẹwo kọọkan bi atunyẹwo lọwọlọwọ ṣaaju ki o to sọtọ iru atunwo naa. Daju pe kọọkan .qsf file ni awọn wọnyi iṣẹ iyansilẹ: intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-22
  • Akiyesi: Ṣafikun eyikeyi awọn eto pato-Fitter ti o fẹ lati lo ninu imuse imuse PR si awọn atunyẹwo imuse persona. Awọn eto pato-Fitter ni ipa lori ibamu ti eniyan, ṣugbọn ko kan agbegbe aimi ti a ṣe wọle. O tun le ṣafikun eyikeyi awọn eto iṣe-kolapọ si awọn atunwo idawọle ẹni kọọkan.
Alaye ti o jọmọ

Ṣẹda Awọn atunwo fun Eniyan

Igbesẹ 8: Ti o npese awọn Hierarchical Apa kan atunto Flow akosile

Lati ṣe ipilẹṣẹ iwe afọwọkọ atunto atunto apa kan:

  1. Lati ikarahun aṣẹ Intel Quartus Prime, ṣẹda awoṣe sisan nipa ṣiṣe pipaṣẹ atẹle:
  2. Intel Quartus Prime ṣe ipilẹṣẹ a10_hier_partial_reconfig/flow.tcl file.intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-23
  3. Fun lorukọ mii a10_hier_partial_reconfig/setup.tcl.ex ti ipilẹṣẹample to a10_hier_partial_reconfig/setup.tcl, ki o si yi iwe afọwọkọ lati pato rẹ apa kan reconfiguration ise agbese:
    a. Lati setumo orukọ iṣẹ akanṣe, ṣe imudojuiwọn laini atẹle:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-24b. Lati setumo atunyẹwo ipilẹ, ṣe imudojuiwọn laini atẹle:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-25
  4. Lati ṣalaye ọkọọkan awọn atunyẹwo imuse atunto apakan, pẹlu awọn orukọ ipin PR ati atunyẹwo orisun ti o ṣe awọn atunyẹwo, ṣe imudojuiwọn awọn laini atẹle:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-26

Akiyesi: Gbogbo awọn iṣẹ akanṣe atunṣe gbọdọ wa ni itọsọna kanna bi blinking_led.qpf. Bibẹẹkọ, ṣe imudojuiwọn iwe afọwọkọ sisan ni ibamu.intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-27

Igbesẹ 9: Nṣiṣẹ Iwe afọwọkọ Iṣatunṣe Apakan Iṣatunṣe

Lati ṣiṣẹ iwe afọwọkọ atunto atunto apa kan:

  1. Tẹ Awọn irinṣẹ ➤ Tcl Awọn iwe afọwọkọ. Tcl Scripts apoti yoo han.
  2. Tẹ Fikun-un si Project, ṣawari ati yan a10_hier_partial_reconfig/flow.tcl.
  3. Yan awọn a10_hier_partial_reconfig/flow.tcl ninu awọn Libraries PAN, ki o si tẹ Ṣiṣe.
    Yi akosile nṣiṣẹ kolaginni fun awọn mẹta personas. Intel Quartus Prime ṣe ipilẹṣẹ Nkan SRAM kan File (.sof), Apa kan-boju-boju SRAM Nkan File (.pmsf), ati alakomeji Raw File (.rbf) fun olukuluku eniyan.

Akiyesi: Lati ṣiṣẹ iwe afọwọkọ lati ikarahun aṣẹ Intel Quartus Prime, tẹ aṣẹ wọnyi:

Alaye ti o jọmọ

  • Ṣe akopọ Apẹrẹ Atunto Apa kan
  • Lilo awọn Apakan reconfiguration sisan akosile
  • Tito leto Apa Atunto Flow akosile
  • Ṣẹda siseto Files

Igbesẹ 10: Siseto awọn Board

Ṣaaju ki o to bẹrẹ:

  1. So ipese agbara pọ si igbimọ idagbasoke Intel Arria 10 SoC.
  2. So okun USB Blaster pọ laarin ibudo USB PC rẹ ati ibudo Blaster USB lori igbimọ idagbasoke.

Lati ṣiṣẹ apẹrẹ lori igbimọ idagbasoke Intel Arria 10 SoC:

  1. Ṣii sọfitiwia Intel Quartus Prime ki o tẹ Awọn irinṣẹ ➤ Programmerer.
  2. Ninu olupilẹṣẹ, tẹ Eto Hardware ko si yan USB-Blaster.
  3. Tẹ Ṣawari Aifọwọyi ki o yan ẹrọ naa, 10AS066N3.
  4. Tẹ O DARA. Sọfitiwia Intel Quartus Prime ṣe iwari ati ṣe imudojuiwọn Oluṣeto pẹlu awọn eerun FPGA mẹta lori igbimọ naa.
  5. Yan ẹrọ 10AS066N3, tẹ Yipada File ati fifuye blinking_led_pr_alpha.sof file.
  6. Mu Eto ṣiṣẹ/Ṣeto fun blinking_led_pr_alpha.sof file.
  7. Tẹ Bẹrẹ ati duro fun ọpa ilọsiwaju lati de ọdọ 100%.
  8. Ṣe akiyesi awọn LED lori igbimọ ti npaju ni igbohunsafẹfẹ kanna bi apẹrẹ alapin atilẹba.
  9. Lati ṣe eto agbegbe PR ọmọ nikan, tẹ-ọtun blinking_led_pr_alpha.sof file ninu awọn Programmer ki o si tẹ Fi PR siseto File.
  10. Yan blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf file.
  11. Pa Eto/Ṣeto fun blinking_led_pr_alpha.sof file.
  12. Mu Eto/Ṣeto fun blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf file ki o si tẹ Bẹrẹ. Lori igbimọ, ṣe akiyesi LED[0] ati LED[1] tẹsiwaju lati paju. Nigbati ọpa ilọsiwaju ba de 100%, LED [2] n ṣafẹri ni iwọn kanna, ati LED[3] n parẹ diẹ.
  13. Lati ṣe eto mejeeji agbegbe obi ati ọmọ PR, tẹ-ọtun .rbf file ninu awọn Programmer ki o si tẹ Change PR Programing File.
  14. Yan blinking_led_pr_delta.pr_parent_partition.rbf file.
  15. Tẹ Bẹrẹ. Lori igbimọ, ṣe akiyesi LED[0] ati LED[1] tẹsiwaju lati paju. Nigbati ọpa ilọsiwaju ba de 100%, mejeeji LED[2] ati LED[3] n parẹ losokepupo.
  16. Tun awọn igbesẹ ti o wa loke lati tun ṣe eto ni agbara ni agbegbe PR ọmọ, tabi mejeeji obi ati awọn agbegbe PR ọmọ ni nigbakannaa.

olusin 10. Siseto Intel Arria 10 SoC Development Boardintel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-28

Títúnṣe Ènìyàn Tó Wà

  • O le yi eniyan ti o wa tẹlẹ pada, paapaa lẹhin iṣakojọpọ atunyẹwo ipilẹ ni kikun.
  • Fun example, lati fa ki blinking_led_child_slow persona lati seju paapaa losokepupo:
  1. Ninu blinking_led_child_slow.sv file, ṣe atunṣe COUNTER_TAP paramita lati 27 si 28.
  2. Lati tun-ṣepọ ati tun-ṣe eniyan yii, o gbọdọ ṣajọpọ gbogbo awọn atunwo iṣelọpọ-nikan ati awọn atunyẹwo imuse ti o kan nipasẹ iyipada. Ṣatunṣe iwe afọwọkọ setup.tcl lati ni awọn laini wọnyi:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-29Akiyesi: Nigbati o ba n ṣalaye pr_parent_parition fun atunyẹwo blinking_led_pr_delta, o ṣe agbewọle aworan ipari ti eniyan yẹn fun imuse. Bi abajade, imuse ti oye ipin obi jẹ kanna, lakoko ti o yipada ati imuse ipin ọmọ ti o baamu.intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-30 Yi aṣẹ tun-synthesizes blinking_led_child_slow kolaginni àtúnyẹwò, ati ki o nṣiṣẹ PR imuse akopọ lilo blinking_led_pr_bravo.
  3. Lati ṣe akojọpọ awọn atunwo iṣelọpọ-nikan, ṣiṣe pipaṣẹ atẹle: Aṣẹ yii ko ṣe atunko atunyẹwo ipilẹ.intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-32
  4. Lati ṣe akojọpọ awọn atunṣe imuse, ṣiṣe aṣẹ wọnyi:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-32
  5. Aṣẹ yii ko ṣe atunto atunwo ipilẹ.
  6. Tẹle awọn igbesẹ ni Igbesẹ 10: Siseto Igbimọ loju iwe 22 lati ṣe eto RBF ti o yọrisi file sinu FPGA.
    Akiyesi: Lati yago fun ṣiṣiṣẹ ni gbogbo sisan fun gbogbo àtúnyẹwò, setumo awọn synthesis-nikan awọn atunyẹwo ati imuse awọn atunṣe ni setup.tcl akosile, ati ṣiṣe awọn akosile.

Fifi Eniyan Tuntun kun si Apẹrẹ

Lẹhin iṣakojọpọ awọn atunyẹwo ipilẹ rẹ ni kikun, o tun le ṣafikun awọn eniyan tuntun ati ṣajọ awọn eniyan wọnyi lọkọọkan.
Fun example, lati setumo eniyan titun ọmọ fun blinking_led_parent_slow, ti o wa ni pipa led_mẹta:

  1. Daakọ blinking_led_child_empty.sv si blinking_led_chdild_off.sv.
  2. Ninu blinking_led_child_off.sv file, tunṣe iṣẹ iyansilẹ, fi led_three_on = 1'b0; lati fi led_three_on = 1'b1 ;. Rii daju pe o yi orukọ module pada lati blinking_led_child_empty si blinking_led_child_off.
  3. Ṣẹda àtúnyẹwò synthesis tuntun, blinking_led_child_off, nipa titẹle awọn igbesẹ ni Ṣiṣẹda Awọn Atunyẹwo-Nikan ni oju-iwe 16.
    Akiyesi: Atunwo blinking_led_child_off gbọdọ lo blinking_led_child_off.sv file.
  4. Ṣẹda atunyẹwo imuse tuntun, blinking_led_pr_foxtrot, nipa titẹle awọn igbesẹ ni Ṣiṣẹda Awọn atunwo imuse ni oju-iwe 15.
  5. Ṣe imudojuiwọn a10_hier_partial_reconfig/setup.tcl file lati ṣalaye imuse PR tuntun:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-33
  6. Ṣe akopọ iṣelọpọ tuntun yii ati atunyẹwo imuse nipa ṣiṣe pipaṣẹ atẹle:intel-AN-805-Hierarchical- Partial-Atunto-ti-a-apẹrẹ-lori-Arria-10-SoC-Igbimọ-Idagbasoke-FIG-34

Fun alaye ni kikun lori atunto apa kan logalomomoise fun awọn ẹrọ Intel Arria 10, tọka si Ṣiṣẹda Apẹrẹ Atunto Apa kan ni Iwọn didun 1 ti Intel Quartus Prime Pro Edition Handbook.

Alaye ti o jọmọ

  • Ṣiṣẹda Apẹrẹ Atunto Apa kan
  • Ikẹkọ Atunto Apa kan lori Ayelujara

Iwe Itan Atunyẹwo

Tabili 5. Itan Atunwo Iwe

Ẹya Iwe aṣẹ Ẹya Software Awọn iyipada
2017.11.06 17.1.0 • imudojuiwọn awọn Reference Design ibeere apakan pẹlu awọn software version

• imudojuiwọn awọn Alapin Reference Design lai PR Partitioning olusin pẹlu oniru Àkọsílẹ ayipada

• imudojuiwọn awọn Apẹrẹ itọkasi Files tabili pẹlu alaye lori awọn

Top_counter.sv module

• imudojuiwọn awọn Apakan atunto IP mojuto Integration olusin pẹlu oniru Àkọsílẹ ayipada

Ṣe imudojuiwọn awọn isiro – Apẹrẹ Awọn ipin Window ati Logic Lock Regions Window lati fi irisi titun GUI

•    File orukọ ayipada

Awọn atunṣe ọrọ

2017.05.08 17.0.0 Itusilẹ akọkọ ti iwe-ipamọ naa

Awọn iwe aṣẹ / Awọn orisun

intel AN 805 Iṣatunṣe Apakan Iṣepo ti Apẹrẹ kan lori Igbimọ Idagbasoke Arria 10 SoC [pdf] Itọsọna olumulo
AN 805 Iṣatunṣe Apakan Atunto ti Apẹrẹ kan lori Arria 10 SoC Development Board, AN 805, Iṣatunṣe apakan apakan ti Apẹrẹ lori Arria 10 SoC Development Board, Atunto ti Oniru lori Arria 10 SoC Development Board, Arria 10 SoC Development Board, 10 SoC Development Board

Awọn itọkasi

Fi ọrọìwòye

Adirẹsi imeeli rẹ kii yoo ṣe atẹjade. Awọn aaye ti a beere ti wa ni samisi *