Intel AN 805 Arria 10 SoC ڊولپمينٽ بورڊ تي ڊيزائن جي جزوي ترتيب واري ترتيب
Intel® Arria® 10 SoC ڊولپمينٽ بورڊ لاءِ درجه بندي جزوي ٻيهر ترتيب ڏيڻ وارو سبق
هي ايپليڪيشن نوٽ ظاهر ڪري ٿو هڪ سادي ڊيزائن کي هڪ ترتيب سان جزوي طور تي ٻيهر ترتيب ڏيڻ واري ڊيزائن ۾ تبديل ڪرڻ، ۽ Intel® Arria® 10 SoC ڊولپمينٽ بورڊ تي ڊيزائن کي لاڳو ڪرڻ. هائيررڪيڪل جزوي ٻيهر ترتيب (HPR) هڪ خاص قسم جي جزوي ٻيهر ترتيب ڏيڻ (PR) آهي، جتي توهان هڪ PR علائقي ۾ ٻئي PR علائقي ۾ شامل ڪيو آهي. توهان ٻار ۽ والدين جي ورهاڱي لاء ڪيترن ئي شخصيتن کي ٺاهي سگهو ٿا. توهان ٻار جي ورهاڱي کي انهن جي والدين جي ورهاڱي ۾ داخل ڪيو. ٻار جي ورهاڱي کي ٻيهر ترتيب ڏيڻ والدين يا جامد علائقن ۾ آپريشن کي متاثر نٿو ڪري. والدين جي ورهاڱي کي ٻيهر ترتيب ڏيڻ جامد علائقي ۾ آپريشن تي اثر انداز نٿو ڪري، پر والدين علائقي جي چائلڊ ورهاڱي کي ڊفالٽ چائلڊ ورهاڱي جي شخصيتن سان تبديل ڪري ٿو. اهو طريقو سسٽم ۾ اثرائتو آهي جتي گھڻا افعال وقت جي حصيداري ڪندا آهن ساڳئي FPGA ڊوائيس وسيلن.
جزوي ٻيهر ترتيب ڏنل فليٽ ڊيزائن کي هيٺين ترقي فراهم ڪري ٿي:
- رن ٽائم ڊيزائن جي بحالي جي اجازت ڏئي ٿي
- ڊيزائن جي اسپيبلٽي وڌائي ٿو
- سسٽم جو وقت گھٽائي ٿو
- ڊيزائن ۾ متحرڪ وقت ملٽيپليڪسنگ افعال کي سپورٽ ڪري ٿو
- بورڊ جي جڳهه جي موثر استعمال ذريعي قيمت ۽ بجلي جو استعمال گھٽائي ٿو
- نوٽ:
- هن ريفرنس ڊيزائن جي نفاذ لاءِ Intel Quartus® Prime FPGA عمل درآمد واري وهڪري ۽ پرائمري Intel Quartus Prime پروجيڪٽ جي ڄاڻ سان بنيادي واقفيت جي ضرورت آهي. files.
لاڳاپيل معلومات
- Intel Arria 10 SoC ڊولپمينٽ کٽ يوزر گائيڊ
- جزوي بحاليءَ جا تصور
- جزوي ريڪنفيگريشن ڊيزائن فلو
- جزوي ريڪنفيگريشن ڊيزائن جون تجويزون
- جزوي ريڪنفيگريشن ڊيزائن تي غور
ريفرنس ڊيزائن جي گهرج
هن ريفرنس ڊيزائن کي هيٺين ضرورت آهي:
- Intel Quartus Prime Pro Edition سافٽ ويئر ورزن 17.1 ڊيزائن تي عمل درآمد لاءِ.
- Intel Arria 10 SoC ڊولپمينٽ کٽ FPGA عمل درآمد لاءِ.
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪابه ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ پروڊڪٽس يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن.
- ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
ريفرنس ڊيزائن اوورview
- هي ريفرنس ڊيزائن هڪ 32-bit ڪائونٽر تي مشتمل آهي. بورڊ جي سطح تي، ڊزائين گھڙي کي 50MHz ماخذ سان ڳنڍيندو آھي ۽ ٻاھرين کي FPGA تي چار LEDs سان ڳنڍيندو آھي. هڪ مخصوص تسلسل ۾ ڪائونٽر بٽس مان آئوٽ پٽ چونڊڻ LEDs کي هڪ مخصوص تعدد تي بلڪ ڪرڻ جو سبب بڻائيندو آهي.
شڪل 1. فليٽ ريفرنس ڊيزائن بغير پي آر ورهاڱي جي
حوالو ڊيزائن Files
جزوي ٻيهر ترتيب ڏيڻ وارو سبق ھيٺ ڏنل جڳھ تي موجود آھي: https://github.com/intel/fpga-partial-reconfig
سبق ڊائونلوڊ ڪرڻ لاءِ:
- ڪلون تي ڪلڪ ڪريو يا ڊائون لوڊ ڪريو.
- ڪلڪ ڪريو ڊائون لوڊ زپ. ان زپ ڪريو FPGA-partial-config-master.zip file.
- ريفرنس ڊيزائن تائين رسائي حاصل ڪرڻ لاءِ سبق/a10_soc_devkit_blinking_led_hpr ذيلي فولڊر ڏانھن وڃو.
فليٽ فولڊر ھيٺين تي مشتمل آھي files:
جدول 1. حوالو ڊيزائن Files
File نالو | وصف |
مٿي ايس وي | مٿاهين سطح file ڊزائن جي فليٽ تي عملدرآمد تي مشتمل آهي. هي ماڊل blinking_led ذيلي ورهاڱي ۽ top_counter ماڊل کي فوري ڪري ٿو. |
top_counter.sv | مٿين سطح جو 32-bit ڪائونٽر جيڪو ڪنٽرول ڪري ٿو LED[1] سڌو. ڪائونٽر جو رجسٽرڊ آئوٽ ڪنٽرول LED[0]، ۽ پڻ طاقت ڏئي ٿو LED[2] ۽ LED[3] ذريعي blinking_led module. |
blinking_led.sdc | منصوبي لاء وقت جي پابنديون بيان ڪري ٿو. |
جاري رهيو… |
File نالو | وصف |
blinking_led.SV | هن سبق ۾، توهان هن ماڊل کي والدين پي آر ورهاڱي ۾ تبديل ڪيو. ماڊل ٽاپ_ڪائونٽر ماڊل جو رجسٽرڊ آئوٽ پٽ حاصل ڪري ٿو، جيڪو ڪنٽرول ڪري ٿو LED[2] ۽ LED[3]. |
blinking_led.qpf | Intel Quartus Prime پروجيڪٽ file منصوبي ۾ سڀني ترميمن جي فهرست تي مشتمل. |
blinking_led.qsf | Intel Quartus Prime سيٽنگون file پراجيڪٽ لاءِ اسائنمنٽس ۽ سيٽنگون شامل آهن. |
نوٽ: hpr فولڊر ۾ مڪمل سيٽ شامل آهي files توهان هن ايپليڪيشن نوٽ استعمال ڪندي ٺاهي رهيا آهيو. انهن جو حوالو ڏيو files walkthrough دوران ڪنهن به نقطي تي.
شڪل 2. ريفرنس ڊيزائن Files
ريفرنس ڊيزائن جي واکٿرو
هيٺيون مرحلا بيان ڪري ٿو جزوي بحالي جي درخواست کي فليٽ ڊيزائن ۾. ٽيوٽوريل استعمال ڪري ٿو Intel Quartus Prime Pro Edition سافٽ ويئر Intel Arria 10 SoC ڊولپمينٽ بورڊ لاءِ:
- قدم 1: صفحي 6 تي شروع ڪرڻ
- قدم 2: صفحي 6 تي چائلڊ ليول جو ذيلي ماڊل ٺاھيو
- قدم 3: صفحي 7 تي ڊيزائن پارٽيشن ٺاهڻ
- قدم 4: صفحي 9 تي پي آر ورهاڱي لاءِ جڳھ ۽ رستي جو علائقو مختص ڪرڻ
- قدم 5: صفحي 10 تي Intel Arria 10 جزوي ريڪنفيگريشن ڪنٽرولر IP ڪور شامل ڪرڻ
- قدم 6: صفحو 13 تي شخصيتن جي تعريف
- قدم 7: صفحي 15 تي نظرثانيون ٺاهڻ
- قدم 8: صفحي 20 تي درجه بندي جزوي ريڪنفيگريشن فلو اسڪرپٽ پيدا ڪرڻ
- قدم 9: صفحو 21 تي هيرآرڪيڪل پارٽل ريڪنفيگريشن فلو اسڪرپٽ هلائڻ
- قدم 10: صفحي 22 تي بورڊ جي پروگرامنگ
قدم 1: شروع ڪرڻ
ريفرنس ڊيزائن کي نقل ڪرڻ لاء fileتوهان جي ڪم ڪندڙ ماحول ڏانهن وڃو ۽ blinking_led فليٽ ڊيزائن کي گڏ ڪريو:
- پنھنجي ڪم ڪندڙ ماحول ۾ ڊاريڪٽري ٺاھيو، a10_soc_devkit_blinking_led_hpr.
- ڊائون لوڊ ڪيل سبق/a10_soc_devkit_blinking_led_hpr/flat ذيلي فولڊر کي ڊاريڪٽري ۾ نقل ڪريو، a10_soc_devkit_blinking_led_hpr.
- Intel Quartus Prime Pro Edition سافٽ ويئر ۾، ڪلڪ ڪريو File ➤ پروجيڪٽ کوليو ۽ چونڊيو blinking_led.qpf.
- فليٽ ڊيزائن کي گڏ ڪرڻ لاءِ، ڪلڪ ڪريو پروسيسنگ ➤ ڪمپليشن شروع ڪريو.
مرحلا 2: چائلڊ ليول ذيلي ماڊل ٺاهڻ
ھن فليٽ ڊيزائن کي ھيئر آرڪيڪل پي آر ڊيزائن ۾ تبديل ڪرڻ لاءِ، توھان کي ھڪڙو ٻاراڻو ذيلي ماڊيول (blinking_led_child. SV) ٺاھڻ گھرجي جيڪو والدين جي ذيلي ماڊيول (blinking_led.sv) ۾ رکيل آھي.
- هڪ نئون ڊزائن ٺاهيو file, blinking_led_child.sv، ۽ هن ۾ ڪوڊ جون هيٺيون لائينون شامل ڪريو file: timescale 1 ps / 1 ps `default_nettype none module blinking_led_child ( // گھڙي ان پٽ وائر گھڙي، ان پٽ وائر [31:0] ڪائونٽر، // ايل اي ڊيز لاءِ ڪنٽرول سگنل
- تبديل ڪريو blinking_led.sv file led_two_on کي جامد علائقي مان ڪائونٽر جي bit 23 سان ڳنڍڻ لاءِ، ۽ blinking_led_child ماڊل کي انسٽنٽ ڪريو. تبديلين کان پوء، توهان جي blinking_led.sv file ظاهر ٿيڻ گهرجي جيئن ته:
- سڀني ڊيزائن کي تبديل ڪرڻ تي files، ڪلڪ ڪري پروجيڪٽ کي ٻيهر ڪمپيل ڪريو Processing ➤ Start Compilation
مرحلا 3: ڊيزائن جي ورهاڱي ٺاهڻ
توھان کي ھر پي آر علائقي لاءِ ڊيزائين پارٽيشنز ٺاهڻ گھرجي جنھن کي توھان جزوي طور تي ٻيهر ترتيب ڏيڻ چاھيو ٿا. توهان پنهنجي ڊيزائن ۾ آزاد پارٽيشنن يا پي آر علائقن جو تعداد ٺاهي سگهو ٿا. هي سبق u_blinking_led_child ۽ u_blinking_led مثالن لاءِ ٻه ڊيزائن جا حصا ٺاهي ٿو.
درجه بندي جزوي ريڪنفيگريشن لاءِ ڊيزائن ڊويزن ٺاهڻ لاءِ:
- پروجيڪٽ نيويگيٽر ۾ u_blinking_led_child مثال تي ساڄي ڪلڪ ڪريو ۽ ڪلڪ ڪريو Design Partition ➤ Set as Design Partition. هر مثال جي اڳيان هڪ ڊزائين ورشن آئڪن ظاهر ٿئي ٿو جيڪو ورهاڱي جي طور تي مقرر ڪيو ويو آهي.
شڪل 3. پروجيڪٽ نيويگيٽر مان ڊيزائن جا حصا ٺاهڻ
- ورهاڱي جي قسم جي وضاحت ڪرڻ لاء، هائيرارڪي ٽئب ۾ u_blinking_led_child مثال تي ساڄي ڪلڪ ڪريو، ڪلڪ ڪريو Design Partition ➤ Reconfigurable. توهان صرف ورهاڱي جي قسم جي وضاحت ڪري سگھو ٿا مثال کي ورهاڱي جي طور تي ترتيب ڏيڻ کان پوء. ڊيزائن ورهاڱي تي ظاهر ٿئي ٿو تفويض View ڊيزائن پارٽيشن ونڊو جي ٽيب.
شڪل 4. ڊيزائن پارٽيشن ونڊو
- ورهاڱي جو نالو تبديل ڪريو ڊيزائن پارٽيشن ونڊو ۾ نالي تي ڊبل ڪلڪ ڪري. هن ريفرنس ڊيزائن لاءِ، ورهاڱي جو نالو مٽايو pr_partition.
نوٽ: جڏهن توهان هڪ ورهاڱي ٺاهيندا آهيو، Intel Quartus Prime سافٽ ويئر خود بخود هڪ ورهاڱي جو نالو ٺاهي ٿو، مثال جي نالي جي بنياد تي ۽ ترتيب واري رستي جي بنياد تي. هي ڊفالٽ ورهاڱي جو نالو هر مثال سان مختلف ٿي سگهي ٿو. - ورجايو قدم 1 ۽ 2 کي ٻيهر ترتيب ڏيڻ واري ڊيزائن جي ورهاڱي کي تفويض ڪرڻ لاءِ u_blinking_led مثال. هن ورهاڱي جو نالو مٽايو pr_parent_partition.
تصديق ڪريو ته blinking_led.qsf ھيٺ ڏنل اسائنمنٽس تي مشتمل آھي، توھان جي ٻيهر ترتيب ڏيڻ واري ڊيزائن واري ڀاڱن جي مطابق:
جزوي ريڪنفيگريشن لاءِ ڊيزائن جا حصا ٺاھيو
قدم 4: پي آر ورهاڱي لاءِ رٿابندي ۽ روٽنگ واري علائقي کي مختص ڪرڻ
جڏهن توهان بنيادي نظرثاني ٺاهيندا آهيو، پي آر ڊيزائن فلو استعمال ڪري ٿو توهان جي PR ورهاڱي واري علائقي کي مختص ڪرڻ لاءِ لاڳاپيل شخصا ڪور کي محفوظ علائقي ۾ رکڻ لاءِ. توھان جي بنيادي نظرثاني لاءِ ڊوائيس فلور پلان ۾ پي آر علائقي کي ڳولڻ ۽ تفويض ڪرڻ لاءِ:
- پروجيڪٽ نيويگيٽر ۾ u_blinking_led_child مثال تي ساڄي ڪلڪ ڪريو ۽ Logic Lock Region ➤ Create New Logic Lock Region تي ڪلڪ ڪريو. علائقو Logic Lock Regions Window تي ظاهر ٿئي ٿو.
- توهان جي جڳهه واري علائقي کي لازمي طور تي بند ڪرڻ گهرجي blinking_led_child منطق. چِپ پلانر ۾ نوڊ کي ڳولهڻ سان جڳهه واري علائقي کي چونڊيو. پروجيڪٽ نيويگيٽر ۾ u_blinking_led_child علائقي جي نالي تي ساڄي ڪلڪ ڪريو ۽ Locate Node ➤ Locate in Chip Planner تي ڪلڪ ڪريو.
شڪل 5. چپ پلانر نوڊ جي جڳھ blinking_led لاءِ - Logic Lock Regions ونڊو ۾، Origin ڪالمن ۾ جڳھ واري علائقي جي همراهن جي وضاحت ڪريو. اصليت واري علائقي جي هيٺين کاٻي ڪنڊ سان ملندڙ جلندڙ آهي. مثال طورample، (X1 Y1) ڪوآرڊينيٽس (69 10) سان گڏ هڪ جڳهه واري علائقي کي مقرر ڪرڻ لاءِ، X69_Y10 جي طور تي اصليت بيان ڪريو. Intel Quartus Prime سافٽ ويئر خود بخود حساب ڪري ٿو (X2 Y2) ڪوآرڊينيٽس (مٿي ساڄي) جڳهه واري علائقي لاءِ، توهان جي بيان ڪيل اونچائي ۽ چوٽي جي بنياد تي.
نوٽ: هي سبق استعمال ڪري ٿو (X1 Y1) ڪوآرڊينيٽس - (69 10)، ۽ جڳهه واري علائقي لاءِ 20 جي اوچائي ۽ ويڪر. مقرري واري علائقي لاءِ ڪنهن به قدر جي وضاحت ڪريو، بشرطيڪ اهو علائقو blinking_led_child منطق کي ڍڪي. - فعال ڪريو محفوظ ۽ بنيادي صرف اختيارن.
- ڊبل ڪلڪ ڪريو روٽنگ علائقي اختيار. Logic Lock Routing Region Settings ڊائلاگ باڪس ظاهر ٿئي ٿو.
- روٽنگ جي قسم لاءِ توسيع سان مقرر ٿيل چونڊيو. ھن اختيار کي چونڊڻ سان خودڪار طور تي 1 جي توسيع جي ڊيگهه تفويض ڪري ٿي.
نوٽ: رستي واري علائقي کي جڳهه واري علائقي کان وڏو هجڻ گهرجي، فٽر کي اضافي لچڪ فراهم ڪرڻ لاء جڏهن انجڻ مختلف شخصن کي رستن تي آڻيندي. - ورجايو قدم 1 -6 u_blinking_led مثال لاءِ. والدين-سطح جي جڳھ کي ورجايو قدم 1 -6 u_blinking_led مثال لاءِ. والدين-سطح جي جڳهه واري علائقي کي لازمي طور تي لاڳاپيل ٻارن جي سطح جي جڳهه ۽ رستن جي علائقن کي مڪمل طور تي بند ڪرڻ گهرجي جڏهن ته والدين-سطح جي منطق جي جڳهه لاء ڪافي جڳهه جي اجازت ڏني وڃي. هي سبق استعمال ڪري ٿو (X1 Y1) ڪوآرڊينيٽس - (66 7)، اوچائي 47، ۽ ويڪر 26 جي جڳهه واري علائقي لاءِ u_blinking_led مثال.
تصوير 6. لاجڪ لاک ريجنز ونڊو
تصديق ڪريو ته blinking_led.qsf ھيٺ ڏنل اسائنمنٽس تي مشتمل آھي، توھان جي فلور پلاننگ جي مطابق:
- فلور پلان جزوي ريڪنفيگريشن ڊيزائن
- جزوي ريڪنفيگريشن کي تيزيءَ سان لاڳو ڪرڻ
قدم 5: شامل ڪرڻ Intel Arria 10 جزوي ٻيهر ترتيب ڏيڻ وارو ڪنٽرولر IP ڪور
- پي آر ورهاڱي کي ٻيهر ترتيب ڏيڻ لاءِ Intel Arria 10 جزوي ريڪنفيگريشن ڪنٽرولر IP ڪور استعمال ڪريو. هي IP ڪور استعمال ڪري ٿو JTAG PR ورهاڱي کي ٻيهر ترتيب ڏيڻ لاء. Intel Arria 10 جزوي ريڪنفيگريشن ڪنٽرولر IP ڪور کي شامل ڪرڻ لاءِ توھان جي Intel Quartus Prime پروجيڪٽ:
- IP catalog ۾ جزوي ريڪنفيگريشن ٽائپ ڪريو.
- IP Parameter Editor Pro ونڊو کي لانچ ڪرڻ لاءِ، چونڊيو Intel Arria 10 Partial Reconfiguration Controller IP core IP لائبريري مان، ۽ ڪلڪ ڪريو شامل ڪريو.
- نئين IP مختلف ڊائلاگ باڪس ۾، ٽائيپ ڪريو pr_ip جيئن file نالو ۽ ڪلڪ ڪريو ٺاھيو. pr_ip لاءِ ڊفالٽ پيٽرولائيزيشن استعمال ڪريو. پڪ ڪريو ته فعال JTAG ڊيبگ موڊ ۽ فعال ڪريو فريز انٽرفيس جا آپشن آن آھن، ۽ Enable Avalon-MM غلام انٽرفيس آپشن بند آھي.
شڪل 7. Intel Arria 10 Partial Reconfiguration Controller IP Core Parameters
- ختم ڪريو تي ڪلڪ ڪريو، ۽ سسٽم کي پيدا ڪرڻ کان سواء پيٽرولر ايڊيٽر مان نڪرڻ. Intel Quartus Prime سافٽ ويئر ٺاهي ٿو pr_ip.ip IP تبديلي file، ۽ شامل ڪري ٿو file blinking_led پروجيڪٽ ڏانهن.
نوٽ:
- جيڪڏهن توهان ڪاپي ڪري رهيا آهيو pr_ip.ip file hpr فولڊر مان، دستي طور تي ترميم ڪريو blinking_led.qsf file ھيٺ ڏنل لائين شامل ڪرڻ لاء: set_global_assignment -name IP_FILE pr_ip.ip
- IP_ رکيFILE SDC_ کان پوء تفويضFILE تفويض (جيtag.sdc ۽ blinking_led.sdc) توهان جي blinking_led.qsf ۾ file. هي حڪم جزوي ريڪنفيگريشن IP ڪور جي مناسب پابندي کي يقيني بڻائي ٿو.
نوٽ: گھڙين کي ڳولڻ لاءِ ، ايس ڊي سي file PR IP لاءِ لازمي طور تي ڪنهن به SDC جي پيروي ڪرڻ گهرجي جيڪا گھڙي ٺاهي ٿي جيڪا IP ڪور استعمال ڪري ٿي. توهان هن آرڊر کي آسان بڻائي سگهو ٿا .ip file PR IP core لاءِ ڪنهن به .ip کان پوءِ اچي ٿو files يا SDC files انهن گھڙين کي QSF ۾ ٺاهڻ لاءِ استعمال ڪيو ويو file توهان جي Intel Quartus Prime پروجيڪٽ جي نظرثاني لاءِ. وڌيڪ معلومات لاءِ، جزوي ريڪنفيگريشن IP ڪور يوزر گائيڊ ۾ وقت جي پابنديون سيڪشن ڏانھن وڃو.
لاڳاپيل معلومات
- جزوي ٻيهر ترتيب ڏيڻ IP حل صارف گائيڊ
- جزوي ريڪنفيگريشن ريجن ڪنٽرولر IP ڪور تي معلومات لاءِ.
- جزوي ريڪنفيگريشن IP ڪور يوزر گائيڊ
- وقت جي پابندين تي معلومات لاء.
مٿين سطح جي ڊيزائن کي اپڊيٽ ڪرڻ
مٿي کي اپڊيٽ ڪرڻ لاءِ.SV file PR_IP مثال سان:
- مٿين سطح جي ڊيزائن ۾ PR_IP مثال شامل ڪرڻ لاءِ، ھيٺ ڏنل ڪوڊ بلاڪ کي مٿي تي غير تبصرو ڪريو.SV file:
- ٻاھر ڪڍڻ دوران ٻاھرين بندرگاھن کي منطق 1 ڏانھن مجبور ڪرڻ لاءِ، PR_IP مان منجمد ڪنٽرول سگنل آئوٽ استعمال ڪريو. بهرحال، LED جو مشاهدو ڪرڻ لاءِ والدين جي پي آر ورهاڱي مان چمڪندڙ جاري رکو جڏهن ته PR ٻارن جي ورهاڱي کي پروگرام ڪري رهيو آهي، منجمد ڪنٽرول سگنل led_two_on کي بند نٿو ڪري. پڪ ڪريو ته pr_led_two_on سڌو آهي
- ڊفالٽ والدين شخصيت جو هڪ مثال تفويض ڪرڻ لاءِ (blinking_led)، مٿي کي اپڊيٽ ڪريو.SV file ڪوڊ جي هيٺين بلاڪ سان:
شڪل 8. جزوي ريڪنفيگريشن IP ڪور انٽيگريشن
قدم 6: شخصيتن جي تعريف
هي ريفرنس ڊيزائن والدين ۽ ٻارن جي پي آر ورهاڱي لاءِ پنج الڳ فردن جي وضاحت ڪري ٿو. توھان جي منصوبي ۾ شخصيتن کي بيان ڪرڻ ۽ شامل ڪرڻ لاءِ:
- چار SystemVerilog ٺاهيو files، blinking_led_child.sv، blinking_led_child_slow.sv، blinking_led_child_empty.sv، ۽ blinking_led_slow.sv پنجن شخصيتن لاءِ توھان جي ڪم ڪندڙ ڊاريڪٽري ۾.
نوٽ: جيڪڏهن توهان ٺاهيندا آهيو SystemVerilog files Intel Quartus Prime Text Editor کان، شامل کي غير فعال ڪريو file موجوده پروجيڪٽ آپشن ڏانهن، جڏهن بچت files.
جدول 2. حوالو ڊيزائن شخصيتون
File نالو | وصف | ڪوڊ |
blinking_led_child.sv | ٻار جي سطح جي ڊيزائن لاءِ ڊفالٽ شخصيت |
`ٽائم اسڪيل 1 پي ايس / 1 پي ايس `default_nettype none module blinking_led_child ( // ڪلاڪ ان پٽ تار گھڙي، ان پٽ تار [31:0] انسداد،
// ايل اي ڊيز آئوٽ پٽ وائر لاءِ ڪنٽرول سگنل led_three_on
); localparam COUNTER_TAP = 23؛ reg led_three_on_r ؛
assign led_three_on = led_three_on_r؛ always_ff @(posedge clock) شروع led_three_on_r <= counter[COUNTER_TAP]؛ پڄاڻي
endmodule |
جاري رهيو… |
File نالو | وصف | ڪوڊ |
blinking_led_child_slow.sv | جي
LED_THREE چمڪندڙ تيز |
`ٽائم اسڪيل 1 پي ايس / 1 پي ايس `ڊفالٽ_نيٽ ٽائپ ڪو به نه
ماڊل blinking_led_child_slow (
// ڪلاڪ ان پٽ تار گھڙي، ان پٽ تار [31:0] انسداد،
// ايل اي ڊيز آئوٽ پٽ وائر لاءِ ڪنٽرول سگنل led_three_on );
localparam COUNTER_TAP = 27؛ reg led_three_on_r ؛
assign led_three_on = led_three_on_r؛ always_ff @(posedge clock) شروع led_three_on_r <= counter[COUNTER_TAP]؛ پڄاڻي
endmodule |
blinking_led_child_empty.sv | جي
LED_THREE تي رهي ٿو |
`ٽائم اسڪيل 1 پي ايس / 1 پي ايس `ڊفالٽ_نيٽ ٽائپ ڪو به نه
ماڊل blinking_led_child_empty (
// ڪلاڪ ان پٽ تار گھڙي، ان پٽ تار [31:0] انسداد،
// ايل اي ڊيز آئوٽ پٽ وائر لاءِ ڪنٽرول سگنل led_three_on
);
// LED فعال گهٽ آهي تفويض led_three_on = 1'b0؛
endmodule |
blinking_led_slow.sv | LED_TWO
چمڪندڙ تيز. |
`ٽائم اسڪيل 1 پي ايس / 1 پي ايس `default_nettype none module blinking_led_slow( // ڪلاڪ ان پٽ تار گھڙي، ان پٽ تار [31:0] انسداد،
// ڪنٽرول سگنلز لاءِ ايل اي ڊيز آئوٽ پٽ تار led_two_on، آئوٽ پٽ تار led_three_on
);
localparam COUNTER_TAP = 27؛ reg led_two_on_r؛ assign led_two_on = led_two_on_r؛
// انسداد: always_ff @(posedge clock) start led_two_on_r <= counter[COUNTER_TAP]؛ پڄاڻي
blinking_led_child u_blinking_led_child( .led_three_on (led_three_on)، .counter (ڪائونٽر)، ڪلاڪ (گھڙي) |
File نالو | وصف | ڪوڊ |
)ndmodule |
مرحلا 3: صفحي 7 تي ڊيزائن پارٽيشن ٺاهڻ
مرحلا 7: ترميمون ٺاهڻ
پي آر ڊيزائن فلو استعمال ڪري ٿو پروجيڪٽ جي نظرثاني جي خصوصيت Intel Quartus Prime سافٽ ويئر ۾. توهان جي شروعاتي ڊيزائن بنيادي نظرثاني آهي، جتي توهان FPGA تي جامد علائقي جون حدون ۽ ٻيهر ترتيب ڏيڻ وارا علائقا بيان ڪندا آهيو. بنيادي ترميمن کان، توهان ڪيترن ئي ترميمن کي ٺاهيندا آهيو. انهن ترميمن ۾ پي آر علائقن لاءِ مختلف عمل شامل آهن. بهرحال، سڀني پي آر تي عمل درآمد جي نظرثاني ساڳيا مٿين سطح جي جڳهه ۽ رستن جي نتيجن کي بنيادي نظرثاني مان استعمال ڪن ٿا. پي آر ڊيزائن کي گڏ ڪرڻ لاءِ، توهان کي هر شخص لاءِ پي آر تي عملدرآمد جي نظرثاني ۽ تجزيي جي نظرثاني ٺاهڻ گهرجي. هن ريفرنس جي ڊيزائن ۾، بنيادي ترميمن (blinking_led) کان علاوه، ٽن ٻارن جي سطح جي شخصيتن ۽ ٻن والدين-سطح جي شخصيتن ۾ پنج الڳ تجزيي ترميمن ۽ پنج الڳ عمل تي عمل درآمد شامل آهن:
جدول 3. ٻن والدين جي شخصيتن ۽ ٽن ٻارن جي شخصيتن لاءِ نظرثانيون
تجزيي جي نظرثاني | عملدرآمد جي نظرثاني |
blinking_led_parent, blinking_led_default | blinking_led_pr_alpha |
blinking_led_parent, blinking_led_child_slow | blinking_led_pr_bravo |
blinking_led_parent, blinking_led_child_empty | blinking_led_pr_charlie |
blinking_led_parent_slow، blinking_led_child_slow | blinking_led_pr_delta |
blinking_led_parent_slow، blinking_led_child_empty | چمڪندڙ_ليڊ_پر_ايما |
ٺاھڻ تي عملدرآمد جي نظرثاني
PR عمل درآمد جي ترميمن کي ٺاهڻ لاء:
- Revisions dialog box کي کولڻ لاءِ پروجيڪٽ ➤ Revisions تي ڪلڪ ڪريو.
- نئين نظرثاني ٺاھڻ لاءِ، ڊبل ڪلڪ ڪريو < >.
- نظرثاني جو نالو بيان ڪريو جيئن ته blinking_led_pr_alpha ۽ چونڊيو blinking_led جي بنياد تي نظرثاني لاءِ.
- غير فعال ڪريو سيٽ جيئن موجوده نظرثاني اختيار ۽ ڪلڪ ڪريو ٺيڪ.
- اهڙي طرح، blinking_led_pr_bravo، blinking_led_pr_charlie، blinking_led_pr_delta، ۽ blinking_led_pr_emma ترميمون ٺاھيو، blinking_led نظرثاني جي بنياد تي.
نوٽ: مٿين ترميمن کي موجوده ترميم جي طور تي مقرر نه ڪريو.
شڪل 9. نظرثانيون ٺاهڻ
ٺاهجي سنجيدگي جي ضرورت آهي-رڳو نظرثاني
شخصيتن لاءِ صرف تجزيا ٺاهڻ لاءِ، توهان کي لازمي طور تي اعليٰ سطحي ادارو تفويض ڪرڻ گهرجي ۽ لاڳاپيل SystemVerilog file هر هڪ شخص لاء:
- Intel Quartus Prime سافٽ ويئر ۾، ڪلڪ ڪريو پروجيڪٽ ➤ نظرثانيون.
- ٺاھيو blinking_led_default revision blinking_led جي بنياد تي. هن نظرثاني کي موجوده ترميم جي طور تي مقرر نه ڪريو.
- تبديل ڪريو blinking_led_default.qsf file ھيٺ ڏنل ڪم شامل ڪرڻ لاء:
set_global_assignment -name TOP_LEVEL_ENTITY blinking_led_child
set_global_assignment -نالو SYSTEMVERILOG_FILE - اهڙي طرح، blinking_led_child_slow، blinking_led_child_empty، blinking_led_parent، ۽ blinking_led_parent_slow ترميمون blinking_led جي بنياد تي ٺاھيو. انهن ترميمن کي موجوده ترميمن جي طور تي مقرر نه ڪريو.
- blinking_led_child_slow.qsf، blinking_led_child_empty.qsf، blinking_led_parent.qsf، ۽ blinking_led_parent_slow.qsf کي اپڊيٽ ڪريو files انهن جي مطابقت سان
TOP_LEVEL_ENTITY ۽ SYSTEMVERILOG_FILE تفويض: - synthesis غلطين کان بچڻ لاء، پڪ آهي ته synthesis جي نظرثاني fileٻارن جي ورهاڱي لاءِ s ۾ ڪا به ڊيزائن ورشن، پن اسائنمينٽس، يا لاجڪ لاڪ شامل ناهي
علائقي جي ذميواريون. پڻ، سنسڪرت جي نظرثاني files ۾ والدين جي ورهاڱي لاءِ صرف لاڳاپيل ٻارن جي ورهاڱي لاءِ ڊيزائين ورهاڱي جي اسائنمنٽس شامل هجڻ گهرجن. انهن اسائنمنٽس کي هٽايو، جيڪڏهن ڪو آهي، blinking_led_default.qsf، blinking_led_child_slow.qsf، blinking_led_child_empty.qsf، blinking_led_parent.qsf، ۽ blinking_led_parent_slow.pdf ۾ files - ھيٺ ڏنل اسائنمنٽس کي شامل ڪريو blinking_led_parent.qsf ۽ blinking_led_parent_slow.qsf ۾ files:
- تصديق ڪريو ته blinking_led.qpf file ھيٺ ڏنل ترميمن تي مشتمل آھي، ڪنھن خاص ترتيب ۾:
- نوٽ: جيڪڏهن توهان نظرثاني کي نقل ڪري رهيا آهيو files hpr فولڊر مان، دستي طور تي اپڊيٽ ڪريو blinking_led.qpf file ڪوڊ جي مٿين لائنن سان.
نظرثاني جو قسم بيان ڪرڻ
توھان کي توھان جي ھر ھڪڙي نظرثاني لاءِ نظرثاني جو قسم تفويض ڪرڻ گھرجي. اتي ٽي قسم جا تجديد آهن:
- جزوي ٻيهر ترتيب ڏيڻ - بنياد
- جزوي ريڪنفيگريشن - Persona Synthesis
- جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد
- هيٺ ڏنل جدول هر هڪ ترميمن لاءِ نظرثاني جي قسم جي تفويض جي فهرست ڏيکاري ٿو:
جدول 4. نظرثاني جا قسم
نظرثاني جو نالو | نظرثاني جو قسم |
blinking_led.qsf | جزوي ٻيهر ترتيب ڏيڻ - بنياد |
blinking_led_default.qsf | جزوي ريڪنفيگريشن - Persona Synthesis |
blinking_led_child_empty.qsf | جزوي ريڪنفيگريشن - Persona Synthesis |
blinking_led_child_slow.qsf | جزوي ريڪنفيگريشن - Persona Synthesis |
blinking_led_parent.qsf | جزوي ريڪنفيگريشن - Persona Synthesis |
blinking_led_parent_slow.qsf | جزوي ريڪنفيگريشن - Persona Synthesis |
blinking_led_pr_alpha.qsf | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
blinking_led_pr_bravo.qsf | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
blinking_led_pr_charlie.qsf | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
blinking_led_pr_delta.qsf | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
blinking_led_pr_emma.qsf | جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد |
- ڪلڪ ڪريو پروجيڪٽ ➤ نظرثانيون. Revisions dialog box ظاهر ٿئي ٿو.
- Revision Name ڪالم ۾ blinking_led چونڊيو، ۽ Current سيٽ ڪريو تي ڪلڪ ڪريو.
- لاڳو ڪريو تي ڪلڪ ڪريو. blinking_led نظر ثاني کلي ٿي.
- blinking_led لاءِ نظرثاني جو قسم سيٽ ڪرڻ لاءِ، ڪلڪ ڪريو اسائنمينٽس ➤ سيٽنگون ➤ جنرل.
- Revision Type کي جزوي Reconfiguration - Base طور چونڊيو.
- اهڙي طرح، ٻين ڏهن ترميمن لاءِ نظرثاني جا قسم مقرر ڪريو، جيئن مٿي ڏنل جدول ۾ ڏنل آهي.
- نوٽ: توھان کي لازمي طور تي ھر نظرثاني کي موجوده نظرثاني جي طور تي مقرر ڪرڻ کان پھريان نظر ثاني جو قسم مقرر ڪرڻ گھرجي. تصديق ڪريو ته هر .qsf file ھيٺ ڏنل ڪم تي مشتمل آھي:
- نوٽ: ڪنهن به Fitter-مخصوص سيٽنگون شامل ڪريو جيڪي توھان استعمال ڪرڻ چاھيو ٿا PR عمل درآمد واري مرتب ۾ شخصيت تي عمل درآمد واري ترميمن ۾. Fitter-مخصوص سيٽنگون شخصيت جي فٽ کي متاثر ڪن ٿيون، پر درآمد ٿيل جامد علائقي کي متاثر نه ڪن. توھان پڻ شامل ڪري سگھو ٿا ڪا به ترکیب-مخصوص سيٽنگون انفرادي شخصيت جي ترکیب جي ترميمن ۾.
شخصيتن لاءِ تجويزون ٺاھيو
قدم 8: درجه بندي جزوي ريڪنفيگريشن فلو اسڪرپٽ ٺاهي رهيو آهي
درجه بندي جزوي ريڪنفيگريشن فلو اسڪرپٽ ٺاهڻ لاءِ:
- Intel Quartus Prime ڪمانڊ شيل مان، ھيٺ ڏنل حڪم هلائڻ سان فلو ٽيمپليٽ ٺاھيو:
- Intel Quartus Prime ٺاهي ٿو a10_hier_partial_reconfig/flow.tcl file.
- ٺاهيل a10_hier_partial_reconfig/setup.tcl.ex جو نالو تبديل ڪريوample to a10_hier_partial_reconfig/setup.tcl، ۽ اسڪرپٽ کي تبديل ڪريو پنھنجي جزوي ريڪنفيگريشن پروجيڪٽ جي تفصيل بيان ڪرڻ لاءِ:
a. منصوبي جي نالي جي وضاحت ڪرڻ لاء، ھيٺ ڏنل لائن کي اپڊيٽ ڪريو:b. بنيادي نظر ثاني جي وضاحت ڪرڻ لاء، ھيٺ ڏنل لائن کي اپڊيٽ ڪريو:
- PR ورهاڱي جي نالن سان گڏ جزوي ريڪنفيگريشن تي عمل درآمد واري ترميمن مان هر هڪ جي وضاحت ڪرڻ لاءِ ۽ ماخذ جي نظرثاني جيڪا ترميمن کي لاڳو ڪري ٿي، هيٺيون لائينون تازه ڪاري ڪريو:
نوٽ: سڀ ترميمي پروجيڪٽ هڪ ئي ڊاريڪٽري ۾ هجڻ گهرجن جيئن blinking_led.qpf. ٻي صورت ۾، فلو اسڪرپٽ مطابق مطابق تازه ڪاري ڪريو.
قدم 9: هلندڙ جزوي ريڪنفيگريشن فلو اسڪرپٽ
hierarchical جزوي reconfiguration وهڪري اسڪرپٽ هلائڻ لاء:
- ڪلڪ ڪريو اوزار ➤ Tcl اسڪرپٽ. Tcl اسڪرپٽ ڊائلاگ باڪس ظاهر ٿئي ٿو.
- ڪلڪ ڪريو شامل ڪريو پروجيڪٽ ۾، براؤز ڪريو ۽ چونڊيو a10_hier_partial_reconfig/flow.tcl.
- لائبريري پين ۾ a10_hier_partial_reconfig/flow.tcl چونڊيو، ۽ ڪلڪ ڪريو رن.
هي رسم الخط ٽن شخصيتن لاءِ سنٿيسس هلائي ٿو. Intel Quartus Prime هڪ SRAM آبجیکٹ ٺاهي ٿو File (.sof)، هڪ جزوي-ماسڪ ٿيل SRAM آبجیکٹ File (.pmsf)، ۽ هڪ خام بائنري File (.rbf) هر هڪ شخص لاءِ.
نوٽ: Intel Quartus Prime ڪمانڊ شيل مان اسڪرپٽ هلائڻ لاءِ، ھيٺ ڏنل حڪم ٽائپ ڪريو:
- جزوي ريڪنفيگريشن ڊيزائن کي گڏ ڪريو
- جزوي ريڪنفيگريشن فلو اسڪرپٽ استعمال ڪندي
- جزوي ريڪنفيگريشن فلو اسڪرپٽ کي ترتيب ڏيڻ
- پروگرامنگ ٺاھيو Files
قدم 10: بورڊ جي پروگرامنگ
توھان کان پھريان شروع ڪريو:
- Intel Arria 10 SoC ڊولپمينٽ بورڊ کي پاور سپلائي ڳنڍيو.
- USB Blaster ڪيبل کي توهان جي PC USB پورٽ ۽ يو ايس بي بلاسٽر پورٽ جي وچ ۾ ڊولپمينٽ بورڊ تي ڳنڍيو.
Intel Arria 10 SoC ڊولپمينٽ بورڊ تي ڊيزائن کي هلائڻ لاءِ:
- Intel Quartus Prime سافٽ ويئر کوليو ۽ ڪلڪ ڪريو ٽولز ➤ پروگرامر.
- پروگرامر ۾، هارڊويئر سيٽ اپ تي ڪلڪ ڪريو ۽ USB-Blaster چونڊيو.
- ڪلڪ ڪريو خودڪار ڳولڻ ۽ ڊوائيس چونڊيو، 10AS066N3.
- OK تي ڪلڪ ڪريو. Intel Quartus Prime سافٽ ويئر پروگرامر کي بورڊ تي ٽي FPGA چپس سان ڳولي ٿو ۽ تازه ڪاري ڪري ٿو.
- منتخب ڪريو 10AS066N3 ڊوائيس، ڪلڪ ڪريو تبديل ڪريو File ۽ لوڊ ڪريو blinking_led_pr_alpha.sof file.
- blinking_led_pr_alpha.sof لاءِ پروگرام/ڪانفيگر کي فعال ڪريو file.
- ڪلڪ ڪريو شروع ڪريو ۽ 100٪ تائين پهچڻ لاء ترقي واري بار جو انتظار ڪريو.
- بورڊ تي آيل LEDs جو مشاهدو ڪريو جيئن اصل فليٽ ڊيزائن جي ساڳي تعدد تي چمڪي رهي آهي.
- صرف ٻار جي پي آر علائقي کي پروگرام ڪرڻ لاء، ساڄي ڪلڪ ڪريو blinking_led_pr_alpha.sof file پروگرامر ۾ ۽ پي آر پروگرامنگ شامل ڪريو تي ڪلڪ ڪريو File.
- blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf چونڊيو file.
- blinking_led_pr_alpha.sof لاءِ پروگرام/ڪانفيگر کي غير فعال ڪريو file.
- blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf لاءِ پروگرام/ڪانفيگر کي فعال ڪريو file ۽ ڪلڪ ڪريو Start. بورڊ تي، LED[0] ۽ LED[1] جو مشاهدو جاري رکو. جڏهن پروگريس بار 100٪ تي پهچي ٿو، LED[2] ساڳئي شرح تي چمڪي ٿو، ۽ LED[3] سست ٿئي ٿو.
- ٻنهي والدين ۽ ٻار جي PR علائقي کي پروگرام ڪرڻ لاءِ، .rbf تي ساڄي ڪلڪ ڪريو file پروگرامر ۾ ۽ ڪلڪ ڪريو پي آر پروگرامنگ تبديل ڪريو File.
- blinking_led_pr_delta.pr_parent_partition.rbf چونڊيو file.
- ڪلڪ ڪريو شروع. بورڊ تي، ڏسو ته LED[0] ۽ LED[1] ٽمٽار جاري آهن. جڏهن پروگريس بار 100٪ تي پهچي ٿو، ٻئي LED [2] ۽ LED [3] سست ٿي ويندا آهن.
- مٿين قدمن کي ورجايو متحرڪ طور تي صرف ٻارن جي PR علائقي کي ٻيهر پروگرام ڪرڻ لاءِ، يا ٻنهي والدين ۽ ٻارن جي PR علائقن کي هڪ ئي وقت.
شڪل 10. Intel Arria 10 SoC ڊولپمينٽ بورڊ کي پروگرام ڪرڻ
موجوده شخصيت کي تبديل ڪرڻ
- توھان ھڪڙي موجوده شخصيت کي تبديل ڪري سگھو ٿا، جيتوڻيڪ بنيادي نظرثاني کي مڪمل طور تي گڏ ڪرڻ کان پوء.
- مثال طورample, blinking_led_child_slow شخصيت کي اڃا به سست ڪرڻ لاءِ:
- blinking_led_child_slow.sv ۾ file27 کان 28 تائين COUNTER_TAP پيٽرولر کي تبديل ڪريو.
- هن شخصيت کي ٻيهر ترتيب ڏيڻ ۽ ٻيهر لاڳو ڪرڻ لاءِ، توهان کي لازمي طور تي تبديليءَ کان متاثر ٿيندڙ سمورين ترميمن ۽ عملن جي ترميمن کي ٻيهر مرتب ڪرڻ گهرجي. ھيٺيون لائينون شامل ڪرڻ لاء setup.tcl اسڪرپٽ کي تبديل ڪريو:
نوٽ: blinking_led_pr_delta revision لاءِ pr_parent_parition جي وضاحت ڪرڻ وقت، توھان ان شخصيت جي آخري تصوير کي لاڳو ڪرڻ لاءِ درآمد ڪريو ٿا. نتيجي طور، والدين ورهاڱي جي منطق جو نفاذ ساڳيو ئي رهي ٿو، جڏهن ته لاڳاپيل ٻار جي ورهاڱي کي تبديل ڪرڻ ۽ لاڳو ڪرڻ.
هي حڪم blinking_led_child_slow synthesis revision re-synthesizes، ۽ پوءِ هلائي ٿو PR لاڳو ڪرڻ واري ڪمپلي کي blinking_led_pr_bravo استعمال ڪندي.
- صرف synthesis-revisions جي تاليف کي انجام ڏيڻ لاءِ، ھيٺ ڏنل حڪم کي ھلايو: ھي حڪم بنيادي ترميمن کي ٻيهر مرتب نٿو ڪري.
- عمل درآمد جي ترميمن جي تاليف کي انجام ڏيڻ لاء، ھيٺ ڏنل حڪم ھلايو:
- هي حڪم بنيادي ترميمن کي ٻيهر ترتيب نٿو ڏئي.
- قدم 10 ۾ ڏنل قدمن تي عمل ڪريو: صفحي 22 تي بورڊ کي پروگرام ڪرڻ جي نتيجي ۾ آر بي ايف کي پروگرام ڪرڻ لاء file FPGA ۾.
نوٽ: هر ترميم لاءِ پوري وهڪري کي هلائڻ کان بچڻ لاءِ، setup.tcl اسڪرپٽ ۾ صرف سنٿيسس-صرف ترميمن ۽ عمل درآمد جي ترميمن کي بيان ڪريو، ۽ اسڪرپٽ کي هلايو.
ڊزائن ۾ نئين شخصيت شامل ڪرڻ
توهان جي بنيادي ترميمن کي مڪمل طور تي گڏ ڪرڻ کان پوء، توهان اڃا تائين نوان شخصيتون شامل ڪري سگهو ٿا ۽ انفرادي طور تي انهن شخصيتن کي گڏ ڪري سگهو ٿا.
مثال طورample, blinking_led_parent_slow لاءِ نئين ٻار جي شخصيت کي بيان ڪرڻ لاءِ، جيڪو led_three بند ڪري ٿو:
- blinking_led_child_empty.sv کي blinking_led_chdild_off.sv تي نقل ڪريو.
- blinking_led_child_off.sv ۾ file, تفويض کي تبديل ڪريو, assign led_three_on = 1'b0؛ led_three_on = 1'b1؛ تفويض ڪرڻ لاءِ. پڪ ڪريو ته توهان ماڊل جو نالو تبديل ڪيو blinking_led_child_empty مان blinking_led_child_off.
- صفحي 16 تي ٺهيل سنٿيسس-اونلي ترميمن ۾ ڏنل قدمن تي عمل ڪندي، هڪ نئون سنٿيسس ريويشن ٺاهيو، blinking_led_child_off.
نوٽ: blinking_led_child_off نظرثاني کي blinking_led_child_off.sv استعمال ڪرڻ گھرجي file. - صفحي 15 تي ٺاھڻ تي عمل درآمد جي ترميمن ۾ ڏنل قدمن تي عمل ڪندي، نئين عمل درآمد واري نظرثاني ٺاھيو، blinking_led_pr_foxtrot.
- اپڊيٽ ڪريو a10_hier_partial_reconfig/setup.tcl file نئين پي آر لاڳو ڪرڻ جي وضاحت ڪرڻ لاء:
- ھيٺ ڏنل حڪم هلائڻ سان صرف ھن نئين ترکیب ۽ عمل درآمد جي نظرثاني کي گڏ ڪريو:
Intel Arria 10 ڊوائيسز لاءِ جزوي ريڪنفيگريشن تي مڪمل معلومات لاءِ، Intel Quartus Prime Pro Edition Handbook جي جلد 1 ۾ جزوي ريڪنفيگريشن ڊيزائن ٺاهڻ جو حوالو ڏيو.
لاڳاپيل معلومات
- جزوي ريڪنفيگريشن ڊيزائن ٺاهڻ
- جزوي ٻيهر ترتيب ڏيڻ آن لائن ٽريننگ
دستاويز جي نظرثاني جي تاريخ
ٽيبل 5. دستاويز جي نظرثاني جي تاريخ
دستاويزي نسخو | سافٽويئر ورشن | تبديليون |
2017.11.06 | 17.1.0 | • اپڊيٽ ڪيو ريفرنس ڊيزائن جي گهرج سافٽ ويئر ورزن سان سيڪشن
• اپڊيٽ ڪيو فليٽ ريفرنس ڊيزائن بغير پي آر ورهاڱي جي ڊيزائن بلاڪ جي تبديلين سان شڪل • اپڊيٽ ڪيو حوالو ڊيزائن Files معلومات سان گڏ ٽيبل Top_counter.sv ماڊل • اپڊيٽ ڪيو جزوي ريڪنفيگريشن IP ڪور انٽيگريشن ڊيزائن بلاڪ جي تبديلين سان شڪل • انگن اکرن کي اپڊيٽ ڪيو - ڊيزائن پارٽيشن ونڊو ۽ لاجڪ لاڪ ريجنز ونڊو نئين GUI کي ظاهر ڪرڻ لاء • File نالو تبديل ٿيڻ • ٽيڪسٽ ايڊيشن |
2017.05.08 | 17.0.0 | دستاويز جي شروعاتي رليز |
دستاويز / وسيلا
![]() |
Intel AN 805 Arria 10 SoC ڊولپمينٽ بورڊ تي ڊيزائن جي جزوي ترتيب واري ترتيب [pdf] استعمال ڪندڙ ھدايت AN 805 Arria 10 SoC ڊولپمينٽ بورڊ، AN 805، ايريا 10 SoC ڊولپمينٽ بورڊ تي ڊيزائن جي جزوي ريڪنفيگريشن، آرريا 10 SoC ڊولپمينٽ بورڊ، آرريا 10 SoC ڊولپمينٽ بورڊ، 10 SoC تي ڊيزائن جي ٻيهر ترتيب ڊولپمينٽ بورڊ |