intel-LOGO

intel AN 805 Urekebishaji Upya wa Kihierarkia wa Usanifu kwenye Bodi ya Maendeleo ya Arria 10 SoC

Intel-AN-805-Hierarchical-Sehemu-Urekebishaji-wa-Muundo-on-Arria-10-SoC-Bodi-ya-PRODUCT.

Mafunzo ya Uwekaji Upya kwa Sehemu ya Kihierarkia kwa Bodi ya Maendeleo ya SoC ya Intel® Arria® 10

Dokezo hili la programu linaonyesha kubadilisha muundo rahisi kuwa muundo unaoweza kusanidiwa upya kwa kiasi, na kutekeleza muundo kwenye bodi ya ukuzaji ya Intel® Arria® 10 SoC. Urekebishaji upya wa sehemu ya daraja (HPR) ni aina maalum ya usanidi upya wa sehemu (PR), ambapo una eneo la PR ndani ya eneo lingine la PR. Unaweza kuunda watu wengi kwa sehemu za mtoto na mzazi. Unaweka sehemu za watoto ndani ya sehemu zao za wazazi. Kuweka upya kizigeu cha mtoto hakuathiri utendakazi katika maeneo ya mzazi au tuli. Kuweka upya kizigeu cha mzazi hakuathiri utendakazi katika eneo tuli, lakini hubadilisha sehemu za watoto za eneo kuu na watu chaguomsingi wa kugawa watoto. Mbinu hii ni nzuri katika mifumo ambapo vipengele vingi vya utendakazi hushiriki rasilimali sawa za kifaa cha FPGA.
Urekebishaji upya wa sehemu hutoa maendeleo yafuatayo kwa muundo wa gorofa:

  • Inaruhusu usanidi wa muundo wa wakati wa kukimbia
  • Huongeza scalability ya kubuni
  • Inapunguza muda wa mfumo
  • Inaauni vitendaji vya kuzidisha wakati katika muundo
  • Hupunguza gharama na matumizi ya nguvu kwa kutumia vyema nafasi ya bodi
  • Kumbuka:
  • Utekelezaji wa muundo huu wa marejeleo unahitaji ujuzi wa kimsingi na mtiririko wa utekelezaji wa Intel Quartus® Prime FPGA na maarifa ya mradi msingi wa Intel Quartus Prime. files.

Habari Zinazohusiana

  • Mwongozo wa Mtumiaji wa Intel Arria 10 SoC Development Kit
  • Dhana za Urekebishaji Sehemu
  • Mtiririko wa Usanifu wa Urekebishaji Sehemu
  • Mapendekezo ya Usanifu wa Urekebishaji Sehemu
  • Mazingatio ya Muundo wa Urekebishaji Sehemu

Mahitaji ya Usanifu wa Marejeleo

Muundo huu wa kumbukumbu unahitaji yafuatayo:

  • Toleo la 17.1 la programu ya Intel Quartus Prime Pro kwa ajili ya utekelezaji wa muundo.
  • Seti ya ukuzaji ya Intel Arria 10 SoC kwa utekelezaji wa FPGA.

Shirika la Intel. Haki zote zimehifadhiwa. Intel, nembo ya Intel, na alama zingine za Intel ni chapa za biashara za Intel Corporation au kampuni zake tanzu. Intel inathibitisha utendakazi wa FPGA yake na bidhaa za semiconductor kwa vipimo vya sasa kwa mujibu wa udhamini wa kawaida wa Intel, lakini inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Intel haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa yoyote, bidhaa, au huduma iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Intel. Wateja wa Intel wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma.

  • Majina na chapa zingine zinaweza kudaiwa kama mali ya wengine.

Usanifu wa Marejeleo Umeishaview

  • Muundo huu wa marejeleo una kihesabu kimoja cha 32-bit. Katika ngazi ya bodi, kubuni huunganisha saa na chanzo cha 50MHz na kuunganisha pato kwa LED nne kwenye FPGA. Kuchagua pato kutoka kwa biti za kaunta katika mlolongo maalum husababisha taa za LED kumeta kwa masafa mahususi.
    Kielelezo cha 1. Ubunifu wa Marejeleo ya Gorofa bila Ugawaji wa PRIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-1

Usanifu wa Marejeleo Files

Mafunzo ya usanidi upya wa sehemu yanapatikana katika eneo lifuatalo: https://github.com/intel/fpga-partial-reconfig
Ili kupakua mafunzo:

  1. Bofya Clone au pakua.
  2. Bofya Pakua ZIP. Fungua FPGA-partial-config-master.zip file.
  3. Nenda kwenye folda ya mafunzo/a10_soc_devkit_blinking_led_hpr ili kufikia muundo wa marejeleo.

Folda ya gorofa ina zifuatazo files: 

Jedwali 1. Usanifu wa Marejeleo Files

File Jina Maelezo
juu. SV Kiwango cha juu file ina utekelezaji wa gorofa wa kubuni. Sehemu hii inaanzisha kizigeu kidogo kinachoongozwa na blinking na moduli ya kaunta ya juu.
top_counter.sv Kaunta ya kiwango cha juu ya 32-bit inayodhibiti LED[1] moja kwa moja. Toleo lililosajiliwa la kidhibiti hudhibiti LED[0], na pia huwasha LED[2] na LED[3] kupitia moduli inayoongozwa na blinking.
blinking_led.sdc Inafafanua vikwazo vya muda kwa mradi.
iliendelea…
File Jina Maelezo
blinking_led.SV Katika somo hili, unabadilisha moduli hii kuwa kizigeu cha wazazi cha PR. Sehemu hii hupokea matokeo yaliyosajiliwa ya sehemu ya top_counter, ambayo inadhibiti LED[2] na LED[3].
blinking_led.qpf Mradi wa Intel Quartus Prime file iliyo na orodha ya marekebisho yote katika mradi.
blinking_led.qsf Mipangilio ya Intel Quartus Prime file iliyo na kazi na mipangilio ya mradi.

Kumbuka: Folda ya hpr ina seti kamili ya fileunaunda kwa kutumia kidokezo hiki cha programu. Rejea hizi files wakati wowote wakati wa matembezi.

Kielelezo 2. Muundo wa Marejeleo FilesIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-2

Njia ya Usanifu wa Marejeleo

Hatua zifuatazo zinaelezea utumiaji wa urekebishaji upya kwa muundo wa gorofa. Mafunzo hutumia programu ya Intel Quartus Prime Pro Edition kwa bodi ya ukuzaji ya Intel Arria 10 SoC:

  • Hatua ya 1: Anza kwenye ukurasa wa 6
  • Hatua ya 2: Unda moduli ndogo ya Kiwango cha Mtoto kwenye ukurasa wa 6
  • Hatua ya 3: Kuunda Sehemu za Usanifu kwenye ukurasa wa 7
  • Hatua ya 4: Kutenga Mahali na Eneo la Njia kwa Sehemu za PR kwenye ukurasa wa 9
  • Hatua ya 5: Kuongeza Kidhibiti cha Urekebishaji cha Intel Arria 10 kwa Sehemu ya IP kwenye ukurasa wa 10
  • Hatua ya 6: Kufafanua Watu kwenye ukurasa wa 13
  • Hatua ya 7: Kuunda Marekebisho kwenye ukurasa wa 15
  • Hatua ya 8: Kuzalisha Hati ya Mtiririko wa Uwekaji Upya wa Hierarkia kwenye ukurasa wa 20
  • Hatua ya 9: Kuendesha Hati ya Mtiririko wa Uwekaji Upya wa Hierarkia kwenye ukurasa wa 21
  • Hatua ya 10: Kuandaa Bodi kwenye ukurasa wa 22

Hatua ya 1: Kuanza

Ili kunakili muundo wa kumbukumbu files kwa mazingira yako ya kazi na unda muundo wa gorofa unaoongozwa na blinking:

  • Unda saraka katika mazingira yako ya kazi, a10_soc_devkit_blinking_led_hpr.
  • Nakili mafunzo/kabrasha ndogo ya a10_soc_devkit_blinking_led_hpr/flat kwenye saraka, a10_soc_devkit_blinking_led_hpr.
  • Katika programu ya Intel Quartus Prime Pro Edition, bofya File ➤ Fungua Mradi na uchague blinking_led.qpf.
  • Ili kukusanya muundo bapa, bofya Inachakata ➤ Anza Kukusanya.

Hatua ya 2: Kuunda moduli ndogo ya Kiwango cha Mtoto

Ili kubadilisha muundo huu bapa kuwa muundo wa daraja la PR, ni lazima uunde moduli ndogo ya mtoto (blinking_led_child. SV) ambayo imewekwa ndani ya moduli ndogo ya mzazi (blinking_led.sv).

  1. Unda muundo mpya file, blinking_led_child.sv, na uongeze mistari ifuatayo ya msimbo kwa hili file: kipimo cha saa 1 ps / 1 ps `chaguo-msingi_nettype hakuna moduli blinking_led_child ( // saa ya kuingiza waya ya saa, kihesabu cha waya [31:0], // Dhibiti mawimbi ya taa za LEDIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-3Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-4
  2. Rekebisha blinking_led.sv file ili kuunganisha led_two_on kwa biti 23 ya kaunta kutoka eneo tuli, na kuanzisha moduli ya blinking_led_child. Baada ya marekebisho, blinking_led.sv yako file lazima ionekane kama ifuatavyo:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-5
  3. Juu ya kurekebisha muundo wote files, kusanya tena mradi kwa kubofya Uchakataji ➤ Anza Ukusanyaji

Hatua ya 3: Kuunda Sehemu za Kubuni

Ni lazima uunde sehemu za muundo kwa kila eneo la PR ambalo ungependa kusanidi upya kwa kiasi. Unaweza kuunda idadi yoyote ya partitions huru au maeneo ya PR katika muundo wako. Mafunzo haya yanaunda sehemu mbili za muundo za matukio ya u_blinking_led_child na u_blinking_led.
Ili kuunda kizigeu cha muundo kwa urekebishaji wa sehemu ya hali ya juu:

  1. Bofya kulia mfano wa u_blinking_led_child katika Kirambazaji cha Mradi na ubofye Sehemu ya Usanifu ➤ Weka kama Kitengo cha Usanifu. Aikoni ya kizigeu cha muundo inaonekana karibu na kila tukio ambalo limewekwa kama kizigeu.

Kielelezo 3. Kuunda Partitions Design kutoka Project NavigatorIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-6

  1. Ili kufafanua Aina ya kizigeu, bofya-kulia mfano wa u_blinking_led_child katika kichupo cha Utawala, bofya Sehemu ya Usanifu ➤ Inayoweza kusanidiwa upya. Unaweza tu kufafanua Aina ya kizigeu baada ya kuweka mfano kama kizigeu. Sehemu ya muundo inaonekana kwenye Kazi View kichupo cha Dirisha la Sehemu za Kubuni.

Kielelezo 4. Dirisha la Sehemu za KubuniIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-7

  1. Hariri jina la kizigeu katika Dirisha la Vitengo vya Usanifu kwa kubofya jina mara mbili. Kwa muundo huu wa marejeleo, badilisha jina la kizigeu kuwa pr_partition.
    Kumbuka: Unapounda kizigeu, programu ya Intel Quartus Prime hutengeneza kiotomatiki jina la kizigeu, kulingana na jina la mfano na njia ya uongozi. Jina hili chaguo-msingi la kizigeu linaweza kutofautiana kwa kila mfano.
  2. Rudia hatua ya 1 na 2 ili kugawa sehemu za muundo zinazoweza kusanidiwa tena kwa mfano wa u_blinking_led. Badilisha jina la kizigeu hiki kuwa pr_parent_partition.
    Thibitisha kuwa blinking_led.qsf ina kazi zifuatazo, zinazolingana na sehemu zako za muundo zinazoweza kusanidiwa upya:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-8
Habari Zinazohusiana

Unda Sehemu za Usanifu kwa Urekebishaji Sehemu

Hatua ya 4: Kutenga Maeneo na Eneo la Njia kwa Sehemu za PR

Unapounda masahihisho ya msingi, mtiririko wa muundo wa PR hutumia mgao wa eneo lako la kizigeu cha PR ili kuweka msingi unaolingana wa mtu katika eneo lililohifadhiwa. Ili kupata na kukabidhi eneo la PR katika mpangilio wa sakafu wa kifaa kwa marekebisho yako ya msingi:

  1. Bofya kulia mfano wa u_blinking_led_child katika Kirambazaji cha Mradi na ubofye Eneo la Logic Lock ➤ Unda Eneo Mpya la Kufuli la Mantiki. Kanda inaonekana kwenye Dirisha la Mikoa ya Lock Lock.
  2. Eneo lako la uwekaji lazima liambatanishe na mantiki ya blinking_led_child. Chagua eneo la uwekaji kwa kupata nodi katika Chip Planner. Bofya kulia jina la eneo la u_blinking_led_child katika Kirambazaji cha Mradi na ubofye Tafuta Njia ➤ Pata kwenye Kipanga Chip.
    Kielelezo 5. Mahali pa Nodi ya Mpangaji Chip kwa blinking_ledIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-9
  3. Katika dirisha la Mikoa ya Lock Lock, taja kuratibu za eneo la uwekaji kwenye safu ya Mwanzo. Asili inalingana na kona ya chini kushoto ya kanda. Kwa mfanoample, ili kuweka eneo la uwekaji na (X1 Y1) kuratibu kama (69 10), bainisha Asili kama X69_Y10. Programu ya Intel Quartus Prime hukokotoa kiotomatiki (X2 Y2) kuratibu (juu-kulia) kwa eneo la uwekaji, kulingana na urefu na upana unaobainisha.
    Kumbuka: Mafunzo haya hutumia viwianishi vya (X1 Y1) - (69 10), na urefu na upana wa 20 kwa eneo la uwekaji. Bainisha thamani yoyote ya eneo la uwekaji, mradi eneo linashughulikia mantiki ya blinking_led_child.
  4. Washa chaguo Zilizohifadhiwa na za Msingi Pekee.
  5. Bofya mara mbili chaguo la Mkoa wa Njia. Sanduku la mazungumzo la Mipangilio ya Mipangilio ya Eneo la Lock Lock linaonekana.
  6. Chagua Imesawazishwa na upanuzi wa aina ya Njia. Kuchagua chaguo hili huweka kiotomati urefu wa upanuzi wa 1.
    Kumbuka: Eneo la uelekezaji lazima liwe kubwa kuliko eneo la uwekaji, ili kutoa unyumbulifu wa ziada kwa Fitter wakati injini inaelekeza watu tofauti.
  7. Rudia hatua 1 -6 kwa mfano u_blinking_led. Uwekaji wa kiwango cha mzazi Rudia hatua 1 -6 kwa mfano u_blinking_led. Eneo la upangaji wa ngazi ya mzazi lazima liambatanishe kikamilifu na maeneo yanayolingana ya uwekaji na uelekezaji wa kiwango cha mtoto huku ukiruhusu nafasi ya kutosha kwa uwekaji wa mantiki katika ngazi ya mzazi. Mafunzo haya hutumia viwianishi vya (X1 Y1) - (66 7), urefu wa 47, na upana wa 26 kwa eneo la uwekaji la tukio la u_blinking_led.

Kielelezo 6. Dirisha la Mikoa la Lock LogicIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-10

Thibitisha kuwa blinking_led.qsf ina kazi zifuatazo, zinazolingana na upangaji sakafu wako:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-11

Habari Zinazohusiana
  • Panga Muundo wa Urekebishaji wa Sehemu
  • Inazidi Kutekeleza Urekebishaji Sehemu

Hatua ya 5: Kuongeza Kidhibiti cha Upya cha Intel Arria 10 cha IP Core

  • Tumia msingi wa IP wa Kidhibiti Upya cha Intel Arria 10 ili kusanidi upya kizigeu cha PR. Msingi huu wa IP hutumia JTAG kusanidi upya kizigeu cha PR. Ili kuongeza msingi wa IP ya Kidhibiti Upya cha Intel Arria 10 kwenye mradi wako wa Intel Quartus Prime:
  1. Chapa Urekebishaji Sehemu Upya katika katalogi ya IP.
  2. Ili kuzindua kidirisha cha Mhariri wa Parameta ya IP, chagua msingi wa IP ya Kidhibiti cha Urekebishaji cha Kidhibiti cha Sehemu cha Intel Arria 10 kutoka kwa maktaba ya IP, na ubofye Ongeza.
  3. Katika kisanduku cha mazungumzo cha Lahaja Mpya ya IP, chapa pr_ip kama faili ya file jina na ubofye Unda. Tumia uwekaji vigezo chaguomsingi kwa pr_ip. Hakikisha kuwa Washa JTAG hali ya utatuzi na Washa chaguzi za kiolesura cha kufungia zimewashwa, na Washa kiolesura cha Avalon-MM slave kimezimwa.

Kielelezo 7. Intel Arria 10 Vigezo vya Msingi vya Urekebishaji wa Sehemu ya IPIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-12

  1. Bonyeza Maliza, na uondoke kwenye kihariri cha parameter bila kuzalisha mfumo. Programu ya Intel Quartus Prime huunda tofauti ya IP ya pr_ip.ip file, na kuongeza file kwa mradi unaoongozwa na blinking.

Kumbuka:

  1. Ikiwa unakili pr_ip.ip file kutoka kwa folda ya hpr, hariri mwenyewe blinking_led.qsf file kujumuisha laini ifuatayo: set_global_assignment -name IP_FILE pr_ip.ip
  2. Weka IP_FILE kazi baada ya SDC_FILE kazi (jtag.sdc na blinking_led.sdc) katika blinking_led.qsf yako file. Upangaji huu unahakikisha kizuizi kinachofaa cha msingi wa IP wa Urekebishaji Sehemu.
    Kumbuka: Ili kugundua saa, SDC file kwa PR IP lazima ifuate SDC yoyote ambayo huunda saa ambazo msingi wa IP hutumia. Unawezesha agizo hili kwa kuhakikisha .ip file kwa msingi wa PR inakuja baada ya .ip yoyote files au SDC files kutumika kuunda saa hizi katika QSF file kwa marekebisho ya mradi wako wa Intel Quartus Prime. Kwa maelezo zaidi, rejelea sehemu ya Vikwazo vya Muda katika Mwongozo wa Mtumiaji wa Uwekaji Upya wa Msingi wa IP.

Habari Zinazohusiana

  • Mwongozo wa Mtumiaji wa Suluhu za IP za Urekebishaji Sehemu
    • Kwa maelezo kuhusu msingi wa IP wa Kidhibiti cha Urekebishaji Sehemu cha Kanda.
  • Mwongozo wa Mtumiaji wa Kurekebisha Sehemu ya Msingi wa IP
    • Kwa habari juu ya vikwazo vya muda.
Inasasisha Muundo wa Kiwango cha Juu

Ili kusasisha top.SV file na mfano wa PR_IP:

  1. Ili kuongeza mfano wa PR_IP kwenye muundo wa kiwango cha juu, toa maoni kwenye kizuizi kifuatacho cha msimbo hapo juu.SV file:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-13
  2. Ili kulazimisha milango ya pato kwa mantiki ya 1 wakati wa kusanidi upya, tumia pato la kudhibiti kufungia kutoka kwa PR_IP. Hata hivyo, ili kuona LED ikiendelea kufumba na kufumbua kutoka kwa kizigeu cha PR cha mzazi huku PR ikipanga kizigeu cha mtoto, mawimbi ya kudhibiti kugandisha haizimi led_two_ on. Hakikisha kuwa pr_led_two_on ni moja kwa moja Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-14
  3. Ili kukabidhi mfano wa mtu chaguo-msingi wa mzazi (blinking_led), sasisha top.SV file na kizuizi kifuatacho cha nambari: Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-15

Kielelezo 8. Upyaji wa Sehemu Uunganishaji wa Msingi wa IPIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-16

Hatua ya 6: Kufafanua Watu

Muundo huu wa marejeleo unafafanua watu watano tofauti kwa sehemu za PR za mzazi na mtoto. Ili kufafanua na kujumuisha watu katika mradi wako:

  1. Unda SystemVerilog nne files, blinking_led_child.sv, blinking_led_child_slow.sv, blinking_led_child_empty.sv, na blinking_led_slow.sv katika orodha yako ya kufanya kazi kwa watu watano.
    Kumbuka: Ikiwa utaunda SystemVerilog files kutoka kwa Mhariri wa Maandishi Mkuu wa Intel Quartus, zima Ongeza file kwa chaguo la sasa la mradi, wakati wa kuhifadhi faili ya files.

Jedwali 2. Watu wa Usanifu wa Marejeleo

File Jina Maelezo Kanuni
blinking_led_child.sv Nafsi chaguomsingi ya muundo wa kiwango cha mtoto  

`wakati 1 ps / 1 ps

`default_nettype none moduli blinking_led_child (

// saa

saa ya kuingiza waya,

waya ya kuingiza [31:0] kaunta,

 

// Dhibiti mawimbi ya waya za kutoa za LEDs zinazoongozwa_tatu_

 

);

localparam COUNTER_TAP = 23; reg led_three_on_r;

 

kabidhi led_three_on = led_three_on_r; daima_ff @(saa ya kuweka) huanza

led_three_on_r <= counter[COUNTER_TAP]; mwisho

 

moduli ya mwisho

iliendelea…
File Jina Maelezo Kanuni
blinking_led_child_slow.sv The

LED_TATU

kufumba na kufumbua polepole

 

`wakati 1 ps / 1 ps

`default_nettype hakuna

 

moduli ya blinking_led_child_polepole (

 

// saa

saa ya kuingiza waya,

waya ya kuingiza [31:0] kaunta,

 

// Dhibiti mawimbi ya waya za kutoa za LEDs zinazoongozwa_tatu_

);

 

localparam COUNTER_TAP = 27; reg led_three_on_r;

 

kabidhi led_three_on = led_three_on_r; daima_ff @(saa ya kuweka) huanza

led_three_on_r <= counter[COUNTER_TAP];

mwisho

 

moduli ya mwisho

blinking_led_child_tupu.sv The

LED_TATU

inakaa ON

 

`wakati 1 ps / 1 ps

`default_nettype hakuna

 

moduli ya kupepesa_inayoongozwa_ya_mtoto_tupu (

 

// saa

saa ya kuingiza waya,

waya ya kuingiza [31:0] kaunta,

 

// Dhibiti mawimbi ya waya za kutoa za LEDs zinazoongozwa_tatu_

 

);

 

// LED inafanya kazi chini

kabidhi led_three_on = 1'b0;

 

moduli ya mwisho

blinking_led_slow.sv LED_TWO

kufumba na kufumbua polepole.

 

`wakati 1 ps / 1 ps

`default_nettype hakuna moduli blinking_led_slow(

// saa

saa ya kuingiza waya,

waya ya kuingiza [31:0] kaunta,

 

// Dhibiti mawimbi ya waya za pato za LEDs zinazoongozwa_two_on,

waya wa pato unaoongozwa_tatu_

 

);

 

localparam COUNTER_TAP = 27; reg led_two_on_r;

kabidhi led_two_on = led_two_on_r;

 

// Kaunta:

always_ff @(posedge clock) start led_two_on_r <= counter[COUNTER_TAP];

mwisho

 

mtoto_aliyeongozwa_kupepesa u_kupepesa_kuongozwa_mtoto(

.inaongozwa_tatu_(inaongozwa_tatu),

.kaunta (kaunta),

.saa (saa)

File Jina Maelezo Kanuni
); moduli
Habari Zinazohusiana

Hatua ya 3: Kuunda Sehemu za Usanifu kwenye ukurasa wa 7

Hatua ya 7: Kuunda Marekebisho

Mtiririko wa muundo wa PR hutumia kipengele cha masahihisho ya mradi katika programu ya Intel Quartus Prime. Muundo wako wa awali ni marekebisho ya msingi, ambapo unafafanua mipaka ya eneo tuli na maeneo yanayoweza kusanidiwa upya kwenye FPGA. Kutoka kwa marekebisho ya msingi, unaunda masahihisho mengi. Marekebisho haya yana utekelezwaji tofauti kwa mikoa ya PR. Hata hivyo, masahihisho yote ya utekelezaji wa PR hutumia uwekaji wa kiwango cha juu sawa na matokeo ya uelekezaji kutoka kwa masahihisho ya msingi. Ili kukusanya muundo wa PR, lazima uunde masahihisho ya utekelezaji wa PR na usanisi wa kila mtu. Katika muundo huu wa marejeleo, pamoja na marekebisho ya msingi (blinking_led), watu watatu wa ngazi ya mtoto na watu wawili wa ngazi ya mzazi wana masahihisho matano tofauti ya usanisi na masahihisho matano tofauti ya utekelezaji:
Jedwali 3. Marekebisho ya Watu Wenye Wazazi Wawili na Watu Wenye Watoto Watatu

Marekebisho ya awali Marekebisho ya Utekelezaji
mzazi_aliyeongozwa_kupepesa, chaguo-msingi_le_kupepesa blinking_led_pr_alpha
mzazi_kupepesa_kupepesa_kupepesa_kupepesa_mtoto_polepole blinking_led_pr_bravo
mzazi_kupepesa_kupepesa_kupepesa_kupepesa_mtoto_tupu blinking_led_pr_charlie
blinking_led_led_polepole, blinking_led_mtoto_polepole blinking_led_pr_delta
mzazi_anayeongozwa_na_kupepesa_polepole, mtoto_anayeongozwa_kupepesa_akiwa_tupu blinking_led_pr_emma

Kuunda Marekebisho ya Utekelezaji

Ili kuunda marekebisho ya utekelezaji wa PR:

  1. Ili kufungua kisanduku cha mazungumzo ya Marekebisho, bofya Mradi ➤ Marekebisho.
  2. Ili kuunda marekebisho mapya, bofya mara mbili < >.
  3. Bainisha jina la Marekebisho kama blinking_led_pr_alpha na uchague blinking_led kwa Based on Revision.
  4. Lemaza Kuweka kama chaguo la marekebisho ya sasa na ubofye Sawa.
  5. Vile vile, unda blinking_led_pr_bravo, blinking_led_pr_charlie, blinking_led_pr_delta, na blinking_led_pr_emma masahihisho, kulingana na marekebisho yanayoongozwa na blinking.
    Kumbuka: Usiweke masahihisho yaliyo hapo juu kama masahihisho ya sasa.

Kielelezo 9. Kuunda MarekebishoIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-17

Kuunda Marekebisho ya Mchanganyiko Pekee

Ili kuunda masahihisho ya usanisi pekee kwa watu, lazima ukabidhi huluki ya kiwango cha juu na SystemVerilog inayolingana. file kwa kila mtu:

  1. Katika programu ya Intel Quartus Prime, bofya Mradi ➤ Marekebisho.
  2. Unda blinking_led_default marekebisho kulingana na blinking_led marekebisho. Usiweke marekebisho haya kama masahihisho ya sasa.
  3. Rekebisha blinking_led_default.qsf file kujumuisha kazi zifuatazo:
    set_global_assignment -jina TOP_LEVEL_ENTITY blinking_led_child
    set_global_assignment -jina SYSTEMVERILOG_FILE
  4. Vile vile, tengeneza masahihisho_ya_kupepesa_ya_mtoto_mwenye_kupepesa_kufumba_ya_mtoto_asiye_tupu, mzazi_anayeongozwa_na_kupepesa, na masahihisho ya polepole_ya_mzazi_anayeongozwa_na_kupepesa kulingana na marekebisho_ya_kupepesa. Usiweke masahihisho haya kama masahihisho ya sasa.
  5. Sasisha blinking_led_child_slow.qsf, blinking_led_child_empty.qsf, blinking_led_parent.qsf, na blinking_led_parent_slow.qsf files na sambamba zao
    TOP_LEVEL_ENTITY na SYSTEMVERILOG_FILE kazi: Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-18
  6. Ili kuepuka makosa ya usanisi, hakikisha kwamba masahihisho ya usanisi fileSehemu za watoto hazina kizigeu chochote cha muundo, kazi za siri, au Logic Lock.
    kazi za kanda. Pia, marekebisho ya awali files kwa sehemu za mzazi lazima iwe na kazi za ugawaji wa muundo wa sehemu zinazolingana za watoto. Ondoa kazi hizi, kama zipo, katika blinking_led_default.qsf, blinking_led_child_slow.qsf, blinking_led_child_empty.qsf, blinking_led_parent.qsf, na blinking_led_parent_slow.pdf filesIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-19
  7. Jumuisha kazi zifuatazo katika blinking_led_parent.qsf na blinking_led_parent_slow.qsf files: Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-20
  8. Thibitisha kuwa blinking_led.qpf file ina marekebisho yafuatayo, bila mpangilio maalum:
  • Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-21
  • Kumbuka: Ikiwa unakili marekebisho files kutoka kwa folda ya hpr, sasisha mwenyewe blinking_led.qpf file na mistari ya juu ya kanuni.

Inabainisha Aina ya Marekebisho

Ni lazima ukabidhi aina ya masahihisho kwa kila masahihisho yako. Kuna aina tatu za marekebisho:

  • Urekebishaji wa Sehemu - Msingi
  • Urekebishaji wa Sehemu - Mchanganyiko wa Persona
  • Urekebishaji wa Sehemu - Utekelezaji wa Mtu
  • Jedwali lifuatalo linaorodhesha mgawo wa aina ya marekebisho kwa kila masahihisho:

Jedwali 4. Aina za Marekebisho

Jina la Marekebisho Aina ya Marekebisho
blinking_led.qsf Urekebishaji wa Sehemu - Msingi
blinking_led_default.qsf Urekebishaji wa Sehemu - Mchanganyiko wa Persona
blinking_led_child_tupu.qsf Urekebishaji wa Sehemu - Mchanganyiko wa Persona
blinking_led_child_slow.qsf Urekebishaji wa Sehemu - Mchanganyiko wa Persona
blinking_led_parent.qsf Urekebishaji wa Sehemu - Mchanganyiko wa Persona
blinking_led_parent_slow.qsf Urekebishaji wa Sehemu - Mchanganyiko wa Persona
blinking_led_pr_alpha.qsf Urekebishaji wa Sehemu - Utekelezaji wa Mtu
blinking_led_pr_bravo.qsf Urekebishaji wa Sehemu - Utekelezaji wa Mtu
blinking_led_pr_charlie.qsf Urekebishaji wa Sehemu - Utekelezaji wa Mtu
blinking_led_pr_delta.qsf Urekebishaji wa Sehemu - Utekelezaji wa Mtu
blinking_led_pr_emma.qsf Urekebishaji wa Sehemu - Utekelezaji wa Mtu
  1. Bofya Mradi ➤ Marekebisho. Sanduku la mazungumzo la Marekebisho linaonekana.
  2. Chagua blinking_led katika safu ya Jina la Marekebisho, na ubofye Weka Sasa.
  3. Bofya Tumia. Marekebisho yanayoongozwa na blinking hufungua.
  4. Ili kuweka aina ya masahihisho ya blinking_led, bofya Kazi ➤ Mipangilio ➤ Jumla.
  5. Chagua Aina ya Marekebisho kama Usanidi wa Sehemu - Msingi.
  6. Vile vile, weka aina za masahihisho kwa masahihisho mengine kumi, kama ilivyoorodheshwa kwenye jedwali hapo juu.
  • Kumbuka: Ni lazima uweke kila masahihisho kama masahihisho ya sasa kabla ya kukabidhi aina ya masahihisho. Thibitisha kuwa kila .qsf file ina mgawo ufuatao: Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-22
  • Kumbuka: Ongeza mipangilio yoyote maalum ya Fitter ambayo ungependa kutumia katika mkusanyiko wa utekelezaji wa PR kwenye masahihisho ya utekelezaji wa kibinafsi. Mipangilio mahususi ya Fitter huathiri uwiano wa mtu, lakini haiathiri eneo tuli lililoletwa. Unaweza pia kuongeza mipangilio yoyote maalum ya usanisi kwa masahihisho ya usanisi ya mtu binafsi.
Habari Zinazohusiana

Tengeneza Marekebisho ya Watu

Hatua ya 8: Inazalisha Hati ya Mtiririko wa Uwekaji Upya wa Hierarkia

Ili kutoa hati ya mtiririko wa usanidi wa sehemu ya daraja:

  1. Kutoka kwa ganda la amri ya Intel Quartus Prime, tengeneza kiolezo cha mtiririko kwa kutekeleza amri ifuatayo:
  2. Intel Quartus Prime hutengeneza a10_hier_partial_reconfig/flow.tcl file.Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-23
  3. Badilisha jina la a10_hier_partial_reconfig/setup.tcl.ex iliyoundwaample hadi a10_hier_partial_reconfig/setup.tcl, na urekebishe hati ili kubainisha maelezo ya mradi wako wa usanidi upya:
    a. Ili kufafanua jina la mradi, sasisha laini ifuatayo:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-24b. Ili kufafanua marekebisho ya msingi, sasisha mstari ufuatao:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-25
  4. Ili kufafanua kila moja ya masahihisho ya utekelezaji wa usanidi upya, pamoja na majina ya sehemu za PR na masahihisho ya chanzo yanayotekeleza masahihisho hayo, sasisha mistari ifuatayo:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-26

Kumbuka: Miradi yote ya masahihisho lazima iwe katika saraka sawa na blinking_led.qpf. Vinginevyo, sasisha hati ya mtiririko ipasavyo.Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-27

Hatua ya 9: Inaendesha Hati ya Mtiririko wa Uwekaji Upya wa Sehemu ya Hierarkia

Ili kuendesha hati ya mtiririko wa usanidi wa sehemu ya daraja:

  1. Bofya Zana ➤ Hati za Tcl. Sanduku la mazungumzo la Hati za Tcl linaonekana.
  2. Bofya Ongeza kwenye Mradi, vinjari na uchague a10_hier_partial_reconfig/flow.tcl.
  3. Chagua a10_hier_partial_reconfig/flow.tcl kwenye kidirisha cha Maktaba, na ubofye Endesha.
    Hati hii inaendesha usanisi wa watu watatu. Intel Quartus Prime inazalisha Kitu cha SRAM File (.sof), Kipengee cha SRAM Kilichofichwa Sehemu File (.pmsf), na Nambari Mbichi File (.rbf) kwa kila mtu.

Kumbuka: Ili kuendesha hati kutoka kwa ganda la amri ya Intel Quartus Prime, chapa amri ifuatayo:

Habari Zinazohusiana

  • Kusanya Muundo wa Urekebishaji Sehemu
  • Kwa kutumia Hati ya Mtiririko wa Urekebishaji Sehemu
  • Inasanidi Hati ya Mtiririko wa Urekebishaji Sehemu
  • Tengeneza Upangaji Files

Hatua ya 10: Kuandaa Bodi

Kabla ya kuanza:

  1. Unganisha usambazaji wa umeme kwenye bodi ya ukuzaji ya Intel Arria 10 SoC.
  2. Unganisha kebo ya USB Blaster kati ya mlango wa USB wa Kompyuta yako na mlango wa USB Blaster kwenye ubao wa ukuzaji.

Ili kuendesha muundo kwenye bodi ya ukuzaji ya Intel Arria 10 SoC:

  1. Fungua programu ya Intel Quartus Prime na ubofye Vyombo ➤ Kipanga programu.
  2. Katika Kipanga programu, bofya Usanidi wa Vifaa na uchague USB-Blaster.
  3. Bofya Tambua Kiotomatiki na uchague kifaa, 10AS066N3.
  4. Bofya Sawa. Programu ya Intel Quartus Prime hutambua na kusasisha Kipanga programu kwa chipsi tatu za FPGA ubaoni.
  5. Chagua kifaa cha 10AS066N3, bofya Badilisha File na upakie blinking_led_pr_alpha.sof file.
  6. Washa Programu/Sanidi kwa blinking_led_pr_alpha.sof file.
  7. Bonyeza Anza na usubiri upau wa maendeleo kufikia 100%.
  8. Tazama taa za LED kwenye ubao zikiwaka kwa masafa sawa na muundo wa asili wa bapa.
  9. Ili kupanga eneo la PR pekee, bofya kulia blinking_led_pr_alpha.sof file kwenye Kipanga programu na ubofye Ongeza Upangaji wa PR File.
  10. Chagua blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf file.
  11. Zima Programu/Sanidi kwa blinking_led_pr_alpha.sof file.
  12. Washa Program/Configure kwa blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf file na ubofye Anza. Kwenye ubao, angalia LED[0] na LED[1] zikiendelea kufumba na kufumbua. Upau wa maendeleo unapofikia 100%, LED[2] huwaka kwa kasi sawa, na LED[3] huwaka polepole zaidi.
  13. Ili kupanga eneo la PR la mzazi na mtoto, bofya kulia .rbf file kwenye Kipanga programu na ubofye Badilisha Upangaji wa PR File.
  14. Chagua blinking_led_pr_delta.pr_parent_partition.rbf file.
  15. Bofya Anza. Kwenye ubao, angalia kwamba LED[0] na LED[1] zinaendelea kufumba na kufumbua. Upau wa maendeleo unapofikia 100%, LED[2] na LED[3] huwaka polepole zaidi.
  16. Rudia hatua zilizo hapo juu ili kupanga upya eneo la PR la mtoto tu, au maeneo ya Mzazi na mtoto kwa wakati mmoja.

Kielelezo 10. Kupanga Bodi ya Maendeleo ya Intel Arria 10 SoCIntel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-28

Kurekebisha Mtu Aliyepo

  • Unaweza kubadilisha persona iliyopo, hata baada ya kuandaa kikamilifu marekebisho ya msingi.
  • Kwa mfanoample, kusababisha blinking_led_child_slow persona kupepesa polepole zaidi:
  1. Katika blinking_led_child_slow.sv file, rekebisha kigezo COUNTER_TAP kutoka 27 hadi 28.
  2. Ili kuunganisha upya na kutekeleza upya mtu huyu, lazima ukusanye upya masahihisho yote ya usanisi pekee na masahihisho ya utekelezaji yaliyoathiriwa na mabadiliko. Rekebisha hati ya setup.tcl ili kujumuisha mistari ifuatayo:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-29Kumbuka: Wakati wa kufafanua pr_parent_parition ya marekebisho ya blinking_led_pr_delta, unaleta picha ya mwisho ya mtu huyo kwa ajili ya utekelezaji. Kama matokeo, utekelezaji wa mantiki ya kizigeu cha mzazi unabaki sawa, wakati wa kurekebisha na kutekeleza kizigeu kinacholingana cha mtoto.Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-30 Amri hii inasawazisha upya blinking_led_child_slow usanisi masahihisho, na kisha kuendesha mkusanyiko wa utekelezaji wa PR kwa kutumia blinking_led_pr_bravo.
  3. Ili kufanya mkusanyiko wa masahihisho ya usanisi pekee, endesha amri ifuatayo: Amri hii haijumuishi marekebisho ya msingi.Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-32
  4. Ili kufanya mkusanyiko wa marekebisho ya utekelezaji, endesha amri ifuatayo:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-32
  5. Amri hii haijumuishi marekebisho ya msingi.
  6. Fuata hatua katika Hatua ya 10: Kuandaa Bodi kwenye ukurasa wa 22 ili kupanga matokeo ya RBF file ndani ya FPGA.
    Kumbuka: Ili kuepuka kuendesha mtiririko mzima kwa kila masahihisho, fafanua masahihisho ya usanisi pekee na masahihisho ya utekelezaji katika hati ya setup.tcl, na uendeshe hati.

Kuongeza Mtu Mpya kwenye Usanifu

Baada ya kukusanya masahihisho yako ya msingi kikamilifu, bado unaweza kuongeza watu wapya na kukusanya watu hawa kibinafsi.
Kwa mfanoample, kufafanua mtoto mpya persona kwa blinking_led_parent_slow, ambayo huzima led_three:

  1. Nakili blinking_led_child_empty.sv hadi blinking_led_chdild_off.sv.
  2. Katika blinking_led_child_off.sv file, rekebisha mgawo, toa led_three_on = 1'b0; kukabidhi led_three_on = 1'b1;. Hakikisha kuwa umebadilisha jina la sehemu kutoka blinking_led_child_empty hadi blinking_led_child_off.
  3. Unda masahihisho mapya ya usanisi, blinking_led_child_off, kwa kufuata hatua katika Kuunda Marekebisho ya Usanisi Pekee kwenye ukurasa wa 16.
    Kumbuka: Marekebisho ya blinking_led_child_off lazima yatumie blinking_led_child_off.sv file.
  4. Unda masahihisho mapya ya utekelezaji, blinking_led_pr_foxtrot, kwa kufuata hatua katika Kuunda Marekebisho ya Utekelezaji kwenye ukurasa wa 15.
  5. Sasisha a10_hier_partial_reconfig/setup.tcl file kufafanua utekelezaji mpya wa PR:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-33
  6. Unganisha tu usanisi huu mpya na marekebisho ya utekelezaji kwa kutekeleza amri ifuatayo:Intel-AN-805-Hierarchical-Sehemu-Usanidi-wa-Muundo-on-Arria-10-SoC-Bodi-ya-Maendeleo-FIG-34

Kwa maelezo kamili kuhusu uwekaji upya wa sehemu ya daraja la vifaa vya Intel Arria 10, rejelea Kuunda Usanifu Sehemu Upya wa Kiasi katika Juzuu ya 1 ya Kitabu cha Mwongozo cha Toleo la Intel Quartus Prime Pro.

Habari Zinazohusiana

  • Kuunda Muundo wa Urekebishaji Sehemu
  • Mafunzo ya Mtandaoni ya Urekebishaji Sehemu

Historia ya Marekebisho ya Hati

Jedwali 5. Historia ya Marekebisho ya Hati

Toleo la Hati Toleo la Programu Mabadiliko
2017.11.06 17.1.0 • Ilisasishwa Mahitaji ya Usanifu wa Marejeleo sehemu na toleo la programu

• Ilisasishwa Ubunifu wa Marejeleo ya Gorofa bila Ugawaji wa PR takwimu na mabadiliko ya block block

• Ilisasishwa Usanifu wa Marejeleo Files jedwali lenye taarifa za

Top_counter.sv moduli

• Ilisasishwa Uunganishaji wa Msingi wa Upya wa IP takwimu na mabadiliko ya block block

• Ilisasisha takwimu - Dirisha la Sehemu za Kubuni na Dirisha la Logic la Mikoa ili kuonyesha GUI mpya

•    File mabadiliko ya jina

• Mabadiliko ya maandishi

2017.05.08 17.0.0 Kutolewa kwa hati ya awali

Nyaraka / Rasilimali

intel AN 805 Urekebishaji Upya wa Kihierarkia wa Usanifu kwenye Bodi ya Maendeleo ya Arria 10 SoC [pdf] Mwongozo wa Mtumiaji
Urekebishaji Upya wa Kitaaluma wa 805 wa Muundo kwenye Bodi ya Maendeleo ya SoC ya Arria 10, AN 805, Urekebishaji Upya wa Kitaaluma wa Usanifu kwenye Bodi ya Maendeleo ya Arria 10 SoC, Urekebishaji wa Muundo kwenye Bodi ya Maendeleo ya Arria 10 SoC, Bodi ya Maendeleo ya Arria 10 SoC, 10 SoC Bodi ya Maendeleo

Marejeleo

Acha maoni

Barua pepe yako haitachapishwa. Sehemu zinazohitajika zimetiwa alama *