intel AN 805 Hierarchical Partal Reconfiguration of a Design on Arria 10 SoC Development Board
ʻO ke aʻo aʻoaʻo hoʻonohonoho hou ʻana ʻāpana hierarchical no Intel® Arria® 10 SoC Development Board
Hōʻike kēia palapala noi i ka hoʻololi ʻana i kahi hoʻolālā maʻalahi i kahi hoʻolālā hierarchically partially reconfigurable, a hoʻokō i ka hoʻolālā ma ka papa hoʻomohala Intel® Arria® 10 SoC. ʻO ka hierarchical partial reconfiguration (HPR) kahi ʻano kūikawā o ka hoʻonohonoho hou ʻana hapa (PR), kahi āu i loaʻa ai kahi ʻāpana PR i loko o kahi ʻāpana PR ʻē aʻe. Hiki iā ʻoe ke hana i nā personas he nui no nā ʻāpana keiki a me nā mākua. Hoʻomoe ʻoe i nā ʻāpana keiki i loko o nā ʻāpana makua. ʻAʻole pili ka hoʻonohonoho hou ʻana i kahi ʻāpana keiki i ka hana ma ka makua a i ʻole nā ʻāpana kū. ʻAʻole pili ka hoʻonohonoho hou ʻana i ka ʻāpana makua i ka hana ma ka ʻāina paʻa, akā hoʻololi i nā ʻāpana keiki o ka ʻāina makua me nā personas partition paʻamau. He kūpono kēia ʻano hana i nā ʻōnaehana kahi e hoʻokaʻawale ai nā hana he nui i nā kumuwaiwai like FPGA.
Hāʻawi ka hoʻonohonoho hou ʻana i nā mea holomua i kahi hoʻolālā pālahalaha:
- Hāʻawi i ka hoʻonohonoho hou ʻana i ka hoʻolālā manawa holo
- Hoʻonui i ka scalability o ka hoʻolālā
- Hoʻemi i ka manawa hoʻomaha o ka ʻōnaehana
- Kākoʻo i nā hana hoʻoikaika manawa-multiplexing i ka hoʻolālā
- Hoʻohaʻahaʻa i ke kumukūʻai a me ka hoʻohana mana ma o ka hoʻohana pono ʻana i ka wahi papa
- Nānā:
- Pono ka hoʻokō ʻana i kēia hoʻolālā kuhikuhi i ka ʻike maʻamau me ka holo hoʻokō Intel Quartus® Prime FPGA a me ka ʻike o ka papahana Intel Quartus Prime mua. files.
ʻIke pili
- Intel Arria 10 SoC Development Kit Ke alakaʻi hoʻohana
- Nā Manaʻo Hoʻoponopono hapa
- Ke Kahe Hoʻolālā Hoʻonohonoho ʻApana
- Nā Manaʻo Manaʻo Hoʻolālā Hoʻololi ʻāpana
- Nā Manaʻo Hoʻolālā Hoʻonohonoho ʻĀpana
Nā Koina Hoʻolālā Kuhikuhi
Pono kēia hoʻolālā kuhikuhi i kēia mau mea:
- ʻO Intel Quartus Prime Pro Edition polokalamu polokalamu 17.1 no ka hoʻokō hoʻolālā.
- Intel Arria 10 SoC development kit no ka hoʻokō FPGA.
Huina Intel. Ua mālama ʻia nā kuleana āpau. ʻO Intel, ka Intel logo, a me nā hōʻailona Intel ʻē aʻe he mau hōʻailona o Intel Corporation a i ʻole kāna mau lālā. Mālama ʻo Intel i ka hana o kāna mau huahana FPGA a me semiconductor i nā kikoʻī o kēia manawa e like me ka palapala hōʻoia maʻamau o Intel, akā aia ke kuleana e hoʻololi i nā huahana a me nā lawelawe i kēlā me kēia manawa me ka ʻole o ka hoʻolaha. ʻAʻole ʻo Intel i kuleana a i ʻole kuleana e puka mai ana mai ka noi a i ʻole ka hoʻohana ʻana i kekahi ʻike, huahana, a i ʻole lawelawe i wehewehe ʻia ma ʻaneʻi koe wale nō i ʻae ʻia ma ke kākau ʻana e Intel. Manaʻo ʻia nā mea kūʻai aku Intel e loaʻa i ka mana hou o nā kikoʻī o nā hāmeʻa ma mua o ka hilinaʻi ʻana i kekahi ʻike i paʻi ʻia a ma mua o ke kau ʻana i nā kauoha no nā huahana a i ʻole nā lawelawe.
- Hiki ke koi ʻia nā inoa a me nā hōʻailona ʻē aʻe ma ke ʻano he waiwai o nā poʻe ʻē aʻe.
Hoʻolālā Hoʻohālikelike Overview
- Aia kēia hoʻolālā kuhikuhi i hoʻokahi counter 32-bit. Ma ka pae papa, hoʻopili ka hoʻolālā i ka uaki i kahi kumu 50MHz a hoʻopili i ka hopena i nā LED ʻehā ma ka FPGA. ʻO ke koho ʻana i ka mea hoʻopuka mai nā ʻāpana kūʻai i kahi kaʻina kikoʻī e hoʻopio ai nā LED i kahi alapine kikoʻī.
Kiʻi 1. Hoʻolālā Papapalapala me ka ʻole o ka ʻāpana PR
Hoʻolālā Kuhikuhi Files
Loaʻa ka ʻōlelo aʻoaʻo hoʻonohonoho ʻāpana ma kēia wahi: https://github.com/intel/fpga-partial-reconfig
No ka hoʻoiho ʻana i ke kumu aʻo:
- Kaomi iā Clone a hoʻoiho.
- Kaomi iā Download ZIP. Wehe i ka FPGA-partial-config-master.zip file.
- E hoʻokele i nā kumu aʻo/a10_soc_devkit_blinking_led_hpr sub-folder no ke komo ʻana i ka hoʻolālā kuhikuhi.
Aia ka waihona palahalaha o keia files:
Papa 1. Hoʻolālā Kūkākūkā Files
File inoa | wehewehe |
luna. SV | ʻO ka pae kiʻekiʻe file aia ka hoʻokō palahalaha o ka hoʻolālā. Hoʻomaka koke kēia module i ka blinking_led sub-partition a me ka module top_counter. |
top_counter.sv | Kiʻekiʻe kiʻekiʻe 32-bit counter e hoʻomalu pono iā LED[1]. ʻO ka mea i hoʻopaʻa inoa ʻia o ka counter control LED [0], a me nā mana LED [2] a me LED [3] ma o ka blinking_led module. |
blinking_led.sdc | E wehewehe i nā palena manawa no ka papahana. |
hoʻomau… |
File inoa | wehewehe |
blinking_led.SV | Ma kēia kumu aʻo, hoʻololi ʻoe i kēia module i ʻāpana PR makua. Loaʻa i ka module ka puka i hoʻopaʻa ʻia o ka module top_counter, nāna e hoʻomalu i ka LED [2] a me LED [3]. |
blinking_led.qpf | Papahana Intel Quartus Prime file i loko o ka papa inoa o nā hoʻoponopono a pau o ka papahana. |
blinking_led.qsf | Nā hoʻonohonoho Intel Quartus Prime file loaʻa nā haʻawina a me nā hoʻonohonoho no ka papahana. |
Nānā: Aia ka waihona hpr i ka pūʻulu piha o fileKe hana nei ʻoe me ka hoʻohana ʻana i kēia palapala noi. E kuhikuhi i kēia mau mea files i kēlā me kēia manawa i ka wā hele.
Kiʻi 2. Hoʻolālā Kūkākūkā Files
Hoʻolālā Kūlana Kūlana
ʻO nā ʻanuʻu aʻe e wehewehe i ka hoʻohana ʻana o ka hoʻonohonoho hou ʻana i kahi hoʻolālā pālahalaha. Hoʻohana ke kumu aʻo i ka polokalamu Intel Quartus Prime Pro Edition no ka papa hoʻomohala Intel Arria 10 SoC:
- KaʻAnuʻu 1: Hoʻomaka ma ka ʻaoʻao 6
- KaʻAnuʻu 2: E hana i kahi sub-module ma ka ʻaoʻao 6
- KaʻAnuʻu 3: Ke hana ʻana i nā ʻāpana hoʻolālā ma ka ʻaoʻao 7
- KaʻAnuʻu 4: Ka hoʻokaʻawale ʻana i kahi hoʻonohonoho a me nā ʻāpana alahele no nā ʻāpana PR ma ka ʻaoʻao 9
- KaʻAnuʻu 5: Hoʻohui i ka Intel Arria 10 Partial Reconfiguration Controller IP Core ma ka ʻaoʻao 10
- KaʻAnuʻu 6: Ka wehewehe ʻana i nā Personas ma ka ʻaoʻao 13
- KaʻAnuʻu 7: Ke hana ʻana i nā hoʻoponopono ma ka ʻaoʻao 15
- KaʻAnuʻu 8: Ke hana nei i ka Hierarchical Partial Reconfiguration Flow Script ma ka ʻaoʻao 20
- KaʻAnuʻu 9: Ke holo nei i ka Hierarchical Partial Reconfiguration Flow Script ma ka ʻaoʻao 21
- KaʻAnuʻu 10: Hoʻolālā i ka Papa ma ka ʻaoʻao 22
KaʻAnuʻu 1: E hoʻomaka
E kope i ka hoʻolālā kuhikuhi files i kou wahi hana a houluulu i ka blinking_led flat design:
- E hana i papa kuhikuhi ma kāu wahi hana, a10_soc_devkit_blinking_led_hpr.
- E kope i nā kumu aʻo i hoʻoiho ʻia/a10_soc_devkit_blinking_led_hpr/flat sub-folder i ka papa kuhikuhi, a10_soc_devkit_blinking_led_hpr.
- Ma ka polokalamu Intel Quartus Prime Pro Edition, kaomi File ➤ E wehe i ka Project a koho i ka blinking_led.qpf.
- No ka hōʻuluʻulu ʻana i ka hoʻolālā pālahalaha, kaomi i ka Processing ➤ Start Compilation.
KaʻAnuʻu Hana 2: Ke hana ʻana i kahi sub-module kiʻekiʻe o ke keiki
No ka hoʻololi ʻana i kēia hoʻolālā pālahalaha i hoʻolālā PR hierarchical, pono ʻoe e hana i kahi sub-module keiki (blinking_led_child. SV) i hoʻopaʻa ʻia i loko o ka sub-module makua (blinking_led.sv).
- E hana i kahi hoʻolālā hou file, blinking_led_child.sv, a hoʻohui i kēia mau laina code i kēia file: timescale 1 ps / 1 ps `default_nettype none module blinking_led_child ( // uaki uea hookomo uaki, uea hookomo [31:0] counter, // Nā hōʻailona hoʻomalu no nā LED
- Hoʻololi i ka blinking_led.sv file e hoʻohui i ka led_two_on i ka bit 23 o ka counter mai ka ʻāina paʻa, a hoʻomaka koke i ka blinking_led_child module. Ma hope o ka hoʻololi ʻana, hoʻololi kāu blinking_led.sv file pono e puka mai penei:
- I ka hoʻololi ʻana i nā hoʻolālā āpau files, hoʻopili hou i ka papahana ma ke kaomi ʻana i ka Processing ➤ Start Compilation
KaʻAnuʻu Hana 3: Ka hana ʻana i nā ʻāpana hoʻolālā
Pono ʻoe e hana i nā ʻāpana hoʻolālā no kēlā me kēia wahi PR āu e makemake ai e hoʻonohonoho hou. Hiki iā ʻoe ke hana i kekahi helu o nā ʻāpana kūʻokoʻa a i ʻole nā wahi PR i kāu hoʻolālā. Hoʻokumu kēia kumu aʻo i ʻelua ʻāpana hoʻolālā no nā manawa u_blinking_led_child a me u_blinking_led.
No ka hana ʻana i nā ʻāpana hoʻolālā no ka hoʻonohonoho hou ʻana hapa hierarchical:
- E kaomi ʻākau i ka laʻana u_blinking_led_child ma ka Project Navigator a kaomi i ka Design Partition ➤ Set as Design Partition. Hōʻike ʻia kahi ikona ʻāpana hoʻolālā ma hope o kēlā me kēia laʻana i hoʻonohonoho ʻia ma ke ʻano he pā.
Kiʻi 3. Ke hana ʻana i nā ʻāpana hoʻolālā mai Project Navigator
- No ka wehewehe ʻana i ke ʻano o ka pā, kaomi ʻākau i ka laʻana u_blinking_led_child ma ka ʻaoʻao Hierarchy, kaomi i ka Design Partition ➤ Reconfigurable. Hiki iā ʻoe ke wehewehe i ke ʻano ʻāpana ma hope o ka hoʻonohonoho ʻana i ke ʻano he pā. Hōʻike ʻia ka ʻāpana hoʻolālā ma nā Assignments View ʻaoʻao o ka Design Partitions Window.
Kiʻi 4. Hoʻolālā i nā puka makani
- Hoʻoponopono i ka inoa ʻāpana ma ka Design Partitions Window ma ke kaomi pālua ʻana i ka inoa. No kēia hoʻolālā kuhikuhi, hoʻololi i ka inoa ʻāpana i pr_partition.
Nānā: Ke hana ʻoe i kahi ʻāpana, hoʻopuka ʻokoʻa ka polokalamu Intel Quartus Prime i kahi inoa partition, e pili ana i ka inoa instance a me ke ala hierarchy. Hiki ke ʻokoʻa kēia inoa ʻāpana paʻamau i kēlā me kēia manawa. - E hana hou i nā ʻanuʻu 1 a me 2 no ka hoʻokaʻawale ʻana i nā ʻāpana hoʻolālā hiki ke hoʻonohonoho hou ʻia i ka laʻana u_blinking_led. Hoʻololi hou i kēia ʻāpana i pr_parent_partition.
E hōʻoia inā loaʻa i ka blinking_led.qsf nā haʻawina e pili ana i kāu mau ʻāpana hoʻolālā hiki ke hoʻonohonoho hou ʻia:
Hana i nā ʻāpana hoʻolālā no ka hoʻonohonoho hou ʻana i ka hapa
KaʻAnuʻu 4: Hoʻokaʻawale i kahi hoʻonohonoho a me nā ʻāpana alahele no nā ʻāpana PR
Ke hana ʻoe i ka loiloi kumu, hoʻohana ka hoʻolālā hoʻolālā PR i kāu hoʻokaʻawale ʻāina ʻāpana PR e kau i ke kino persona pili i ka ʻāina i mālama ʻia. No ka huli ʻana a hāʻawi i ka ʻāina PR ma ka papahele papahele no kāu hoʻoponopono kumu:
- Kaomi ʻākau i ka laʻana u_blinking_led_child ma ka Project Navigator a kaomi iā Logic Lock Region ➤ Create New Logic Lock Region. Hōʻike ʻia ka ʻāina ma ka Window Logic Lock Regions.
- Pono kāu wahi hoʻonoho e hoʻopili i ka blinking_led_child logic. E koho i ka wahi hoʻokomo ma ka huli ʻana i ka node ma Chip Planner. Kaomi ʻākau i ka inoa ʻāina u_blinking_led_child ma ka Project Navigator a kaomi i ka Locate Node ➤ Locate in Chip Planner.
Kiʻi 5. He wahi node Planner Chip no blinking_led - Ma ka puka aniani Logic Lock Regions, e kuhikuhi i nā hoʻonohonoho ʻāina hoʻokomo i ke kolamu Origin. Pili ke kumu me ke kihi hema hema o ka ʻāina. No example, e hoʻonoho i kahi wahi hoʻonoho me (X1 Y1) hoʻonohonoho e like me (69 10), e kuhikuhi i ka Origin e like me X69_Y10. E helu 'akomi ka polokalamu Intel Quartus Prime i nā hoʻonohonoho (X2 Y2) (luna ʻākau) no ka ʻāina hoʻokomo, ma muli o ke kiʻekiʻe a me ka laula āu i kuhikuhi ai.
Nānā: Hoʻohana kēia kumu aʻo i nā hoʻonohonoho (X1 Y1) - (69 10), a me ke kiʻekiʻe a me ka laula o 20 no ka wahi hoʻokomo. E wehewehe i kekahi waiwai no ka ʻāina hoʻokomo, inā e uhi ka ʻāina i ka loina blinking_led_child. - E ho'ā i nā koho Reserved a me Core-Whenly.
- Kaomi ʻelua i ke koho ʻĀpana Alahele. Hōʻike ʻia ka pahu kamaʻilio Logic Lock Routing Region Settings.
- E koho i Hoʻopaʻa ʻia me ka hoʻonui ʻana no ke ʻano Alahele. Ke koho ʻana i kēia koho e hāʻawi ʻakomi i ka lōʻihi hoʻonui o 1.
Nānā: Pono e ʻoi aku ka nui o ka ʻāina hoʻokele ma mua o ka wahi hoʻonoho, e hāʻawi i ka maʻalahi no ka Fitter ke hele ka ʻenekini i nā mea like ʻole. - E hana hou i nā ʻanuʻu 1 -6 no ka laʻana u_blinking_led. Ka hoonoho pae makua E hana hou i ka hana 1 -6 no ka laana u_blinking_led. Pono ka māhele hoʻonoho pae makua e hoʻopaʻa piha i ka hoʻokomo ʻana i ka pae keiki a me nā ʻāpana alahele ʻoiai e ʻae ana i kahi kūpono no ka hoʻokomo ʻana i ka loina pae makua. Ke hoʻohana nei kēia kumu aʻo i nā hoʻonohonoho (X1 Y1) - (66 7), ke kiʻekiʻe o 47, a me ka laula o 26 no ka wahi hoʻokomo o ka laʻana u_blinking_led.
Kiʻi 6. Puka Puka Wāhi Logic Lock
E hōʻoia inā loaʻa i ka blinking_led.qsf nā haʻawina e pili ana i kāu hoʻolālā papahele:
- Hoʻolālā papahele i ka hoʻolālā hoʻonohonoho hou ʻana
- Ke hoʻokō nei i ka hoʻonohonoho hou ʻana hapa
Pani 5: Hoʻohui i ka Intel Arria 10 Partial Reconfiguration Controller IP Core
- E hoʻohana i ka Intel Arria 10 Partial Reconfiguration Controller IP core e hoʻonohonoho hou i ka pā PR. Hoʻohana kēia IP core i ka JTAG e hoʻonohonoho hou i ka pā PR. E hoʻohui i ka Intel Arria 10 Partial Reconfiguration Controller IP core i kāu papahana Intel Quartus Prime:
- E kikokiko i ka Partal Reconfiguration ma ka IP catalog.
- No ka hoʻomaka ʻana i ka puka aniani IP Parameter Editor Pro, koho i ka Intel Arria 10 Partial Reconfiguration Controller IP core mai ka waihona IP, a kaomi iā Add.
- I ka New IP Variant dialog box, type pr_ip as the file inoa a kaomi i ka Create. E hoʻohana i ka hoʻohālikelike paʻamau no pr_ip. E hōʻoia i ka Enable JTAG Ua ho'ā 'ia ke 'ano debug a me Enable freeze interface interface, a ua ho'opau 'ia ke koho 'ana o ke kauā Avalon-MM.
Kiʻi 7. Intel Arria 10 Māhele Reconfiguration Controller IP Core Parameters
- Kaomi Hoʻopau, a haʻalele i ka mea hoʻoponopono hoʻohālikelike me ka hana ʻole ʻana i ka ʻōnaehana. Hoʻokumu ka polokalamu Intel Quartus Prime i ka hoʻololi IP pr_ip.ip file, a hoʻohui i ka file i ka papahana blinking_led.
Nānā:
- Inā ʻoe e kope ana i ka pr_ip.ip file mai ka waihona hpr, hoʻoponopono lima i ka blinking_led.qsf file e hoʻokomo i kēia laina: set_global_assignment -name IP_FILE pr_ip.ip
- E kau i ka IP_FILE hana ma hope o ka SDC_FILE nā hana (jtag.sdc a me blinking_led.sdc) ma kāu blinking_led.qsf file. ʻO kēia kauoha e hōʻoiaʻiʻo i ka hoʻopaʻa pono ʻana i ka ʻāpana IP Reconfiguration Partial.
Nānā: No ka ʻike ʻana i nā uaki, ʻo ka SDC file no ka mea, pono ka PR IP e hahai i kekahi SDC e hana ana i na wati i hoohanaia e ka IP core. Hoʻoikaika ʻoe i kēia kauoha ma ka hōʻoia ʻana i ka .ip file no ka mea, hiki mai ka PR IP core ma hope o kekahi .ip files a i ʻole SDC files hoʻohana i kēia mau wati i ka QSF file no ka hoʻoponopono hou ʻana o kāu papahana Intel Quartus Prime. No ka ʻike hou aku, e nānā i ka ʻāpana Hoʻopaʻa manawa ma ka ʻĀpana Hoʻonohonoho ʻĀpana IP Core Mea hoʻohana.
ʻIke pili
- Alakaʻi mea hoʻohana no ka hoʻoponopono hou ʻana i ka IP Solutions
- No ka ʻike e pili ana i ka ʻāpana ʻāpana hoʻonohonoho hou ʻana o ka Māhele IP.
- Alakaʻi Mea hoʻohana IP Core Hoʻololi hapa
- No ka ʻike e pili ana i nā palena manawa.
Hoʻohou i ka Hoʻolālā Kiʻekiʻe
E hōʻano hou i ka top.SV file me ka laʻana PR_IP:
- No ka hoʻohui ʻana i ka laʻana PR_IP i ka hoʻolālā pae kiʻekiʻe, e wehe i ka manaʻo i kēia poloka code ma luna.SV file:
- No ka hoʻoikaika ʻana i nā awa hoʻopuka i ka loiloi 1 i ka wā o ka hoʻonohonoho hou ʻana, e hoʻohana i ka hoʻopuka hōʻailona mana paʻa mai PR_IP. Eia nō naʻe, no ka ʻike ʻana i ka LED e hoʻomau ana i ka uila mai ka ʻaoʻao PR makua i ka wā e hoʻolālā ana ʻo PR i ka pā ʻana o ke keiki, ʻaʻole e hoʻopau ka hōʻailona hoʻomalu manuahi i ka led_two_on. E hōʻoia i ka pololei o ka pr_led_two_on
- No ka hāʻawi ʻana i kahi laʻana o ke kanaka makua paʻamau (blinking_led), hōʻano hou i ka top.SV file me kēia poloka code:
Kiʻi 8. Hoʻohui ʻia ʻāpana IP Core Integration
KaʻAnuʻu Hana 6: wehewehe Personas
Hōʻike kēia hoʻolālā kuhikuhi i ʻelima mau kanaka ʻokoʻa no nā ʻāpana PR makua a me ke keiki. No ka wehewehe a hoʻokomo i nā personas i kāu papahana:
- Hana ʻehā SystemVerilog files, blinking_led_child.sv, blinking_led_child_slow.sv, blinking_led_child_empty.sv, a me blinking_led_slow.sv i kāu papa kuhikuhi hana no nā kānaka ʻelima.
Nānā: Inā ʻoe e hana i ka SystemVerilog files mai ka Intel Quartus Prime Text Editor, hoʻopau i ka Add file i ke koho papahana o kēia manawa, i ka wā e mālama ai i ka files.
Papa 2. Reference Design Personas
File inoa | wehewehe | Code |
blinking_led_child.sv | Mea paʻamau no ka hoʻolālā pae keiki |
ʻO ka manawa 1 ps / 1 ps `default_nettype none module blinking_led_child ( // uaki uaki uea komo, uea komo [31:0] counter,
// Nā hōʻailona hoʻomalu no nā uea hoʻopuka LED led_three_on
); localparam COUNTER_TAP = 23; reg led_three_on_r;
assign led_three_on = led_three_on_r; mau_ff @(posedge clock) hoomaka led_three_on_r <= counter[COUNTER_TAP]; hopena
hopemodule |
hoʻomau… |
File inoa | wehewehe | Code |
blinking_led_child_slow.sv | ʻO ka
LED_EKOLU lohi mālie |
ʻO ka manawa 1 ps / 1 ps `default_nettype none
module blinking_led_child_slow (
// uaki uaki uea komo, uea komo [31:0] counter,
// Nā hōʻailona hoʻomalu no nā uea hoʻopuka LED led_three_on );
localparam COUNTER_TAP = 27; reg led_three_on_r;
assign led_three_on = led_three_on_r; mau_ff @(posedge clock) hoomaka led_three_on_r <= counter[COUNTER_TAP]; hopena
hopemodule |
blinking_led_child_empty.sv | ʻO ka
LED_EKOLU noho ON |
ʻO ka manawa 1 ps / 1 ps `default_nettype none
module blinking_led_child_empty (
// uaki uaki uea komo, uea komo [31:0] counter,
// Nā hōʻailona hoʻomalu no nā uea hoʻopuka LED led_three_on
);
// He haʻahaʻa ka LED hoʻokaʻina led_ʻekolu_on = 1'b0;
hopemodule |
blinking_led_slow.sv | ʻO ka LED_TWO
ʻoi aku ka lohi. |
ʻO ka manawa 1 ps / 1 ps `default_nettype ʻaʻohe module blinking_led_slow( // uaki uaki uea komo, uea komo [31:0] counter,
// Nā hōʻailona hoʻomalu no nā uea puka LED led_two_on, uea puka led_three_on
);
localparam COUNTER_TAP = 27; reg led_two_on_r; assign led_two_on = led_two_on_r;
// Ka helu helu: always_ff @(posedge clock) hoomaka led_two_on_r <= counter[COUNTER_TAP]; hopena
keiki_alaka'i_keiki u_keiki_alaka'i_ke_keiki( .alakaʻi_ʻekolu_ma (alakaʻi_ʻekolu_ma), .counter (counter), .clock (uaki) |
File inoa | wehewehe | Code |
);ndmodule |
KaʻAnuʻu Hana 3: Ka hana ʻana i nā ʻāpana hoʻolālā ma ka ʻaoʻao 7
KaʻAnuʻu Hana 7: Hana i nā hoʻoponopono
Hoʻohana ka holo hoʻolālā PR i ka hiʻohiʻona hoʻoponopono o ka papahana ma ka polokalamu Intel Quartus Prime. ʻO kāu hoʻolālā mua ka loiloi kumu, kahi āu e wehewehe ai i nā palena ʻāina static a me nā ʻāpana hoʻonohonoho hou ʻia ma ka FPGA. Mai ka hoʻoponopono kumu, hana ʻoe i nā hoʻoponopono hou. Aia kēia mau hoʻoponopono i nā hoʻokō like ʻole no nā wahi PR. Eia nō naʻe, hoʻohana nā hoʻoponopono hoʻokō PR āpau i kahi hoʻonohonoho kiʻekiʻe kiʻekiʻe a me nā hopena alahele mai ka loiloi kumu. No ka hōʻuluʻulu ʻana i kahi hoʻolālā PR, pono ʻoe e hana i kahi loiloi hoʻokō PR a me ka hoʻoponopono synthesis no kēlā me kēia kanaka. Ma kēia hoʻolālā kuhikuhi, ma waho aʻe o ka hoʻoponopono kumu (blinking_led), ʻekolu mau keiki-level personas a me ʻelua makua-level personas he ʻelima mau hoʻoponopono synthesis kaʻawale a ʻelima mau hoʻoponopono hoʻokō ʻokoʻa:
Papa 3. Hooponopono hou no na kanaka makua elua a me na kanaka keiki ekolu
Hoʻoponopono Hoʻohui | Hoʻoponopono Hoʻokō |
blinking_led_parent, blinking_led_default | blinking_led_pr_alpha |
makua_alakaʻi_pikipikiʻā, alakaʻi_keiki_māmā | blinking_led_pr_bravo |
makua_alakaʻi_pikipikiʻā, alakaʻi_keiki_ʻokoʻa | blinking_led_pr_charlie |
'ālohilohi_alaka'i_mākua, alohilohi_alaka'i_alaka'i | blinking_led_pr_delta |
'ālohilohi_alaka'i_mākua, alaka'i_alaka'i_keiki | blinking_led_pr_emma |
Ke hana nei i nā hoʻoponopono hoʻokō
No ka hana ʻana i nā hoʻoponopono hoʻokō PR:
- No ka wehe ʻana i ka pahu kūkākūkā Revisions, kaomi Project ➤ Revisions.
- No ka hana ʻana i kahi hoʻoponopono hou, kaomi pālua < >.
- E wehewehe i ka inoa Revision e like me blinking_led_pr_alpha a koho i blinking_led no Ma muli o ka Hoʻoponopono.
- Hoʻopau i ka koho Set as current revision a kaomi iā OK.
- Pēlā nō, hana i ka blinking_led_pr_bravo, blinking_led_pr_charlie, blinking_led_pr_delta, a me blinking_led_pr_emma revisions, ma muli o ka hoʻoponopono hou ʻana o blinking_led.
Nānā: Mai hoʻonoho i nā hoʻoponopono i luna e like me ka hoʻoponopono hou.
Kiʻi 9. Hana ʻana i nā Hoʻoponopono
Ke hana nei i ka Synthesis-Whenly Revisions
No ka hana ʻana i nā hoʻoponopono synthesis-wale nō no nā personas, pono ʻoe e hoʻonohonoho i ka hui kiʻekiʻe a me SystemVerilog pili. file no kēlā me kēia kanaka:
- Ma ka polokalamu Intel Quartus Prime, kaomi Project ➤ Revisions.
- E hana i ka hoʻoponopono hou ʻana o blinking_led_default ma muli o ka hoʻoponopono hou ʻana o blinking_led. Mai hoʻonoho i kēia hoʻoponopono e like me ka hoʻoponopono hou.
- Hoʻololi i ka blinking_led_default.qsf file e komo i keia mau hana:
set_global_assignment -inoa TOP_LEVEL_ENTITY blinking_led_child
set_global_assignment -name SYSTEMVERILOG_FILE - Pēlā nō, hana i ka blinking_led_child_slow, blinking_led_child_empty, blinking_led_parent, a me blinking_led_parent_slow revisions ma muli o ka blinking_led revision. Mai hoʻonoho i kēia mau hoʻoponopono e like me nā hoʻoponopono hou.
- Hoʻohou i ka blinking_led_child_slow.qsf, blinking_led_child_empty.qsf, blinking_led_parent.qsf, a me blinking_led_parent_slow.qsf files me kā lākou pili
TOP_LEVEL_ENTITY a me SYSTEMVERILOG_FILE nā hana: - No ka pale ʻana i nā hewa synthesis, e hōʻoia i ka hoʻoponopono ʻana i ka synthesis files no nā ʻāpana keiki ʻaʻohe ʻāpana hoʻolālā, nā hana pine, a i ʻole Logic Lock
ʻāpana ʻāina. Eia kekahi, ka hoʻoponopono synthesis files no nā ʻāpana makua pono e loaʻa i nā ʻāpana hoʻolālā no nā ʻāpana keiki e pili ana. Wehe i kēia mau hana, inā loaʻa, ma ka blinking_led_default.qsf, blinking_led_child_slow.qsf, blinking_led_child_empty.qsf, blinking_led_parent.qsf, a me blinking_led_parent_slow.pdf files - E hoʻokomo i kēia mau haʻawina ma blinking_led_parent.qsf a me blinking_led_parent_slow.qsf files:
- E hōʻoia i ka blinking_led.qpf file Loaʻa nā hoʻoponopono hou, ʻaʻohe ʻano kikoʻī:
- Nānā: Inā ʻoe e kope ana i ka hoʻoponopono files mai ka waihona hpr, hoʻohou lima i ka blinking_led.qpf file me nā laina o ke code ma luna.
E wehewehe ana i ke ʻano hoʻoponopono
Pono ʻoe e hāʻawi i ke ʻano hoʻoponopono no kēlā me kēia o kāu hoʻoponopono. Aia ʻekolu ʻano hoʻoponopono:
- Hoʻonohonoho hou hapa - Base
- Hoʻoponopono ʻāpana - Persona Synthesis
- Hoʻoponopono hapa - Hoʻokō Persona
- Hōʻike ka papa ma lalo nei i nā hana hoʻoponopono hou no kēlā me kēia hoʻoponopono:
Papa 4. Nā ʻano hoʻoponopono
Inoa Hooponopono | ʻAno Hoʻoponopono |
blinking_led.qsf | Hoʻonohonoho hou hapa - Base |
blinking_led_default.qsf | Hoʻoponopono ʻāpana - Persona Synthesis |
blinking_led_child_empty.qsf | Hoʻoponopono ʻāpana - Persona Synthesis |
blinking_led_child_slow.qsf | Hoʻoponopono ʻāpana - Persona Synthesis |
blinking_led_parent.qsf | Hoʻoponopono ʻāpana - Persona Synthesis |
blinking_led_parent_slow.qsf | Hoʻoponopono ʻāpana - Persona Synthesis |
blinking_led_pr_alpha.qsf | Hoʻoponopono hapa - Hoʻokō Persona |
blinking_led_pr_bravo.qsf | Hoʻoponopono hapa - Hoʻokō Persona |
blinking_led_pr_charlie.qsf | Hoʻoponopono hapa - Hoʻokō Persona |
blinking_led_pr_delta.qsf | Hoʻoponopono hapa - Hoʻokō Persona |
blinking_led_pr_emma.qsf | Hoʻoponopono hapa - Hoʻokō Persona |
- Kaomi i ka Project ➤ Revisions. Hōʻike ʻia ka pahu dialog Revisions.
- E koho i ka blinking_led ma ke kolamu Revision Name, a kaomi i Set Current.
- Kaomi iā Apply. Wehe ʻia ka loiloi blinking_led.
- No ka hoʻonohonoho ʻana i ke ʻano hoʻoponopono no blinking_led, kaomi i nā Assignments ➤ Settings ➤ General.
- E koho i ke ʻano hoʻoponopono e like me ka hoʻonohonoho hou ʻana - Base.
- Pēlā nō, e hoʻonohonoho i nā ʻano hoʻoponopono no nā hoʻoponopono hou he ʻumi, e like me ka mea i helu ʻia ma ka papa ma luna.
- Nānā: Pono ʻoe e hoʻonoho i kēlā me kēia hoʻoponopono e like me ka loiloi o kēia manawa ma mua o ka hāʻawi ʻana i ke ʻano hoʻoponopono. E hōʻoia i kēlā me kēia .qsf file Loaʻa ka hana penei:
- Nānā: Hoʻohui i nā hoʻonohonoho kikoʻī Fitter āu e makemake ai e hoʻohana i ka hoʻokō PR hoʻohui i nā hoʻoponopono hoʻokō persona. Hoʻopili nā hoʻonohonoho kikoʻī Fitter i ke kūpono o ke kanaka, akā ʻaʻole pili i ka ʻāina paʻa i lawe ʻia. Hiki iā ʻoe ke hoʻohui i nā hoʻonohonoho synthesis-specific i nā hoʻoponopono hoʻoponopono pilikino persona.
E hana i nā hoʻoponopono no Personas
KaʻAnuʻu 8: Hoʻopuka ʻana i ka moʻolelo holoʻokoʻa hoʻonohonoho hoʻonohonoho ʻāpana hierarchical
No ka hana ʻana i ka moʻolelo kahe hoʻonohonoho ʻāpana hierarchical:
- Mai ka Intel Quartus Prime command shell, e hana i kahi kahe kahe ma ka holo ʻana i kēia kauoha:
- Hoʻokumu ʻo Intel Quartus Prime i ka a10_hier_partial_reconfig/flow.tcl file.
- Hoʻololi i ka inoa a10_hier_partial_reconfig/setup.tcl.example i a10_hier_partial_reconfig/setup.tcl, a hoʻololi i ka ʻatikala e hōʻike i kāu mau kikoʻī o ka papahana hoʻonohonoho hou:
a. No ka wehewehe ʻana i ka inoa o ka papahana, hoʻohou i kēia laina:b. No ka wehewehe ʻana i ka hoʻoponopono kumu, hoʻohou i kēia laina:
- No ka wehewehe ʻana i kēlā me kēia o ka hoʻoponopono ʻana i ka hoʻoponopono hou ʻana, me nā inoa ʻāpana PR a me ka hoʻoponopono kumu e hoʻokō ai i nā hoʻoponopono, e hoʻohou i nā laina aʻe:
Nānā: Pono nā papahana hoʻoponopono a pau ma ka papa kuhikuhi like me blinking_led.qpf. A i ʻole, e hoʻohou i ka palapala kahe e like me ia.
KaʻAnuʻu 9: Ke holo nei i ka Hierarchical Partial Reconfiguration Flow Script
No ka holo ʻana i ka hierarchical partial reconfiguration flow script:
- Kaomi i nā mea hana ➤ Tcl Scripts. Hōʻike ʻia ka pahu kamaʻilio Tcl Scripts.
- Kaomi iā Add to Project, e nānā a koho i ka a10_hier_partial_reconfig/flow.tcl.
- E koho i ka a10_hier_partial_reconfig/flow.tcl i loko o ka waihona waihona, a kaomi i ka holo.
E holo ana keia palapala i ka synthesis no na kanaka ekolu. Hoʻokumu ʻo Intel Quartus Prime i kahi mea SRAM File (.sof), he mea SRAM Partal-Masked SRAM File (.pmsf), a me kahi Binary Raw File (.rbf) no kēlā me kēia kanaka.
'Ōlelo Aʻo: No ka holo ʻana i ka ʻatikala mai ka Intel Quartus Prime command shell, e kaomi i kēia kauoha:
- E hōʻuluʻulu i ka hoʻolālā hoʻonohonoho hou ʻana
- Ke hoʻohana nei i ka Palapala Hoʻololi Hoʻololi Hoʻololi
- Ke hoʻonohonoho ʻana i ka moʻolelo holoʻokoʻa
- E hoʻomohala i ka papahana Files
KaʻAnuʻu 10: Hoʻopolokalamu i ka Papa
Ma mua o kou hoʻomaka ʻana:
- Hoʻohui i ka lako mana i ka papa hoʻomohala Intel Arria 10 SoC.
- Hoʻohui i ke kelepona USB Blaster ma waena o kāu port USB USB a me ke awa USB Blaster ma ka papa hoʻomohala.
No ka holo ʻana i ka hoʻolālā ma ka papa hoʻomohala Intel Arria 10 SoC:
- E wehe i ka polokalamu Intel Quartus Prime a kaomi Tools ➤ Programmer.
- I ka Programmer, kaomi Hardware Setup a koho i ka USB-Blaster.
- Kaomi iā Auto Detect a koho i ka mea hana, 10AS066N3.
- Kaomi OK. ʻIke a hōʻano hou ka polokalamu Intel Quartus Prime i ka Programmer me nā pahu FPGA ʻekolu ma ka papa.
- E koho i ka mea 10AS066N3, kaomi Hoʻololi File a hoʻouka i ka blinking_led_pr_alpha.sof file.
- E ho'ā i ka Polokalamu/Configure no blinking_led_pr_alpha.sof file.
- Kaomi i ka hoʻomaka a kali i ka pae holomua e hiki i 100%.
- E nānā i nā LED ma ka papa e ʻālohilohi ana i ke alapine like me ka hoʻolālā palahalaha kumu.
- No ka hoʻolālā ʻana i ka māhele PR keiki wale nō, kaomi ʻākau i ka blinking_led_pr_alpha.sof file i ka Programmer a kaomi iā Add PR Programming File.
- E koho i ka blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf file.
- Hoʻopau i ka Polokalamu/Configure no blinking_led_pr_alpha.sof file.
- E ho'ā i ka Polokalamu/Configure no blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf file a kaomi Hoʻomaka. Ma ka papa, e nānā iā LED[0] a me LED[1] e hoʻomau ana i ka ʻōpō. Ke piʻi ka pae holomua i 100%, ʻālohilohi ka LED[2] i ka helu like, a ʻoi aku ka lohi o ka LED[3].
- No ka hoʻolālā ʻana i ka ʻāina PR makua a me ke keiki, kaomi ʻākau i ka .rbf file i ka Programmer a kaomi iā Change PR Programing File.
- E koho i ka blinking_led_pr_delta.pr_parent_partition.rbf file.
- Kaomi hoʻomaka. Ma ka papa, e nānā i ka LED[0] a me ka LED[1] e hoʻomau ana i ka uila. Ke piʻi ka pae holomua i 100%, ʻoi aku ka lohi o ka LED[2] a me ka LED[3].
- E hana hou i nā ʻanuʻu i luna e hoʻolalelale hou i ka ʻāina PR keiki wale nō, a i ʻole ka ʻāina PR makua a me ke keiki i ka manawa like.
Kiʻi 10. Hoʻolālā i ka Intel Arria 10 SoC Development Board
Hoʻololi i kahi Persona e kū nei
- Hiki iā ʻoe ke hoʻololi i kahi persona i loaʻa, ʻoiai ma hope o ka hoʻopili piha ʻana i ka loiloi kumu.
- No exampe, e hoʻolohi i ka blinking_led_child_slow persona:
- Ma ka blinking_led_child_slow.sv file, hoʻololi i ka ʻāpana COUNTER_TAP mai 27 a i 28.
- No ka hoʻohui hou ʻana a hoʻokō hou i kēia persona, pono ʻoe e hōʻuluʻulu i nā hoʻoponopono synthesis-wale nō a me nā hoʻoponopono hoʻokō i hoʻopili ʻia e ka loli. Hoʻololi i ka setup.tcl script e hoʻokomo i kēia mau laina:
Nānā: Ke wehewehe ʻana i ka pr_parent_parition no ka hoʻoponopono hou ʻana o blinking_led_pr_delta, hoʻokomo ʻoe i ke kiʻi hope loa o kēlā kanaka no ka hoʻokō. ʻO ka hopena, ʻo ka hoʻokō ʻana i ka logic partition makua e mau ana, ʻoiai e hoʻololi a hoʻokō i ka pā keiki e pili ana.
Hoʻohui hou kēia kauoha i ka hoʻoponopono hou ʻana i ka blinking_led_child_slow synthesis, a laila holo i ka hoʻokō PR compile me ka blinking_led_pr_bravo.
- No ka hana ʻana i ka hoʻohui ʻana o nā hoʻoponopono hoʻoponopono wale nō, e holo i kēia kauoha: ʻAʻole kēia kauoha e hoʻopili hou i ka loiloi kumu.
- No ka hoʻokō ʻana i nā hoʻoponopono hoʻokō, e holo i kēia kauoha:
- ʻAʻole kēia kauoha e hoʻopili hou i ka loiloi kumu.
- E hahai i nā ʻanuʻu ma ka ʻanuʻu 10: Hoʻopolokalamu i ka Papa ma ka ʻaoʻao 22 e hoʻolālā i ka RBF i loaʻa file i loko o ka FPGA.
Nānā: No ka pale ʻana i ka holo holoʻokoʻa no kēlā me kēia loiloi, e wehewehe i nā hoʻoponopono synthesis-wale a me nā hoʻoponopono hoʻokō i ka script setup.tcl, a holo i ka palapala.
Hoʻohui i kahi kanaka hou i ka hoʻolālā
Ma hope o ka hōʻuluʻulu piha ʻana i kāu mau hoʻoponopono kumu, hiki iā ʻoe ke hoʻohui i nā personas hou a hōʻuluʻulu pākahi i kēia mau personas.
No exampe, e wehewehe i kahi keiki hou no ka blinking_led_parent_slow, e hoʻohuli ai i ka led_three:
- E kope i ka blinking_led_child_empty.sv i ka blinking_led_chdild_off.sv.
- Ma ka blinking_led_child_off.sv file, hoʻololi i ka haʻawina, hāʻawi led_three_on = 1'b0; e hāʻawi i led_three_on = 1'b1;. E hōʻoia ʻoe e hoʻololi i ka inoa module mai blinking_led_child_empty i blinking_led_child_off.
- E hana i kahi hoʻoponopono hou synthesis, blinking_led_child_off, ma ka hahai ʻana i nā ʻanuʻu o ka Creating Synthesis-Only Revisions ma ka ʻaoʻao 16.
Nānā: Pono e hoʻohana ka blinking_led_child_off i ka blinking_led_child_off.sv file. - E hana i ka hoʻoponopono hoʻokō hou, blinking_led_pr_foxtrot, ma ka hahai ʻana i nā ʻanuʻu o ka Creating Implementation Revisions ma ka ʻaoʻao 15.
- Hōʻano hou i ka a10_hier_partial_reconfig/setup.tcl file e wehewehe i ka hoʻokō PR hou:
- E hōʻuluʻulu wale i kēia synthesis a me ka hoʻokō hoʻoponopono hou ʻana ma ka holo ʻana i kēia kauoha:
No ka ʻike piha e pili ana i ka hoʻonohonoho hou ʻana ʻāpana hierarchical no nā polokalamu Intel Arria 10, e nānā i ka hana ʻana i kahi hoʻolālā hoʻonohonoho hou ʻana ma ka Volume 1 o ka Intel Quartus Prime Pro Edition Handbook.
ʻIke pili
- Ke hana ʻana i kahi hoʻolālā hoʻonohonoho hou ʻana
- Hoʻomaʻamaʻa ʻĀpana Hoʻonohonoho hou ma ka pūnaewele
Moolelo Hooponopono Palapala
Pakuhi 5. Moʻolelo Hoʻohuli Palapala
Palapala Palapala | ʻ Versionnaehana ʻōnaehana | Nā hoʻololi |
2017.11.06 | 17.1.0 | • Hoʻohou i ka Nā Koina Hoʻolālā Kuhikuhi ʻāpana me ka mana lako polokalamu
• Hoʻohou i ka Hoʻolālā Papapalapala me ka ʻole o ka ʻāpana PR kiʻi me nā hoʻololi poloka hoʻolālā • Hoʻohou i ka Hoʻolālā Kuhikuhi Files papa me ka ike ma ka Top_counter.sv module • Hoʻohou i ka Hoʻohui ʻāpana IP Core Integration kiʻi me nā hoʻololi poloka hoʻolālā • Hoʻohou i nā helu - Puka Mahele Hoʻolālā a Puka Puka Aina Laka Logic e hōʻike i ka GUI hou • File hoololi inoa • Hoʻoponopono kikokikona |
2017.05.08 | 17.0.0 | ʻO ka hoʻokuʻu mua ʻana o ka palapala |
Palapala / Punawai
![]() |
intel AN 805 Hierarchical Partal Reconfiguration of a Design on Arria 10 SoC Development Board [pdf] Ke alakaʻi hoʻohana AN 805 Hierarchical Partal Reconfiguration of a Design on Arria 10 SoC Development Board, AN 805, Hierarchical Partal Reconfiguration of a Design on Arria 10 SoC Development Board, Reconfiguration of a Design on Arria 10 SoC Development Board, Arria 10 SoC Development Board, 10 SoC Papa Hooulu |