RENESAS ForgeFPGA Software Simulation

RENESAS ForgeFPGA Software Simulation

Alaye pataki

Simulation jẹ ilana kan ti lilo oriṣiriṣi iyansi igbewọle si apẹrẹ ni awọn akoko oriṣiriṣi lati ṣayẹwo boya koodu RTL ba huwa ni ọna ti a pinnu. O ti wa ni lo lati mọ daju awọn logan ti awọn oniru. Simulation gba olumulo laaye lati view aworan akoko ti awọn ifihan agbara ti o jọmọ lati ni oye bi apejuwe apẹrẹ ninu apẹrẹ file huwa.

Testbenches jẹ awọn ege koodu ti o lo fun kikopa. Ijẹẹri ti o rọrun kan yoo ṣe imudara Unit Labẹ Idanwo (UUT) ati ṣiṣe titẹ sii. Sọfitiwia Tunto Lọ nlo Icarus Verilog (iVerilog) ati GTKWave lati ṣakiyesi awọn ọna igbi kikopa pẹlu iyanju ti a pese ni aaye idanwo.

Iwe yii ṣe apejuwe awọn igbesẹ ti o nilo lati ṣe lakoko fifi Icarus sori ẹrọ rẹ ati bii o ṣe le ṣiṣẹ kikopa aṣeyọri.

Fifi Icarus Verilog sori ẹrọ

a. Fi sori ẹrọ titun ti ikede Icarus Verilog (IVerilog) lati https://bleyer.org/icarus/
b. Rii daju lati ṣafikun IVerilog si PATH ki o jẹ ki o fi GTKWave sori ẹrọ (Wo Nọmba 1)
Fifi Icarus Verilog sori ẹrọ

c. Ṣii Sọfitiwia Tunto Lọ ki o yan apakan: SLG47910(Rev BB) lati ṣii Idanileko Forge (wo Nọmba 2).
Fifi Icarus Verilog sori ẹrọ

d. Tẹ Olootu FPGA ni aarin ọpa irinṣẹ ni oke tabi olumulo tun le tẹ lẹẹmeji lori eto FPGA Core ni aarin window naa.
Fifi Icarus Verilog sori ẹrọ

e. Ferese tuntun yoo ṣii ti a pe ni Idanileko Forge. Ninu ọpa irinṣẹ akojọ aṣayan lori oke, tẹ Awọn aṣayan → Eto. Ninu apoti ibaraẹnisọrọ Eto, lọ si Awọn irinṣẹ labẹ Eto olumulo olumulo. Yọọ Lo “apoti ayika eto” fun mejeeji Icarus Verilog ati GTKWave. Ṣafikun ọna si Iverilog ati GTKWave ti a fipamọ sinu eto rẹ sinu aaye ti a fun (wo Nọmba 4).
Fifi Icarus Verilog sori ẹrọ

O ti ṣeto gbogbo rẹ lati ṣe adaṣe testbench ati awọn igbesẹ ti o wa loke rii daju pe GTKWave ṣe ifilọlẹ laifọwọyi nigbati o ba ṣe adaṣe testbench kan lori sọfitiwia Tunto Go.

Testbench

Igbesẹ pataki julọ ni imuse aṣeyọri eyikeyi eto ni lati rii daju apẹrẹ ati iṣẹ ṣiṣe rẹ. Ijẹrisi eto eka kan lẹhin imuse ohun elo kii ṣe yiyan ọlọgbọn. Ko munadoko ni awọn ofin ti owo, akoko, ati awọn ohun elo. Nitorinaa, ninu ọran FPGA, a lo testbench lati ṣe idanwo koodu orisun Verilog.

Sawon a ni ohun input ti o jẹ ti 11 die-die, ati awọn ti a fẹ lati se idanwo awọn ẹrọ fun gbogbo awọn ti ṣee input apapo iye ie (211). Bi eyi jẹ nọmba ti o tobi pupọ ti awọn akojọpọ, ko ṣee ṣe lati ṣe idanwo pẹlu ọwọ. Ni iru awọn ọran, awọn benches testbenches wulo pupọ bi o ṣe le ṣe idanwo apẹrẹ laifọwọyi fun gbogbo awọn iye ti o ṣeeṣe ati nitorinaa, jẹrisi igbẹkẹle apẹrẹ idanwo naa. Verilog Testbenches ni a lo lati ṣe adaṣe ati itupalẹ awọn aṣa laisi iwulo fun eyikeyi ohun elo ohun elo ti ara.

Apẹrẹ labẹ idanwo, abbreviated bi DUT, jẹ module iṣelọpọ ti iṣẹ ṣiṣe ti a fẹ lati ṣe idanwo. Ni awọn ọrọ miiran, o jẹ apẹrẹ Circuit ti a yoo fẹ lati ṣe idanwo. A le ṣe apejuwe DUT wa ni lilo ọkan ninu awọn aṣa awoṣe mẹta ni Verilog - Ipele-bode, Dataflow, tabi Ihuwasi.

Abench testbench kii ṣe iṣelọpọ, nitorinaa o ti lo fun awọn idi iṣeṣiro nikan. Eyi n gba olumulo laaye lati lo iwọn kikun ti awọn itumọ Verilog fun apẹẹrẹ, awọn koko-ọrọ bii “fun”, “$ àpapọ” ati “$ atẹle” ati bẹbẹ lọ fun kikọ awọn benches. Idanwo ti o rọrun kan yoo ṣe imudara Ẹka Labẹ Idanwo (UUT) tabi Ẹrọ Labẹ Idanwo (DUT) ati awọn igbewọle wakọ.

Agbọye a Testbench

Agbọye a Testbench

Timecale Definition ni Testbench

Nigbati o ba n ṣe adaṣe, sọfitiwia nilo lati mọ bii akoko ti ṣe asọye. Ẹka idaduro naa jẹ pato nipa lilo itọnisọna `timescale, eyiti o ṣe pato ẹyọ akoko ati konge fun awọn modulu ti o tẹle. Iwọn akoko ṣe iranlọwọ ni ṣiṣe ipinnu kini #1 tumọ si ni awọn ofin ti akoko. # ni a lo lati ṣalaye idaduro lati ṣafihan ninu eto ni ibamu pẹlu ẹyọ akoko ti a sọ ni iwọn akoko. Nitorinaa, #1 tumọ si 1 ns ti idaduro ti akoko_kuro ba wa ni ns.

Sisọpọ:
`Iwọn akoko / /

time_unit ni iye akoko idaduro ti #1 duro. Ipilẹ time_precision duro fun iye awọn aaye eleemewa ti konge lati lo ni ibatan si awọn akoko akoko. (Wo laini 23 ni aworan 5)

A le lo awọn igbelewọn akoko lati lo awọn iwọn akoko oriṣiriṣi ni apẹrẹ kanna. Olumulo nilo lati ranti pe awọn pato idaduro kii ṣe iṣelọpọ ati pe ko le ṣe iyipada si ọgbọn ohun elo. Awọn iṣẹ idaduro jẹ patapata fun awọn idi kikopa. $akoko ati $akoko gidi Awọn iṣẹ eto pada akoko lọwọlọwọ ati ọna kika ijabọ aiyipada le yipada pẹlu iṣẹ ṣiṣe eto miiran $timeformat.

Example: 

`timescale 10us/100ns
`timescale 1ns/1ps
# 10 atunto = 1; // idaduro ifihan agbara nipasẹ 10 ns
# 0.49 $ àpapọ ("T = % 0t ni Time # 0.49", $ realtime);

Idaduro pato jẹ # 0.49 eyiti o kere ju idaji akoko kan. Bibẹẹkọ, deede akoko jẹ pato lati jẹ 1ps ati nitorinaa simulator ko le kere ju 1ns eyiti o jẹ ki o yika alaye idaduro ti a fun ati ikore 0ns. Nitorinaa, alaye yii kuna lati pese idaduro eyikeyi.

Iwe afọwọṣe Simulation: 

T = 1 ni akoko # 0.49

Module Declaration

Ipolongo Module ni eyikeyi testbench ko dabi koodu Verilog akọkọ. Ni a testbench, awọn module ti wa ni polongo laisi eyikeyi ebute oko pẹlú pẹlu ti o. (Wo laini 25 ni aworan 5)

Sisọpọ: 

module ;

Alaye ikede module naa ni atẹle nipa sisọ asọye titẹ sii ati awọn ifihan agbara ti a ṣalaye tẹlẹ ninu apẹrẹ akọkọ file.
A lo awọn iru ifihan agbara meji fun wiwakọ ati awọn ifihan agbara ibojuwo lakoko kikopa. Awọn reg datatype yoo mu iye naa titi ti iye tuntun yoo fi pin si. Iru data yii le ṣe iyasọtọ iye nikan ni nigbagbogbo tabi bulọki ibẹrẹ.
Awọn datatype waya jẹ bi ti asopọ ti ara. Yoo di iye ti o wa nipasẹ ibudo kan, sọ asọye, tabi ilana. Iru data yii ko le ṣee lo ni ibẹrẹ tabi dènà nigbagbogbo. Eyikeyi paramita ati ikede odidi jẹ tun ṣe ni apakan yii.

Example:
Reg a,b; // titẹ sii ninu koodu HDL jẹ asọye bi reg ni testbench
Waya y; // O wu ifihan agbara ni HDL ti wa ni telẹ bi waya ni testbench

DUT Instantiation

Idi ti testbench ni lati rii daju boya module DUT wa n ṣiṣẹ. Nitorinaa, a nilo lati ṣe imudara module apẹrẹ wa lati ṣe idanwo module.

Sisọpọ: 

(. (ifihan agbara1), . signal1> (signal2));

Example:

ALU d0 (.a (a), // ifihan “a” ni ALU yẹ ki o sopọ si “a” ninu module ALU_tb
.b (b), // ifihan agbara "b" ni ALU yẹ ki o wa ni asopọ si "b" ni ALU_tb module
.c (c)) ;// ifihan “c” ni ALU yẹ ki o sopọ si “c” ni ALU_tb module

A ti ṣe igbasilẹ DUT module ALU si module idanwo. Orukọ apẹẹrẹ (d0) jẹ yiyan olumulo. Awọn ifihan agbara pẹlu akoko kan "." niwaju wọn ni awọn orukọ fun awọn ifihan agbara inu awọn ALU module, nigba ti waya tabi reg ti won so si ninu awọn igbeyewo ibujoko ni tókàn si awọn ifihan agbara ni akomo (). O ti wa ni niyanju lati koodu kọọkan ibudo asopọ ni lọtọ ila ki eyikeyi akopo aṣiṣe ifiranṣẹ yoo tọ tokasi si awọn ila nọmba ibi ti awọn ašiše. Nitoripe awọn asopọ wọnyi ni a ṣe nipasẹ orukọ, aṣẹ ti wọn han ko ṣe pataki.

DUT instantiation le tun ti wa ni ṣe fun awọn module ibi ti testbench module ni o ni orisirisi awọn orukọ ifihan agbara. Awọn ti o tọ maapu ti awọn ifihan agbara jẹ ohun ti o jẹ pataki nigba instantiating.

Example: 

ALU d0 (.a (A), // ifihan “a” ni ALU yẹ ki o sopọ si “A” ni ALU_tb module
.clk (aago), // ifihan “clk” ni ALU yẹ ki o sopọ si “aago” ALU_tb module
.jade(OUT)); // ifihan agbara "jade" ni ALU yẹ ki o wa ni asopọ si "OUT" ni ALU_tb module

Nigbagbogbo & Àkọsílẹ Ibẹrẹ ni Testbench kan

Awọn bulọọki itẹlera meji wa ni Verilog, ibẹrẹ ati nigbagbogbo. O wa ninu awọn bulọọki wọnyi ti a lo ayun naa.

Àkọsílẹ akọkọ

Awọn ni ibẹrẹ Àkọsílẹ eyi ti o ti ṣiṣẹ ni ẹẹkan ati ki o fopin nigbati awọn ti o kẹhin ila ti awọn Àkọsílẹ ti wa ni ṣiṣẹ. A kọ ayun naa sinu bulọki ibẹrẹ. (Wo laini 54-72 ni aworan 5)

Sintasi:
..
ibẹrẹ ibẹrẹ
$danufile();
$ dumpvars ();
..(tẹ ohun iwuri)
ipari

Àkọsílẹ akọkọ bẹrẹ ipaniyan rẹ ni ibẹrẹ ti kikopa ni akoko t = 0. Bibẹrẹ pẹlu laini akọkọ laarin ibẹrẹ ati ipari, laini kọọkan n ṣiṣẹ lati oke de isalẹ titi ti idaduro yoo ti de. Nigbati idaduro ba de, ipaniyan ti bulọọki yii duro titi akoko idaduro (awọn akoko-10) ti kọja ati lẹhinna gbe ipaniyan lẹẹkansi.
Olumulo le ṣe alaye awọn iyanju nipa lilo awọn losiwajulosehin (fun, lakoko, ti o ba jẹ miiran) bakanna ninu bulọọki ibẹrẹ yii dipo titẹ gbogbo awọn akojọpọ pẹlu ọwọ.
Àkọsílẹ akọkọ

 

Example:
Ibẹrẹ Ibẹrẹ
A = 0; b = 0; // bẹrẹ ipaniyan
#10 a = 0; b = 1; // ipaniyan wa ni t = 10-kuro akoko
#10 a = 1; b = 0; // ipaniyan wa ni t = 20-kuro akoko
ipari

Ju silẹ Files

Ohun miiran lati tọju ni lokan ni ikede $ju silẹfiles ati $dumpvars inu awọn ni ibẹrẹ Àkọsílẹ (wo ila 55- 56 ni Figure 5). Awọn $ju silẹfile ti wa ni lo lati WASTE awọn ayipada ninu awọn iye ti awon ati awọn iforukọsilẹ ni a file ti o jẹ orukọ bi ariyanjiyan rẹ.

Fun example:

$ju silẹfile("alu_tb.vcd");

yoo WASTE awọn ayipada ninu a file ti a npè ni alu_tb.vcd. Awọn iyipada ti wa ni igbasilẹ ni a file ti a npe ni VCD file ti o duro fun idalenu iyipada iye. VCD (idasonu iyipada iye) tọju gbogbo alaye nipa awọn iyipada iye. A ko le ni ju $idasonu lọfile gbólóhùn ni Verilog kikopa.

Awọn $dumpvars ni a lo lati pato iru awọn oniyipada ti o yẹ ki o da silẹ (ninu file mẹnuba nipa $ idasonufile). Ọna ti o rọrun julọ lati lo o jẹ laisi eyikeyi ariyanjiyan. Sintasi gbogbogbo ti $dumpvars jẹ

$dumpvars ( <, >);

A besikale le pato eyi ti modulu, ati eyi ti oniyipada ni modulu yoo wa ni nda. Ọna ti o rọrun julọ lati lo eyi ni lati ṣeto ipele si 0 ati orukọ module bi module oke (paapaa module testbench oke).

$dumpvars(0, alu_tb);

Nigbati ipele ti ṣeto si 0, ati pe orukọ module nikan ni pato, yoo da GBOGBO awọn oniyipada ti module yẹn silẹ ati gbogbo awọn oniyipada ni GBOGBO awọn ipele ipele kekere ti o wa nipasẹ module oke yii. Ti eyikeyi module ti ko ba ni isunmọ nipasẹ module oke yii, lẹhinna oniyipada rẹ kii yoo bo. Ohun kan diẹ sii, ikede $ju silẹfile gbọdọ wa ṣaaju $dumpvars tabi awọn iṣẹ ṣiṣe eto eyikeyi ti o ṣalaye idalẹnu. Awọn idalẹnu wọnyi files gbọdọ jẹ ikede ṣaaju awọn igbewọle ayun miiran, ko si iye ti yoo fipamọ ni idalẹnu wọnyi files.

Dina nigbagbogbo

Ni idakeji si awọn alaye akọkọ, idinamọ nigbagbogbo n ṣiṣẹ leralera, botilẹjẹpe ipaniyan bẹrẹ ni akoko t = 0. Fun example, aago ifihan agbara jẹ pataki fun awọn isẹ ti lesese iyika bi Flip-flops. O nilo lati wa ni ipese nigbagbogbo. Nitorinaa, a le kọ koodu naa fun iṣẹ aago ni ibi idanwo bi (wo laini 52 ni Nọmba 5):

nigbagbogbo
#10 clk = ~ clk;
endmodule

Alaye ti o wa loke yoo ṣiṣẹ lẹhin 10 ns ti o bẹrẹ lati t = 0. Iye clk yoo yipada lẹhin 10 ns lati iye iṣaaju. Bayi, ti o npese a aago ifihan agbara ti 20 ns polusi iwọn. Nitorinaa, alaye yii n ṣe ifihan agbara ti igbohunsafẹfẹ 50 MHz. O ṣe pataki lati ṣe akiyesi pe, ibẹrẹ ti ifihan agbara ni a ṣe ṣaaju ki o to dina nigbagbogbo. Ti a ko ba ṣe apakan ibẹrẹ, ifihan clk yoo jẹ x lati t – 0, ati lẹhin 10 ns, yoo yipada si x miiran.

Ṣiṣayẹwo ara ẹni Testbench

Idanwo idanwo ti ara ẹni pẹlu alaye kan lati ṣayẹwo ipo lọwọlọwọ.

  • $ifihan Awọn iṣẹ ṣiṣe eto ni a lo ni akọkọ lati ṣafihan awọn ifiranṣẹ yokokoro lati tọpa sisan ti kikopa

ibẹrẹ ibẹrẹ
A = 0; b = 0 ; c = 0; #10; // lo igbewọle, duro
ti (y! == 1) bẹrẹ
$ àpapọ ("000 kuna"); //ayẹwo
c = 1; #10 ; //fi titẹ sii, duro
ipari
miiran ti (y! == 0) ba bẹrẹ
$ àpapọ ("001 kuna") // ṣayẹwo
b = 1; c = 0; #10 ; ipari
miiran ti (y!==0)
$ àpapọ ("010 kuna"); //ayẹwo
ipari
endmodule

$ifihan ni a lo fun iṣafihan awọn iye ti awọn oniyipada, awọn gbolohun ọrọ, tabi awọn ikosile. Lati loke example, nigbakugba ti eyikeyi ti lupu ti o ba ni itẹlọrun, lẹhinna akọọlẹ simulator yoo ṣafihan awọn oniwun rẹ $ifihan gbólóhùn. Laini tuntun wa nipasẹ aiyipada ni opin awọn okun.

$ifihan ("akoko = %t , A = %b, B = %b, C = % b", $akoko, A,B,C);

Awọn ohun kikọ ti a mẹnuba ninu awọn agbasọ yoo wa ni titẹ bi wọn ṣe jẹ. Lẹta naa pẹlu% tọkasi ọna kika okun. A lo %b lati ṣe aṣoju data alakomeji. A le lo %d, %h, %o fun aṣoju eleemewa, hexadecimal, ati octal, lẹsẹsẹ. %g jẹ lilo fun sisọ awọn nọmba gidi. Iwọnyi yoo rọpo pẹlu awọn iye ti ita agbasọ ni aṣẹ ti a mẹnuba. Fun example, alaye ti o wa loke yoo han ni akọọlẹ kikopa bi: akoko = 20, A = 0, B = 1, C = 0

Tabili 1. Verilog Table kika

Ariyanjiyan Apejuwe
%h, %H Ṣe afihan ni ọna kika Hexadecimal
%d, %D Ṣe afihan ni ọna kika eleemewa
%b,%B Ṣe afihan ni ọna kika alakomeji
%m, %M Ṣe afihan orukọ akosori
%s, %S Ifihan bi okun
%t, %T Ṣe afihan ni ọna kika akoko
%f, %F Ṣe afihan 'gidi' ni ọna kika eleemewa
%e, %E Ṣe afihan 'gidi' ni ọna kika alapin

$ifihan nipataki ṣe atẹjade data tabi oniyipada bi o ti wa ni asiko yẹn ti akoko yẹn bii titẹjade ni C. A gbọdọ darukọ $ifihan fun ohunkohun ti ọrọ ti a ni lati view ni kikopa log.

  • $akoko

$akoko jẹ iṣẹ-ṣiṣe eto ti yoo pada akoko lọwọlọwọ ti kikopa.

  • $atẹle

$atẹle yoo ṣe atẹle data tabi oniyipada fun eyiti a kọ ọ ati nigbakugba ti iyipada ba yipada, yoo tẹjade
iye ti o yipada. O ṣaṣeyọri ipa ti o jọra ti pipe ifihan $ lẹhin gbogbo igba eyikeyi awọn ariyanjiyan rẹ gba
imudojuiwọn. $atẹle jẹ bi iṣẹ-ṣiṣe ti o ti wa ni spawned lati ṣiṣe ni abẹlẹ ti akọkọ o tẹle eyi ti diigi ati
ṣe afihan awọn iyipada iye ti awọn oniyipada ariyanjiyan rẹ. $atẹle ni o ni kanna sintasi bi $ifihan.

$atẹle("akoko = %t, A = %b, B = %b, C = % b", $akoko, A,B,C);
Ṣiṣayẹwo ara ẹni Testbench

Lati olusin 7 o le ṣe akiyesi pe awọn laini awọn koodu tuntun ti ṣafikun si iṣiro ara ẹni testbench. Ipo ti $ifihan ati $atẹle awọn alaye ni awọn apakan oriṣiriṣi ti testbench yoo mu awọn abajade oriṣiriṣi jade (wo Nọmba 8). $akoko mẹnuba ninu awọn gbolohun wọnyi tẹjade akoko ti iye ti wa ni titẹ fun. Ni akoko kanna kuro sọ 170000, a le rii bi iyatọ ṣe wa ninu iye fun A ati B nitori $ifihan ati $atẹle awọn gbólóhùn.
Ṣiṣayẹwo ara ẹni Testbench

GTKWave Software

GTKWave jẹ ifihan GTK+ ni kikun viewer fun Unix, Win32, ati Mac OSX ti o ka LXT, LXT2, VZT, FST, ati GHW files daradara bi boṣewa VCD/EVCD files ati ki o gba wọn laaye viewing. Oṣiṣẹ rẹ webojula wa ni http://gtkwave.sourceforge.net/ . GTKWave ni a ṣe iṣeduro viewEri nipa Icarus Verilog kikopa ọpa.

Ni kete ti olumulo ti ṣẹda aṣeyọri ni aṣeyọri lati ṣe idanwo iṣẹ ṣiṣe ti apẹrẹ, olumulo le lo sọfitiwia GTKwave ni bayi lati view awọn igbi.

Lati ṣe ifilọlẹ sọfitiwia GTKwave si view awọn waveforms, olumulo nilo lati tẹ lori Simulate Testbench bọtini lori oke ti ọpa irinṣẹ tabi lati akojọ aṣayan akọkọ Awọn irinṣẹ → Simulation → Simulate Testbench. Ti ko ba si awọn aṣiṣe syntax lẹhinna da lori apẹrẹ, GTKWave yẹ ki o ṣe ifilọlẹ laifọwọyi tabi awọn abajade ti awọn iyanju ninu testbench yoo han ni apakan Logger ti window naa.

Sọfitiwia GTKWave naa ṣi idalẹnu ọna kika .vcdfile laifọwọyi. Ferese GTKWave ko ṣe afihan fọọmu igbi nigbati o ṣii. Eyi yoo fun olumulo ni aye lati yan iru awọn ifihan agbara ti o fẹ view si kiyesi i. Lati yan ifihan agbara, olumulo nilo lati ṣafihan, olumulo nilo tẹ lori orukọ module / apẹẹrẹ wọn ni apa osi ti window labẹ taabu SST. Nipa titẹ + ti gbogbo apẹẹrẹ, o le wo awọn ifihan agbara ti o ni ibatan pẹlu apẹẹrẹ yẹn ni apakan isalẹ. Lẹhinna o le fa & ju ami ifihan ti o fẹ silẹ tabi tẹ wọn lẹẹmeji lati han ni window Awọn ifihan agbara. O tun le yan gbogbo (CTRL + A) ki o si fi wọn si window awọn ifihan agbara (wo Nọmba 9).
GTKWave Software

Awọn ifihan agbara ti wa ni afikun si ferese ifihan agbara ṣugbọn ko tii ṣe afarawe. Lẹhin fifi awọn ifihan agbara ti o fẹ si window ifihan agbara, tẹ loriAami lati fi ipele ti awọn ifihan agbara si awọn ti isiyi iwọn ti awọn window ati ki o si tun gbee si awọn ifihan agbara lati gbee siAami aami ti o wa lori ọpa irinṣẹ. O le wo awọn ifihan agbara pẹlu awọn iye wọn.

Awọn iye ifihan agbara

Nipa aiyipada, awọn iye ti awọn ifihan agbara wa ni ọna kika hexadecimal ati gbogbo awọn igbi jẹ awọ alawọ ewe (ti o ba nṣiṣẹ ni deede).

Olumulo le yi awọn ohun-ini ti ifihan agbara wọnyi pada nipa titẹ-ọtun lori ifihan agbara ati yiyan kika Data tabi Ọna kika Awọ. Olumulo tun le fi ami ifihan ofo sii lati ṣe awọn apakan laarin ẹgbẹ awọn ifihan agbara. Nigbati o ba ni abajade opitika ti o fẹ, o le fipamọ awọn atunto rẹ nipa lilọ File → Kọ Fipamọ File.

Ọpa irinṣẹ GTKWave

Pẹpẹ irinṣẹ (wo Nọmba 10) gba olumulo laaye lati ṣe awọn iṣẹ ipilẹ fun ifihan agbara. Jẹ ki a jiroro lori aṣayan kọọkan lori ọpa irinṣẹ lati osi si otun.
Ọpa irinṣẹ GTKWave

  1. Awọn aṣayan Akojọ aṣyn: Labẹ aṣayan yii a le view gbogbo awọn orisirisi awọn ẹya ara ẹrọ ti awọn software ti o le ṣee lo lati mu ni ayika pẹlu awọn software. Awọn alaye labẹ aṣayan akojọ aṣayan yii wa labẹ Abala 8 ti itọsọna olumulo yii.
  2. Ge Awọn itọpa: O ti wa ni lo lati pa / ge awọn ifihan agbara yan lati awọn ifihan agbara window
  3. Da awọn itọpa: O ti wa ni lo lati da awọn ti o yan ifihan agbara lati awọn ifihan agbara window
  4. Lẹẹmọ Awọn itọpa: Itọpa ti a daakọ/ge le jẹ lẹẹmọ ni ipo ọtọtọ ni window ifihan agbara
  5. Sun-un Fit: O ti wa ni lo lati fi ipele ti awọn ifihan agbara ni ibamu si awọn iwọn ti awọn window awọn olumulo yan lati han
  6. Sun-un sinu: O ti wa ni lo lati sun-un ninu awọn ifihan agbara window
  7. Sun-un Jade: O ti wa ni lo lati sun jade awọn ifihan agbara window
  8. Sun-un Yipada: o ti wa ni lilo lati mu pada / sita lori awọn ifihan agbara window
  9. Sun-un lati Bẹrẹ: eyi yoo sun-un window ifihan agbara, ṣafihan akoko ibẹrẹ ti awọn ifihan agbara.
  10. Sun-un si Ipari: eyi yoo sun window ifihan agbara ti o nfihan akoko ipari ti awọn ifihan agbara
  11. Wa eti ti tẹlẹ: Eyi yi aami si apa osi ti o nfihan eti ti tẹlẹ
  12. Wa eti ti o tẹle: Eleyi iṣinipo awọn asami si ọtun afihan awọn tókàn eti
  13. Yi lọ si isalẹ/oke mnu: lilo eyi a le ṣeto aaye akoko ninu eyiti olumulo fẹ lati ṣafihan. Fun example, a le ṣeto awọn akoko fireemu to 0 sec to 500 ns, o yoo han awọn ifihan agbara labẹ ti iye nikan.
  14. Tun gbee si: Atungbejade ti wa ni titẹ nigbakugba ti iyipada ba wa si ifihan agbara ti o han. Yoo tun gbejade ati ṣafihan ifihan agbara ni ibamu si awọn aye tuntun. Fun example, lẹhin iyipada awọn akoko fireemu ti awọn ifihan agbara, a nilo lati tun awọn ifihan agbara lati han awọn ifihan agbara ni titun ṣeto akoko fireemu.

Awọn aṣayan Akojọ aṣyn

Lati igun apa osi ti sọfitiwia GTKWave, olumulo le wọle si awọn aṣayan akojọ aṣayan nipa titẹ awọn laini inaro mẹta (wo Nọmba 11). Olumulo le wa awọn aṣayan wọnyi labẹ awọn aṣayan Akojọ aṣayan:
Awọn aṣayan Akojọ aṣyn

File

Awọn File akojọ aṣayan ni orisirisi awọn ohun kan ti o ni ibatan si wiwọle si files, agbewọle-okeere VCD files, titẹ sita, ati kika/kikọ files ati ijade.

Ṣatunkọ

Akojọ aṣayan Ṣatunkọ jẹ lilo lati ṣe ọpọlọpọ awọn iṣẹ iwulo gẹgẹbi iyipada aṣoju data ti awọn iye ninu ferese isale igbi. Lilo awọn aṣayan labẹ akojọ aṣayan Ṣatunkọ, olumulo le yi ọna kika data ti awọn ifihan agbara pada, tunto wọn, yi wọn pada, gee wọn, saami rẹ, awọn ami ẹgbẹ, asọye lori awọn ifihan agbara, yi awọ awọn ifihan agbara pada, ati bẹbẹ lọ.

àwárí

Akojo-ajọ-akojọ wiwa ni a lo lati ṣe awọn wiwa lori awọn orukọ apapọ ati iye. O ṣe iranlọwọ lati ṣe awọn iṣẹ lori oriṣiriṣi awọn ipele logalomomoise ti awọn ifihan agbara ati awọn iṣẹlẹ ninu VCD file.

Akoko

Akojọ-akojọ-akojọ-akoko ni titobi ju ti awọn iṣẹ ṣiṣe nipasẹ Awọn lilọ kiri ati awọn bọtini Panel Ipo.
O jẹ ki o rọrun, akoko ti o ni ibatan, awọn iṣẹ bii sisun, gbigbe si aaye akoko kan pato, yiyi ifihan agbara ni itọsọna kan, ati bẹbẹ lọ.

Aami

Akojọ aṣayan asami ni a lo lati ṣe ọpọlọpọ awọn ifọwọyi lori asami bi daradara bi lilọ kiri ni ita iboju.
O jẹ ki iṣẹ ṣiṣe ti fifi ọpọlọpọ awọn asami kun lori window ifihan agbara. O pọju awọn ami ami orukọ 26 ni a gba laaye ati awọn akoko fun gbogbo eniyan gbọdọ yatọ.

a. Lati fi awọn asami kun ni window ifihan agbara
Tẹ apa osi ni aaye ti o nilo nibiti o fẹ ki a gbe Alaami si ki o tẹ ALT + N. Eyi yoo gbe aami ti a npè ni (A,B,C, ati bẹbẹ lọ) ni aaye ti a beere. Olumulo le tẹsiwaju lati ṣe eyi fun awọn ipo akoko oriṣiriṣi 26.
Lati ṣe afiwe iye akoko ni gbogbo awọn asami aaye, Akojọ aṣyn → Awọn asami → Fihan Yipada Data Alami.
Eyi yoo ṣii window kan pẹlu iye akoko ni Alami kọọkan. Olumulo le ṣe akiyesi iye akoko pẹlu ọwọ ni aami kọọkan ti a gbe ati yọkuro wọn lati ṣe iṣiro iyatọ akoko laarin awọn asami 2.
b. Lati yọ Alaami kuro ni window ifihan agbara

Olumulo le lọ si Akojọ aṣyn → Awọn asami → Gba Aami ti a npè ni. Eyi yoo yọ aami-igbẹhin ti a gbe sinu window ifihan agbara. Olumulo le yọ gbogbo awọn asami ti a npè ni kuro nipa lilọ si Akojọ aṣyn → Awọn asami → Gba Gbogbo Aami ti a npè ni (Figure 12).
Awọn aṣayan Akojọ aṣyn

Ni olusin 13, a le rii bi awọn awọ ifihan ti yipada. O le ṣe akiyesi Ifiranṣẹ Ofo kan ti a ṣafikun si window ifihan bi daradara pẹlu asọye kan - Ifiranṣẹ Ofo.
Tun ṣe akiyesi wiwa ti 6 Awọn ami-orukọ ti a npè ni (A - E) ati iṣiro iye akoko laarin Awọn aami wọnyi ni ps.
Awọn aṣayan Akojọ aṣyn

View

Awọn View Aṣàmúlò àkójọ-àtòjọ-ìpínlẹ̀ láti šakoso oríṣiríṣi àwọn ànímọ́ tí ń bá iṣẹ́ àwòṣe tí a yàwòrán ti àwọn ohun ipò ipò àti àwọn iye nínú fèrèsé abẹ́ àmì. Lati inu akojọ aṣayan yii, o le ṣe iyipada window ifihan agbara si Black & White tabi awọ daradara. Awọn View akojọ aṣayan tun ngbanilaaye lati yi akoko pada Iwọn lati awọn aaya (aaya) si ficoseconds (fs). Olumulo le wa aṣayan yii View → Iwọn si Iwọn akoko → fs.

Egba Mi O

Akojọ-akojọ-akojọ-iranlọwọ ni awọn aṣayan fun ṣiṣe iranlọwọ lori laini pẹlu fifi alaye ẹya eto han.

Ipari

A ṣẹda iwe yii lati ṣe iranlọwọ fun olumulo ni ṣiṣe adaṣe apẹrẹ wọn ni aṣeyọri ati rii daju iṣẹ ṣiṣe nipasẹ ṣiṣatunṣe kikọ iwe idanwo ti o nilo ati lilo Icarus Verilog pẹlu GTKwave lati ṣafihan awọn fọọmu igbi ati akiyesi awọn abajade.

Àtúnyẹwò History

Àtúnyẹwò Ọjọ Apejuwe
1.00 Oṣu Karun ọjọ 20, Ọdun 2024 Itusilẹ akọkọ.

R19US0011EU0100 Rev.1.0
Oṣu Karun ọjọ 20, Ọdun 2024
© 2024 Renesas Electronics
Logo

Awọn iwe aṣẹ / Awọn orisun

RENESAS ForgeFPGA Software Simulation [pdf] Itọsọna olumulo
REN_r19us0011eu0100, ForgeFPGA Software Simulation, ForgeFPGA Software, ForgeFPGA, ForgeFPGA Simulation, Software Simulation, Simulation, Software

Awọn itọkasi

Fi ọrọìwòye

Adirẹsi imeeli rẹ kii yoo ṣe atẹjade. Awọn aaye ti a beere ti wa ni samisi *