logo intelIntel® Quartus® Prime Standard Edition
Versi 22.1std Piranti Lunak lan Dhukungan Piranti Cathetan Rilis
Dianyari kanggo Intel® Quartus® Prime Design Suite: 22.1std.1
Pandhuan pangguna

Intel® Quartus® Prime Standard Edition Version 22.1std Software lan Cathetan Rilis Dhukungan Piranti

Dokumen iki nyedhiyakake informasi pungkasan babagan Intel® Quartus® Prime Standard Edition Version 22.1std lan 22.1std.1.
Kanggo informasi tambahan babagan release piranti lunak iki, waca Intel Quartus Prime Standard Edition README file ing panggonan ing ngisor iki: /quartus/readme.txt
Kanggo informasi babagan dhukungan sistem operasi, waca ing ngisor iki web kaca: Dhukungan Sistem Operasi Intel FPGA.

Informasi sing gegandhengan

  • Cathetan Rilis Piranti Lunak lan Dhukungan Piranti Edisi Intel Quartus Prime Pro
  • Piranti Lunak Desain Edisi Standar Intel Quartus Prime kanggo Linux
  • Piranti Lunak Desain Intel Quartus Prime Standard Edition kanggo Windows
  • Piranti Lunak Desain Intel Quartus Prime Lite Edition kanggo Linux
  • Piranti Lunak Desain Intel Quartus Prime Lite Edition kanggo Windows
  • Instalasi lan Lisensi Piranti Lunak Intel FPGA

1.1. Fitur anyar lan dandan
Intel Quartus Prime Standard Edition Software Version 22.1std lan Versi 22.1std.1 kalebu nganyari fungsi lan keamanan. Tansah nganyari piranti lunak lan tindakake pandhuane Rekomendasi teknis sing mbantu nambah keamanan instalasi Intel Quartus Prime.
Intel Quartus Prime Standard Edition Software Version 22.1std kalebu fitur lan dandan anyar ing ngisor iki:

  • Dhukungan tambahan kanggo prosesor Nios® V/m.
  • Kanggo piranti Intel MAX® 10, ditambahake dhukungan LVDS 1.8V.

Ndandani bug
Intel Quartus Prime Standard Edition Software Version 22.1std lan Versi 22.1std.1 uga kalebu mbecike bug. Review Masalah Piranti Lunak sing Dirampungake ing kaca 13 lan Patch Piranti Lunak Klebu ing Rilis iki ing kaca 13 kanggo ndeleng apa versi iki ngemot mbenakake utawa ngatasi panjalukan layanan pelanggan (Dhukungan Intel Premier).

1.2. Owah-owahan menyang Prilaku Piranti Lunak
Bagean iki nyathet kedadeyan sing prilaku lan setelan gawan saka piranti lunak Intel Quartus Prime Standard Edition wis diganti saka piranti lunak Intel Quartus Prime Standard Edition sadurungé.
Deleng Setelan Default Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, kanggo dhaptar kabeh setelan assignment gawan kanggo versi paling anyar saka piranti lunak Intel Quartus Prime.

1.2.1. Fitur lan Fungsi sing ora digunakake
Fungsi lan fitur sing kadhaptar ing bagean iki wis ora digunakake nanging ora dibusak saka Intel Quartus Prime Standard Edition Version 22.1std.1 utawa sadurungé.
Migrasi piranti lan pangolahan sampeyan kanggo nggunakake fitur lan fungsi panggantos utawa alternatif sadurunge fitur lan fungsi sing ora digunakake dicopot.
Fitur lan Fungsi Dibuwang minangka Intel Quartus Prime Standard Edisi Versi 22.1std.1
Ora ana fitur utawa fungsi Intel Quartus Prime sing ora digunakake ing Intel Quartus Prime Standard Edition Version 22.1.1.
Fitur lan Fungsi Dibuwang minangka Intel Quartus Prime Standard Edisi Versi 22.1std
Ora ana fitur utawa fungsi Intel Quartus Prime sing ora digunakake ing Intel Quartus Prime Standard Edition Version 22.1.
Fitur lan Fungsi Ora Digunakake minangka Intel Quartus Prime Standard Edition Version 21.1.1
Ora ana fitur utawa fungsi Intel Quartus Prime sing ora digunakake ing Intel Quartus Prime Standard Edition Version 21.1.1.
Fitur lan Fungsi Dibuwang minangka Intel Quartus Prime Standard Edisi Versi 21.1
Ora ana fitur utawa fungsi Intel Quartus Prime sing ora digunakake ing Intel Quartus Prime Standard Edition Version 21.1.
Fitur lan Fungsi Dibuwang minangka Intel Quartus Prime Standard Edisi Versi 20.1
Ora ana fitur utawa fungsi Intel Quartus Prime sing ora digunakake ing Intel Quartus Prime Standard Edition Version 20.1.

1.2.2. Dibusak Fitur lan Fungsi
Fungsi lan fitur sing kadhaptar ing bagean iki wis dibusak saka Intel Quartus Prime Standard Edition Version 22.1std.1 utawa sadurungé.
Fitur lan Fungsi Dibusak saka Intel Quartus Prime Standard Edition Versi 22.1std.1
Ora ana fitur utawa fungsi Intel Quartus Prime sing wis dibusak saka Intel Quartus Prime Standard Edition Version 22.1.1.
Fitur lan Fungsi Dibusak saka Intel Quartus Prime Standard Edition Versi 22.1std
Ora ana fitur utawa fungsi Intel Quartus Prime sing wis dibusak saka Intel Quartus Prime Standard Edition Version 22.1.
Fitur lan Fungsi Dibusak saka Intel Quartus Prime Standard Edition Versi 21.1.1
Ora ana fitur utawa fungsi Intel Quartus Prime sing wis dibusak saka Intel Quartus Prime Standard Edition Version 21.1.1.
Fitur lan Fungsi Dibusak saka Intel Quartus Prime Standard Edition Versi 21.1

  • Dibusak ModelSim * -Intel FPGA Edition lan ModelSim-Intel FPGA Starter Edition
    lunak simulasi iki wis diganti dening mungguh Questa * -Intel FPGA Edition lan Questa-Intel FPGA Starter Edition.
  • Mbusak dhukungan kanggo piranti lunak simulasi 32-bit.
    Owah-owahan iki mbusak dhukungan kanggo alat simulasi ing ngisor iki:
    — Aldec* Active-HDL* (32-bit)
    Gunakake versi 64-dicokot saka Aldec Active-HDL utawa nggunakake Aldec Riviera-PRO * tinimbang.
    — Mentor Graphics* ModelSim PE
    Gunakake Siemens * EDA ModelSim SE utawa Siemens EDA Questa Advanced Simulator tinimbang.
  • Dhukungan NicheStack TCP/IP Stack dibusak.
  • Mbusak dhukungan kanggo Cadence * Incisive * Enterprise Simulator (IES).

Fitur lan Fungsi Dibusak saka Intel Quartus Prime Standard Edition Versi 20.1
Dhukungan kanggo piranti lunak ing ngisor iki wis dibusak saka Intel Quartus Prime Standard Edition Version 20.1 lan mengko:

  • DSP Builder kanggo Intel FPGAs
  • Intel FPGA SDK kanggo OpenCL™ (*)
  • Intel FPGA RTE kanggo OpenCL
  • Intel High-Level Synthesis (HLS) Compiler

(*) OpenCL lan logo OpenCL minangka merek dagang Apple Inc. sing digunakake kanthi ijin saka Khronos Group™

1.3. Dhukungan Sistem Operasi
Informasi babagan dhukungan sistem operasi kanggo Intel Quartus Prime Design Suite kasedhiya ing kaca Dhukungan Sistem Operasi ing Intel FPGA websitus.
Owahan Dhukungan Sistem Operasi ing Intel Quartus Prime Standard Edition Versi 22.1std.1
Ora ana owah-owahan dhukungan sistem operasi ing Intel Quartus Prime Standard Edition Version 22.1std.1.
Owahan Dhukungan Sistem Operasi ing Intel Quartus Prime Standard Edition Versi 22.1std
Dhukungan kanggo sistem operasi ing ngisor iki ora digunakake wiwit Intel Quartus Prime Standard Edition Version 22.1:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 Versi 1607

Migrasi instalasi Windows 10 menyang Windows 10 Versi 1809 utawa luwih anyar.
Dhukungan kanggo sistem operasi kasebut bisa uga dicopot ing rilis sing bakal teka.
Intel Quartus Prime Standard Edition Version 22.1 mbusak dhukungan kanggo sistem operasi ing ngisor iki:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

Owahan Dhukungan Sistem Operasi ing Intel Quartus Prime Standard Edition Versi 21.1.1
Ora ana owah-owahan dhukungan sistem operasi ing Intel Quartus Prime Standard Edition Version 21.1.1.
Owahan Dhukungan Sistem Operasi ing Intel Quartus Prime Standard Edition Versi 21.1
Intel Quartus Prime Standard Edition Version 21.1 nambahake dhukungan kanggo sistem operasi ing ngisor iki:

  1. CentOS Linux 8.2 tetep didhukung dening Intel Quartus Prime Standard Edition Version 22.1
  2. Red Hat* Enterprise Linux 8.2 tetep didhukung dening Intel Quartus Prime Standard Edition Version 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Dhukungan kanggo sistem operasi ing ngisor iki ora digunakake wiwit Intel Quartus Prime Standard Edition Version 21.1. Dhukungan kanggo sistem operasi iki bisa uga dicopot ing rilis sabanjure:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition Version 21.1 mbusak dhukungan kanggo sistem operasi ing ngisor iki:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Informasi sing gegandhengan
Dhukungan Sistem Operasi

1.4. Spasi Disk lan Rekomendasi Memori
Instalasi lengkap piranti lunak Intel Quartus Prime Standard Edition mbutuhake ruang disk sing kasedhiya nganti 40 GB.
Ngatur sistem kanggo nyedhiyani memori virtual tambahan witjaksono kanggo RAM fisik dianjurake sing dibutuhake kanggo proses desain. Memori virtual tambahan iki kanthi efektif ngganda total memori efektif sing kasedhiya kanggo ngolah desain sampeyan.
Cathetan:
Memori virtual puncak bisa ngluwihi rekomendasi kasebut. Rekomendasi kasebut adhedhasar jumlah memori fisik sing dibutuhake kanggo entuk runtime sajrone 10% saka sing digayuh ing hardware kanthi jumlah RAM sing ora ana watese.

Tabel 1.
Requirements memori kanggo Processing Arria® Designs
Persyaratan iki padha kanggo instalasi Windows lan Linux.

kulawarga piranti Dianjurake RAM Fisik
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arya V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZ7 16 GB
5AGZ3, 5AGZ5 12 GB
5AGZ1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Tabel 2.
Keperluan Memori kanggo Ngolah Desain Cyclone®
Persyaratan iki padha kanggo instalasi Windows lan Linux.

kulawarga piranti Dianjurake RAM Fisik
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Siklon V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 GB
Siklon IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Siklon IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Tabel 3.
Requirements memori kanggo Processing MAX Designs
Persyaratan iki padha kanggo instalasi Windows lan Linux.

kulawarga piranti Dianjurake RAM Fisik
Intel MAX 10 Kab 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAKSUD V Kabeh 512 MB
MAX II Kabeh 512 MB

Tabel 4.
Requirements Memori kanggo Processing Stratix®Designs
Persyaratan iki padha kanggo instalasi Windows lan Linux.

kulawarga piranti Dianjurake RAM Fisik
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratik IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Dhukungan Piranti lan Status Pin-Out
Kabeh piranti produksi saiki duwe kompilasi lengkap, simulasi, analisis wektu, lan dhukungan program.
1.5.1. Owah-owahan ing Dhukungan Piranti
1.6. Model Wektu, Model Daya, lan Status Piranti
Tabel 5.
Model Wektu, Model Daya, lan Status Piranti kanggo Piranti Intel Arria 10

Kulawarga piranti piranti Status Model Wektu Status Model Daya Status piranti
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 Pungkasan - 16.1 (3)(4) Pungkasan - 17.0 Pungkasan - 17.0
10AX048, 10AS048 Pungkasan - 16.0.2 (4) Pungkasan - 17.0 Pungkasan - 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Pungkasan - 16.0.1 (4) Pungkasan - 16.0.1 Pungkasan - 16.0.1
10AX115, 10AT115 Pungkasan - 16.0 (4) Pungkasan - 16.0 Pungkasan - 16.0

(3) Piranti kanthi kelas kacepetan -1 dirampungake ing piranti lunak Intel Quartus Prime versi 17.0
(4) Kabeh piranti kelas militèr dirampungaké ing piranti lunak Intel Quartus Prime versi 18.0.1.

Tabel 6.
Model Wektu, Model Daya, lan Status Piranti kanggo Piranti Intel Cyclone 10

Kulawarga piranti piranti Status Model Wektu Status Model Daya Status piranti
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 Pungkasan - 17.0 Pungkasan - 17.1 Pungkasan - 17.1

Tabel 7.
Model Wektu, Model Daya, lan Status Piranti kanggo Piranti Intel MAX 10

Kulawarga piranti piranti Status Model Wektu Status Model Daya Status piranti
Intel MAX 10 Kab 10M02, 10M04, 10M08 Pungkasan - 15.1 (5) Pungkasan - 15.1 Pungkasan - 15.1
10M16, 10M25, 10M40, 10M50 Pungkasan - 15.1.2 Pungkasan - 15.1 Pungkasan - 15.1

Piranti lunak Intel Quartus Prime versi saiki uga kalebu model wektu lan daya pungkasan kanggo Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix V IV, lan kulawarga piranti Stratix. Model wektu kanggo kulawarga piranti kasebut dadi final ing piranti lunak Intel Quartus Prime versi 11.1 utawa sadurungé.

1.7. Model IBIS
Tabel 8. Status Model IBIS kanggo Piranti Lunak Edisi Standar Intel Quartus Prime Rilis Versi 22.1std
Wiwit saka piranti lunak Intel Quartus Prime Standard Edition versi 16.0, kulawarga piranti duwe status model IBIS sing Advance, Preliminary, utawa Final.

Kulawarga piranti Status Model IBIS
Intel Arria 10 Pungkasan - 16.1.2
Arya V Gegandhengan karo operasi piranti PHY - 14.0
Arria II GX Gegandhengan karo operasi piranti PHY - 11.1
Arria II GZ Gegandhengan karo operasi piranti PHY - 11.1
Intel Cyclone 10 LP Pungkasan - 17.0
Siklon V Gegandhengan karo operasi piranti PHY - 14.0
Siklon IV E Gegandhengan karo operasi piranti PHY - 11.1
Siklon IV GX Gegandhengan karo operasi piranti PHY - 11.1
Intel MAX 10 Kab Pungkasan - 16.0
MAKSUD V Gegandhengan karo operasi piranti PHY - 11.1
Stratix V Gegandhengan karo operasi piranti PHY - 13.0 SP1
Stratik IV Gegandhengan karo operasi piranti PHY - 11.1

Model IBIS sing dianyari kasedhiya online ing Model IBIS kanggo Piranti Intel FPGA web kaca. Kaca iki dianyari nalika model IBIS kanggo piranti kasedhiya utawa dianyari.
(5) Status model wektu kanggo bagean kelas kacepetan MAX 10 A6 tetep minangka Preliminary.
1.8. Informasi Antarmuka EDA
Tabel 9.
Piranti Sintesis Ndhukung Intel Quartus Prime Standard Edition Software Release Version 22.1std

Piranti Sintesis Versi
Siemens EDA Precision* Versi Siemens EDA Precision sing ndhukung piranti lunak Intel Quartus Prime biasane dirilis sawise piranti lunak Intel Quartus Prime diluncurake. Hubungi Siemens EDA kanggo versi Siemens EDA Precision sing ndhukung Intel Quartus Prime Standard Edition Software Release Version 22.1std.
Synopsys* Synplify*, Synplify Pro*, lan Synplify Premier Synopsys Synplify, Synplify Pro, lan Synplify versi Premier sing ndhukung piranti lunak Intel Quartus Prime biasane dirilis sawise dirilis piranti lunak Intel Quartus Prime. Hubungi Synopsys kanggo versi Synopsys Synplify, Synplify Pro, lan Synplify Premier sing ndhukung Intel Quartus Prime Standard Edition Software Release Version 22.1std.

Tabel 10.
Piranti Simulasi Ndhukung Intel Quartus Prime Standard Edition Software Rilis Versi 22.1std
Piranti simulasi ing ngisor iki nyedhiyakake simulasi tingkat gerbang RTL lan fungsional. Mung alat simulasi 64-bit sing didhukung.

Piranti Simulasi Versi
Aldec Active-HDL 13.0 (mung Windows)
Aldec Riviera-PRO 2019.1
Irama Xcelium * Simulasi Logika Paralel 21.09.003 (Linux * mung)
Questa-Intel FPGA Edition 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Advanced Simulator 2020.4
Synopsys VCS* lan VCS MX P-2019.06-SP2-5 (mung Linux)

Questa-Intel FPGA Edition mbutuhake FlexLM lisènsi daemon versi 11.16.4.0 (utawa mengko). Sampeyan bisa entuk daemon lisensi saka Daemon Lisensi FlexLM kanggo Piranti Lunak Intel FPGA web kaca.
Sampeyan bisa entuk alat simulasi Intel FPGA Edition saka Pusat Download kanggo FPGA.
Dhukungan Sistem Operasi kanggo Questa-Intel FPGA Edition Versi 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

Informasi sing gegandhengan

  • Piranti Lunak Desain Edisi Standar Intel Quartus Prime kanggo Linux
  • Piranti Lunak Desain Intel Quartus Prime Standard Edition kanggo Windows
  • Piranti Lunak Desain Intel Quartus Prime Lite Edition kanggo Linux
  • Piranti Lunak Desain Intel Quartus Prime Lite Edition kanggo Windows

1.9. Verifikasi Antivirus
Piranti lunak Intel Quartus Prime wis diverifikasi bebas virus kanthi piranti lunak ing ngisor iki:
Piranti Lunak Verifikasi Antivirus kanggo Intel Quartus Prime Standard Edition Versi 22.1std.1
McAfee VirusScan Command Line kanggo Linux64 Version: 7.0.0.477
Versi AV Engine: 6300.9389 kanggo Linux64.
Versi dat set: 10629 digawe 22 Feb 2023
Piranti Lunak Verifikasi Antivirus kanggo Intel Quartus Prime Standard Edition Versi 22.1std
McAfee VirusScan Command Line kanggo Linux64 Version: 7.0.0.477
Versi AV Engine: 6300.9389 kanggo Linux64.
Versi dat set: 10505 digawe 19 Okt 2022

1.10. Masalah Software Ditanggulangi
Ora ana panjalukan layanan pelanggan sing diatasi ing Intel Quartus Prime Standard Edition Version 22.1std.1.
Panjaluk layanan pelanggan ing ngisor iki didandani utawa dirampungake ing Intel Quartus Prime Standard Edition Version 22.1std:
Tabel 11.
Masalah Dirampungake ing Intel Quartus Prime Standard Edition Version 22.1std

Nomer Kasus Dhukungan Intel Premier
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. Patch Piranti Lunak Klebu ing Rilis iki
Intel Quartus Prime Standard Edition Version 22.1std.1 ngemot patch ing ngisor iki kanggo piranti lunak Intel Quartus Prime Standard Edition versi sadurungé:
Tabel 12.
Patch Piranti Lunak kalebu ing Versi Edisi Standar Intel Quartus Prime 22.1std.1

Versi Piranti Lunak Tambal Nomer Panjaluk Layanan Pelanggan
Intel Quartus Prime Versi 22.1 0.01std
Intel Quartus Prime Versi 21.1 0.14std 00741067

Intel Quartus Prime Standard Edition Version 22.1std ngemot patch ing ngisor iki kanggo piranti lunak Intel Quartus Prime Standard Edition versi sadurungé:
Tabel 13. Patch Software kalebu ing Intel Quartus Prime Standard Edition Version 22.1std

Versi Piranti Lunak Tambal Nomer Panjaluk Layanan Pelanggan
Intel Quartus Prime Versi 21.1 0.10std
Intel Quartus Prime Versi 21.1 0.08std 00693884
Intel Quartus Prime Versi 21.1 0.07std 00501636
Intel Quartus Prime Versi 21.1 0.06std 00689611
Intel Quartus Prime Versi 21.1 0.04stdp
Intel Quartus Prime Versi 21.1 0.03std
Intel Quartus Prime Versi 21.1 0.02std
Intel Quartus Prime Versi 20.1.1 1.09std 00702107
Intel Quartus Prime Versi 20.1 0.14std 00702107
Intel Quartus Prime Versi 18.1.1 1.13std
Intel Quartus Prime Versi 18.1.1 1.12std
Intel Quartus Prime Versi 18.1.1 1.09std
Intel Quartus Prime Versi 18.1 0.23std 00698210
Intel Quartus Prime Versi 18.1 0.21std 00669646
Intel Quartus Prime Versi 18.1 0.20std 00689611

1.12. Masalah Piranti Lunak Intel Quartus Prime sing Dikenal Paling Anyar
Informasi babagan masalah dikenal sing mengaruhi Intel Quartus Prime Standard Edition Version 22.1std kasedhiya ing Intel FPGA Knowledge Base.
Kanggo informasi paling anyar babagan masalah sing mengaruhi Intel Quartus Prime Standard Edition Version 22.1std, review artikel Intel FPGA Knowledge Base sing ditrapake kanggo Intel Quartus Prime Standard Edition Version 22.1std.
Tabel 14.
Masalah Dikenal Penting sing mengaruhi Intel Quartus Prime Standard Edition Version 22.1std

Katrangan Solusi
Ing sistem Microsoft* Windows, SDI II Intel FPGA IP design exampgenerasi gagal kanthi pesen kesalahan ing ngisor iki:
Error: Gagal ngasilake exampdesain example_design kanggo:: \sdi_ii_0_example_design
Kanggo rincian lan kasedhiyan fix, waca Apa desain SDI II Intel FPGA IP example generasi gagal nalika nggunakake Intel Quartus Prime Software kanggo Windows? ing Intel FPGA Knowledge Base.
Ing sistem Microsoft Windows, kesalahan ing ngisor iki kedadeyan nalika ngasilake Intel Arria 10 EMIF ExampDesain kanggo simulasi:
Error: emif_0: Ana kesalahan nalika nggawe simulasi exampngrancang. Waca make_sim_design_errors.log kanggo rincian.
Error: Gagal ngasilake exampngrancang kanggo:ampdirektori desain> Generate Example Design: rampung karo kasalahan
Sampeyan bisa nglirwakake pesen peringatan iki kanthi aman. Simulasi file set kanggo piranti lunak simulasi Siemens EDA Questa lan Aldec Riviera-PRO digawe lan ngemot desain sing cocog files kanggo mbukak simulasi kasil. Kanggo rincian liyane lan kasedhiyan fix, waca Apa Intel Arria 10 EMIF Example Desain Generasi Gagal nalika nggunakake Intel Quartus Prime Standard Edition Software Version 22.1 kanggo Windows? ing Intel FPGA Knowledge Base.
Nalika sampeyan nggunakake mode Intel Arria 10 EMIF IP Skip Calibration, simulasi Intel Arria 10 EMIF IP karo piranti lunak simulasi Siemens EDA Questa (Siemens EDA Questa
Advanced Simulator utawa Questa-Intel FPGA Edition) bisa digantung.
Gunakake PHY Abstrak kanggo opsi simulasi simulasi cepet kanggo nyegah hang.
Kanggo rincian liyane lan kasedhiyan fix, waca Napa Simulasi Intel Arria 10 EMIF IP ing simulator Mentor macet nalika nggunakake Intel Quartus Prime Standard Edition Software versi 22.1 ing Intel FPGA Knowledge Base.

Sampeyan bisa nemokake informasi masalah dikenal kanggo versi sadurungé saka piranti lunak Quartus Prime ing Intel FPGA Knowledge Base web kaca.
Informasi babagan masalah piranti lunak sing dikenal sing mengaruhi versi piranti lunak Quartus II sadurunge kasedhiya ing Dhukungan Piranti Lunak Intel Quartus Prime lan Quartus II. web kaca.
Informasi babagan masalah sing mengaruhi Intel FPGA IP Library kasedhiya ing cathetan release kanggo saben IP. Sampeyan bisa nemokake cathetan release IP ing Intel FPGA Documentation Index web kaca.
Informasi sing gegandhengan

  • Pangkalan Pengetahuan Intel FPGA
  • Dhukungan Piranti Lunak Intel Quartus Prime lan Quartus II
  • Cathetan Rilis Intel FPGA lan Piranti sing Bisa Diprogram

1.13. Piranti Lunak lan Piranti Edisi Standar Intel Quartus Prime Dhukungan Rilis Cathetan Arsip
Kanggo cathetan rilis versi paling anyar lan sadurunge, deleng Cathetan Rilis Dhukungan Piranti lan Piranti Lunak Edisi Standar Intel Quartus Prime. Yen versi piranti lunak ora kadhaptar, cathetan release kanggo versi piranti lunak sadurunge ditrapake.
1.14. Rilis Piranti Lunak Edisi Standar Intel Quartus Prime Versi 22.1std Riwayat Revisi Dokumen

Versi Dokumen Versi Intel Quartus Prime Owah-owahan
2023.03.21 22.1std.1 • Dianyari kanggo Versi 22.1std.1
• Nomer versi sing didandani kanggo Versi 22.1std.
2022.11.07 22.1std • Dianyari Masalah Piranti Lunak Dikenal Paling Anyar.
2022.10.31 22.1std • Rilis dhisikan.

Intel Quartus Prime Standard Edition: Cathetan Rilis Piranti Lunak lan Dhukungan Piranti Versi 22.1std

intel 25G Ethernet Intel FPGA IP - Simbol 1 Versi Online
intel 25G Ethernet Intel FPGA IP - Simbol 2 Kirimi Umpan Balik
Nomer Kode : 683593
RN-01080-22.1std
Versi: 2023.03.21

Dokumen / Sumber Daya

Intel Quartus Prime Standard Edition [pdf] Pandhuan pangguna
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

Referensi

Ninggalake komentar

Alamat email sampeyan ora bakal diterbitake. Kolom sing dibutuhake ditandhani *