logo intelEdizzjoni Standard Intel® Quartus® Prime
Verżjoni 22.1std Noti tar-Rilaxx tas-Software u l-Appoġġ tat-Tagħmir
Aġġornat għal Intel® Quartus® Prime Design Suite: 22.1std.1
Gwida għall-Utent

Intel® Quartus® Prime Standard Edition Verżjoni 22.1std Noti ta' Rilaxx ta' Software u Appoġġ għall-Apparat

Dan id-dokument jipprovdi informazzjoni ta' aktar tard dwar l-Intel® Quartus® Prime Standard Edition Verżjoni 22.1std u 22.1std.1.
Għal informazzjoni addizzjonali dwar dan ir-rilaxx tas-softwer, irreferi għall-Intel Quartus Prime Standard Edition README file fil-post li ġej: /quartus/readme.txt
Għal informazzjoni dwar l-appoġġ tas-sistema operattiva, irreferi għal dan li ġej web paġna: Intel FPGA Operating System Support.

Informazzjoni Relatata

  • Noti ta' Rilaxx ta' Software u Appoġġ ta' Apparat ta' Intel Quartus Prime Pro Edition
  • Software tad-disinn Intel Quartus Prime Standard Edition għal Linux
  • Software tad-disinn Intel Quartus Prime Standard Edition għall-Windows
  • Software tad-Disinn Intel Quartus Prime Lite Edition għal Linux
  • Software tad-Disinn Intel Quartus Prime Lite Edition għall-Windows
  • Installazzjoni u Liċenzjar tas-Software Intel FPGA

1.1. Karatteristiċi ġodda u Titjib
Intel Quartus Prime Standard Edition Verżjoni tas-Software 22.1std u Verżjoni 22.1std.1 jinkludu aġġornamenti funzjonali u tas-sigurtà. Żomm is-softwer tiegħek aġġornat u segwi l- rakkomandazzjonijiet tekniċi li jgħinu biex itejbu s-sigurtà tal-installazzjoni Intel Quartus Prime tiegħek.
Intel Quartus Prime Standard Edition Verżjoni tas-Software 22.1std tinkludi l-karatteristiċi u t-titjib ġodda li ġejjin:

  • Appoġġ miżjud għall-proċessur Nios® V/m.
  • Għal apparati Intel MAX® 10, miżjud appoġġ LVDS 1.8V.

Bug Fixs
Intel Quartus Prime Standard Edition Verżjoni tas-Software 22.1std u Verżjoni 22.1std.1 jinkludu wkoll bug fixes. Riview Kwistjonijiet tas-Software Riżolti f'paġna 13 u Garża tas-Softwer Inklużi f'dan ir-Rilaxx f'paġna 13 biex tara jekk din il-verżjoni fihax soluzzjonijiet għal jew inkella ssolvix xi talbiet tiegħek għas-servizz tal-klijent (Appoġġ Intel Premier).

1.2. Bidliet fl-Imġieba tas-Software
Din it-taqsima tiddokumenta każijiet li fihom l-imġiba u s-settings awtomatiċi tas-softwer Intel Quartus Prime Standard Edition ġew mibdula minn rilaxxi preċedenti tas-softwer Intel Quartus Prime Standard Edition.
Irreferi għas-Settings Default tal-Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, għal lista tas-settings kollha tal-assenjazzjoni default għall-aħħar verżjoni tas-softwer Intel Quartus Prime.

1.2.1. Karatteristiċi u Funzjonijiet deprecati
Il-funzjonijiet u l-karatteristiċi elenkati f'din it-taqsima ġew deprecati iżda ma tneħħewx mill-Intel Quartus Prime Standard Edition Verżjoni 22.1std.1 jew preċedenti.
Emigra l-għodod u l-proċessi tiegħek biex tuża l-karatteristiċi u l-funzjonijiet sostituti jew alternattivi qabel ma jitneħħew il-karatteristiċi u l-funzjonijiet deprecati.
Karatteristiċi u Funzjonijiet Deprecati minn Intel Quartus Prime Standard Edizzjoni Verżjoni 22.1std.1
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma ġiet deprecata fl-Intel Quartus Prime Standard Edition Verżjoni 22.1.1.
Karatteristiċi u Funzjonijiet Deprecati minn Intel Quartus Prime Standard Edizzjoni Verżjoni 22.1std
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma ġiet deprecata fl-Intel Quartus Prime Standard Edition Verżjoni 22.1.
Karatteristiċi u Funzjonijiet Deprecati minn Intel Quartus Prime Standard Edition Verżjoni 21.1.1
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma ġiet deprecata fl-Intel Quartus Prime Standard Edition Verżjoni 21.1.1.
Karatteristiċi u Funzjonijiet Deprecati minn Intel Quartus Prime Standard Edizzjoni Verżjoni 21.1
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma ġiet deprecata fl-Intel Quartus Prime Standard Edition Verżjoni 21.1.
Karatteristiċi u Funzjonijiet Deprecati minn Intel Quartus Prime Standard Edizzjoni Verżjoni 20.1
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma ġiet deprecata fl-Intel Quartus Prime Standard Edition Verżjoni 20.1.

1.2.2. Karatteristiċi u Funzjonijiet imneħħija
Il-funzjonijiet u l-karatteristiċi elenkati f'din it-taqsima tneħħew mill-Intel Quartus Prime Standard Edition Verżjoni 22.1std.1 jew qabel.
Karatteristiċi u Funzjonijiet Imneħħija mill-Intel Quartus Prime Standard Edition Verżjoni 22.1std.1
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma tneħħiet mill-Intel Quartus Prime Standard Edition Verżjoni 22.1.1.
Karatteristiċi u Funzjonijiet Imneħħija mill-Intel Quartus Prime Standard Edition Verżjoni 22.1std
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma tneħħiet mill-Intel Quartus Prime Standard Edition Verżjoni 22.1.
Karatteristiċi u Funzjonijiet Imneħħija mill-Intel Quartus Prime Standard Edition Verżjoni 21.1.1
L-ebda karatteristika jew funzjoni tal-Intel Quartus Prime ma tneħħiet mill-Intel Quartus Prime Standard Edition Verżjoni 21.1.1.
Karatteristiċi u Funzjonijiet Imneħħija mill-Intel Quartus Prime Standard Edition Verżjoni 21.1

  • Imneħħija ModelSim*-Intel FPGA Edition u ModelSim-Intel FPGA Starter Edition
    Dan is-software ta' simulazzjoni ġie sostitwit b'Questa*-Intel FPGA Edition u Questa-Intel FPGA Starter Edition rispettivament.
  • Tneħħa l-appoġġ għal softwer ta 'simulazzjoni ta' 32 bit.
    Din il-bidla tneħħi l-appoġġ għall-għodod ta' simulazzjoni li ġejjin:
    — Aldec* Active-HDL* (32-bit)
    Uża verżjoni 64-bit ta 'Aldec Active-HDL jew uża Aldec Riviera-PRO* minflok.
    — Mentor Graphics* ModelSim PE
    Uża Siemens* EDA ModelSim SE jew Siemens EDA Questa Advanced Simulator minflok.
  • Tneħħa l-appoġġ NicheStack TCP/IP Stack.
  • Tneħħa l-appoġġ għal Cadence* Incisive* Enterprise Simulator (IES).

Karatteristiċi u Funzjonijiet Imneħħija mill-Intel Quartus Prime Standard Edition Verżjoni 20.1
L-appoġġ għas-softwer li ġej tneħħa minn Intel Quartus Prime Standard Edition Verżjoni 20.1 u aktar tard:

  • DSP Builder għal Intel FPGAs
  • Intel FPGA SDK għal OpenCL™ (*)
  • Intel FPGA RTE għal OpenCL
  • Kompilatur Intel High-Level Synthesis (HLS).

(*) OpenCL u l-logo OpenCL huma trademarks ta’ Apple Inc. użati bil-permess tal-Khronos Group™

1.3. Appoġġ tas-Sistema Operattiva
Informazzjoni dwar l-appoġġ tas-sistema operattiva għall-Intel Quartus Prime Design Suite hija disponibbli fuq il-paġna tal-Appoġġ tas-Sistema Operattiva tal-Intel FPGA websit.
Tibdil fl-Appoġġ tas-Sistema Operattiva fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std.1
M'hemm l-ebda tibdil fl-appoġġ tas-sistema operattiva fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std.1.
Tibdil fl-Appoġġ tas-Sistema Operattiva fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std
L-appoġġ għas-sistemi operattivi li ġejjin huwa deprecato mill-Intel Quartus Prime Standard Edition Verżjoni 22.1:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 Verżjoni 1607

Emigra l-installazzjoni tal-Windows 10 tiegħek għal Windows 10 Verżjoni 1809 jew aktar tard.
L-appoġġ għal dawn is-sistemi operattivi jista' jitneħħa f'rilaxx futur.
Intel Quartus Prime Standard Edition Verżjoni 22.1 neħħiet l-appoġġ għas-sistemi operattivi li ġejjin:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

Tibdil fl-Appoġġ tas-Sistema Operattiva fl-Intel Quartus Prime Standard Edition Verżjoni 21.1.1
M'hemm l-ebda tibdil fl-appoġġ tas-sistema operattiva fl-Intel Quartus Prime Standard Edition Verżjoni 21.1.1.
Tibdil fl-Appoġġ tas-Sistema Operattiva fl-Intel Quartus Prime Standard Edition Verżjoni 21.1
Intel Quartus Prime Standard Edition Verżjoni 21.1 żiedet appoġġ għas-sistemi operattivi li ġejjin:

  1. CentOS Linux 8.2 jibqa' appoġġjat minn Intel Quartus Prime Standard Edition Verżjoni 22.1
  2. Red Hat* Enterprise Linux 8.2 jibqa' appoġġjat minn Intel Quartus Prime Standard Edition Verżjoni 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

L-appoġġ għas-sistemi operattivi li ġejjin huwa deprecato mill-Intel Quartus Prime Standard Edition Verżjoni 21.1. L-appoġġ għal dawn is-sistemi operattivi jista' jitneħħa f'rilaxx futur:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition Verżjoni 21.1 neħħiet l-appoġġ għas-sistemi operattivi li ġejjin:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Informazzjoni Relatata
Appoġġ tas-Sistema Operattiva

1.4. Rakkomandazzjonijiet dwar l-Ispazju tad-Disk u l-Memorja
Installazzjoni sħiħa tas-softwer Intel Quartus Prime Standard Edition teħtieġ sa 40 GB ta’ spazju disponibbli fuq id-diska.
Ikkonfigura s-sistema tiegħek biex tipprovdi memorja virtwali addizzjonali ugwali għar-RAM fiżika rakkomandata li hija meħtieġa biex tipproċessa d-disinn tiegħek. Din il-memorja virtwali addizzjonali tirdoppja b'mod effettiv il-memorja effettiva totali disponibbli biex tipproċessa d-disinn tiegħek.
Nota:
L-ogħla memorja virtwali tista' taqbeż dawn ir-rakkomandazzjonijiet. Dawn ir-rakkomandazzjonijiet huma bbażati fuq l-ammont ta 'memorja fiżika meħtieġa biex jinkiseb runtime fi ħdan 10% ta' dak miksub fuq hardware b'ammont infinit ta 'RAM.

Tabella 1.
Rekwiżiti tal-Memorja għall-Ipproċessar tad-Disinni Arria®
Dawn ir-rekwiżiti huma l-istess kemm għall-installazzjonijiet Windows u Linux.

Familja Apparat RAM Fiżika Rakkomandata
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Tabella 2.
Rekwiżiti tal-Memorja għall-Ipproċessar tad-Disinni ta' Cyclone®
Dawn ir-rekwiżiti huma l-istess kemm għall-installazzjonijiet Windows u Linux.

Familja Apparat RAM Fiżika Rakkomandata
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Iċ-ċiklun V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 GB
Ċiklun IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Iċ-ċiklun IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Tabella 3.
Rekwiżiti tal-Memorja għall-Ipproċessar tad-Disinni MAX
Dawn ir-rekwiżiti huma l-istess kemm għall-installazzjonijiet Windows u Linux.

Familja Apparat RAM Fiżika Rakkomandata
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAX V Kollha 512 MB
MAX II Kollha 512 MB

Tabella 4.
Rekwiżiti tal-Memorja għall-Ipproċessar tad-Disinni Stratix®
Dawn ir-rekwiżiti huma l-istess kemm għall-installazzjonijiet Windows u Linux.

Familja Apparat RAM Fiżika Rakkomandata
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Appoġġ tal-Appoġġ u Status tal-Pin-Out
L-apparati kollha tal-produzzjoni bħalissa għandhom kumpilazzjoni sħiħa, simulazzjoni, analiżi tal-ħin, u appoġġ għall-ipprogrammar.
1.5.1. Bidliet fl-Appoġġ tal-Apparat
1.6. Mudell tal-Ħin, Mudell tal-Enerġija, u Status tal-Apparat
Tabella 5.
Mudell taż-żmien, Mudell tal-Enerġija, u Status tal-Apparat għall-Apparat Intel Arria 10

Familja tal-Apparat Apparat Status tal-Mudell taż-Żmien Status tal-Mudell tal-Enerġija Status tal-Apparat
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 Finali – 16.1 (3)(4) Finali – 17.0 Finali – 17.0
10AX048, 10AS048 Finali – 16.0.2 (4) Finali – 17.0 Finali – 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Finali – 16.0.1 (4) Finali – 16.0.1 Finali – 16.0.1
10AX115, 10AT115 Finali – 16.0 (4) Finali – 16.0 Finali – 16.0

(3) L-apparati bi grad ta' veloċità -1 ġew iffinalizzati fil-verżjoni tas-softwer Intel Quartus Prime 17.0
(4) L-apparati kollha tal-grad militari ġew iffinalizzati fil-verżjoni tas-softwer Intel Quartus Prime 18.0.1.

Tabella 6.
Mudell taż-żmien, Mudell tal-Enerġija, u Status tal-Apparat għall-Apparat Intel Cyclone 10

Familja tal-Apparat Apparat Status tal-Mudell taż-Żmien Status tal-Mudell tal-Enerġija Status tal-Apparat
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 Finali – 17.0 Finali – 17.1 Finali – 17.1

Tabella 7.
Mudell tal-Ħin, Mudell tal-Enerġija, u Status tal-Apparat għall-Apparat Intel MAX 10

Familja tal-Apparat Apparat Status tal-Mudell taż-Żmien Status tal-Mudell tal-Enerġija Status tal-Apparat
Intel MAX 10 10M02, 10M04, 10M08 Finali – 15.1 (5) Finali – 15.1 Finali – 15.1
10M16, 10M25, 10M40, 10M50 Finali – 15.1.2 Finali – 15.1 Finali – 15.1

Il-verżjoni attwali tas-softwer Intel Quartus Prime tinkludi wkoll mudelli finali ta' ħin u qawwa għall-Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V Familji ta' tagħmir SoC, MAX II, MAX II Z, MAX V, Stratix IV, u Stratix V. Mudelli ta 'żmien għal dawn il-familji ta' apparati saru finali fil-verżjonijiet tas-softwer Intel Quartus Prime 11.1 jew preċedenti.

1.7. Mudelli IBIS
Tabella 8. Status tal-Mudell IBIS għas-Software Intel Quartus Prime Standard Edition Verżjoni Rilaxx 22.1std
Mill-bidu tal-verżjoni tas-software Intel Quartus Prime Standard Edition 16.0, il-familji tat-tagħmir għandhom status tal-mudell IBIS li huma jew Advance, Preliminari jew Finali.

Familja tal-Apparat Status tal-Mudell IBIS
Intel Arria 10 Finali – 16.1.2
Arria V Korrelata mat-tħaddim tal-apparat PHY – 14.0
Arria II GX Korrelata mat-tħaddim tal-apparat PHY – 11.1
Arria II GZ Korrelata mat-tħaddim tal-apparat PHY – 11.1
Intel Cyclone 10 LP Finali – 17.0
Iċ-ċiklun V Korrelata mat-tħaddim tal-apparat PHY – 14.0
Iċ-ċiklun IV E Korrelata mat-tħaddim tal-apparat PHY – 11.1
Ċiklun IV GX Korrelata mat-tħaddim tal-apparat PHY – 11.1
Intel MAX 10 Finali – 16.0
MAX V Korrelata mat-tħaddim tal-apparat PHY – 11.1
Stratix V Korrelata mat-tħaddim tal-apparat PHY – 13.0 SP1
Stratix IV Korrelata mat-tħaddim tal-apparat PHY – 11.1

Mudelli IBIS aġġornati huma disponibbli onlajn fuq il-Mudelli IBIS għall-Apparat FPGA Intel web paġna. Din il-paġna tiġi aġġornata hekk kif mudelli IBIS għall-apparati jsiru disponibbli jew jiġu aġġornati.
(5) L-istatus tal-mudell tal-ħin għall-partijiet tal-grad tal-veloċità MAX 10 A6 jibqgħu bħala Preliminari.
1.8. Informazzjoni dwar l-Interface EDA
Tabella 9.
Għodod ta' Sinteżi li Jappoġġaw il-Verżjoni ta' Rilaxx tas-Software Intel Quartus Prime Standard Edition 22.1std

Għodda ta' Sinteżi Verżjoni
Siemens EDA Precision* Verżjonijiet Siemens EDA Precision li jappoġġjaw is-softwer Intel Quartus Prime huma tipikament rilaxxati wara r-rilaxx tas-softwer Intel Quartus Prime. Ikkuntattja lil Siemens EDA għal verżjonijiet ta' Siemens EDA Precision li jappoġġjaw il-Verżjoni ta' Rilaxx tas-Software Intel Quartus Prime Standard Edition 22.1std.
Synopsys* Synplify*, Synplify Pro*, u Synplify Premier Synopsys Synplify, Synplify Pro, u Synplify Premier verżjonijiet li jappoġġjaw is-softwer Intel Quartus Prime huma tipikament rilaxxati wara r-rilaxx tas-softwer Intel Quartus Prime. Ikkuntattja lil Synopsys għal verżjonijiet ta' Synplify, Synplify Pro u Synplify Premier li jappoġġjaw il-Verżjoni ta' Rilaxx tas-Software Intel Quartus Prime Standard Edition 22.1std.

Tabella 10.
Għodod ta' Simulazzjoni li Jappoġġaw l-Intel Quartus Prime Standard Edition Verżjoni tar-Rilaxx tas-Software 22.1std
L-għodod ta 'simulazzjoni li ġejjin jipprovdu RTL u simulazzjoni funzjonali fil-livell tal-bieb. Għodod ta' simulazzjoni ta' 64 bit biss huma appoġġjati.

Għodda ta' Simulazzjoni Verżjoni
Aldec Active-HDL 13.0 (Windows biss)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Simulazzjoni Loġika Parallel 21.09.003 (Linux* biss)
Edizzjoni Questa-Intel FPGA 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Simulatur Avvanzat 2020.4
Synopsys VCS* u VCS MX P-2019.06-SP2-5 (Linux biss)

Questa-Intel FPGA Edition teħtieġ FlexLM liċenzjar daemon verżjoni 11.16.4.0 (jew aktar tard). Tista' tikseb id-daemon tal-liċenzjar mid-Demons tal-Liċenzja FlexLM għal Intel FPGA Software web paġna.
Tista 'tikseb Intel FPGA Edition ta' għodod ta 'simulazzjoni miċ-Ċentru tat-Tniżżil għall-FPGAs.
Appoġġ tas-Sistema Operattiva għal Questa-Intel FPGA Edition Verżjoni 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

Informazzjoni Relatata

  • Software tad-disinn Intel Quartus Prime Standard Edition għal Linux
  • Software tad-disinn Intel Quartus Prime Standard Edition għall-Windows
  • Software tad-Disinn Intel Quartus Prime Lite Edition għal Linux
  • Software tad-Disinn Intel Quartus Prime Lite Edition għall-Windows

1.9. Verifika tal-antivirus
Is-softwer Intel Quartus Prime ġie vverifikat mingħajr virus bis-softwer li ġej:
Software ta' Verifika Antivirus għal Edizzjoni Standard Intel Quartus Prime Verżjoni 22.1std.1
McAfee VirusScan Command Line għal Linux64 Verżjoni: 7.0.0.477
Verżjoni tal-magna AV: 6300.9389 għal Linux64.
Verżjoni tas-sett tad-data: 10629 maħluqa fit-22 ta' Frar 2023
Software ta' Verifika Antivirus għal Edizzjoni Standard Intel Quartus Prime Verżjoni 22.1std
McAfee VirusScan Command Line għal Linux64 Verżjoni: 7.0.0.477
Verżjoni tal-magna AV: 6300.9389 għal Linux64.
Verżjoni tas-sett tad-data: 10505 maħluqa fid-19 ta' Ottubru 2022

1.10. Kwistjonijiet ta' Software Solvuti
L-ebda talba għas-servizzi tal-konsumatur ma ġiet iffissata jew solvuta mod ieħor fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std.1.
It-talbiet li ġejjin għas-servizz tal-konsumatur ġew iffissati jew solvuti mod ieħor fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std:
Tabella 11.
Kwistjonijiet Riżolti fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std

Numri tal-Każijiet ta' Appoġġ tal-Intel Premier
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. Irqajja tas-Softwer Inklużi f'dan ir-Rilaxx
Intel Quartus Prime Standard Edition Verżjoni 22.1std.1 fiha l-irqajja' li ġejjin għall-verżjonijiet preċedenti tas-softwer Intel Quartus Prime Standard Edition:
Tabella 12.
Irqajja tas-softwer inklużi fil-Verżjoni tal-Edizzjoni Standard tal-Intel Quartus Prime 22.1std.1

softwer Verżjoni Garża Numru tat-Talba għas-Servizz tal-Klijent
Intel Quartus Prime Verżjoni 22.1 0.01std
Intel Quartus Prime Verżjoni 21.1 0.14std 00741067

Intel Quartus Prime Standard Edition Verżjoni 22.1std fiha l-irqajja' li ġejjin għall-verżjonijiet preċedenti tas-softwer Intel Quartus Prime Standard Edition:
Tabella 13. Irqajja tas-softwer inklużi fl-Intel Quartus Prime Standard Edition Verżjoni 22.1std

softwer Verżjoni Garża Numru tat-Talba għas-Servizz tal-Klijent
Intel Quartus Prime Verżjoni 21.1 0.10std
Intel Quartus Prime Verżjoni 21.1 0.08std 00693884
Intel Quartus Prime Verżjoni 21.1 0.07std 00501636
Intel Quartus Prime Verżjoni 21.1 0.06std 00689611
Intel Quartus Prime Verżjoni 21.1 0.04stdp
Intel Quartus Prime Verżjoni 21.1 0.03std
Intel Quartus Prime Verżjoni 21.1 0.02std
Intel Quartus Prime Verżjoni 20.1.1 1.09std 00702107
Intel Quartus Prime Verżjoni 20.1 0.14std 00702107
Intel Quartus Prime Verżjoni 18.1.1 1.13std
Intel Quartus Prime Verżjoni 18.1.1 1.12std
Intel Quartus Prime Verżjoni 18.1.1 1.09std
Intel Quartus Prime Verżjoni 18.1 0.23std 00698210
Intel Quartus Prime Verżjoni 18.1 0.21std 00669646
Intel Quartus Prime Verżjoni 18.1 0.20std 00689611

1.12. L-aħħar Kwistjonijiet Magħrufa dwar is-Software Intel Quartus Prime
Informazzjoni dwar kwistjonijiet magħrufa li jaffettwaw l-Intel Quartus Prime Standard Edition Verżjoni 22.1std hija disponibbli fl-Intel FPGA Knowledge Base.
Għall-aħħar informazzjoni dwar kwistjonijiet li jaffettwaw Intel Quartus Prime Standard Edition Verżjoni 22.1std, review l-artikoli tal-Intel FPGA Knowledge Base li japplikaw għall-Intel Quartus Prime Standard Edition Verżjoni 22.1std.
Tabella 14.
Kwistjonijiet Magħrufa Importanti li Jaffettwaw Intel Quartus Prime Standard Edition Verżjoni 22.1std

Deskrizzjoni Soluzzjoni
Fuq sistemi Microsoft* Windows, SDI II Intel FPGA IP disinn exampIl-ġenerazzjoni tal-le tfalli bil-messaġġ ta 'żball li ġej:
Żball: Naqas milli jiġġenera example disinn example_design to:: \sdi_ii_0_example_design
Għad-dettalji u d-disponibbiltà ta’ soluzzjoni, irreferi għal Għaliex id-disinn SDI II Intel FPGA IP exampil-ġenerazzjoni tonqos meta tuża l-Intel Quartus Prime Software għall-Windows? fl-Intel FPGA Knowledge Base.
Fuq sistemi Microsoft Windows, l-iżball li ġej iseħħ meta tiġġenera Intel Arria 10 EMIF Example Disinn għas-simulazzjoni:
Żball: emif_0: Sar żball meta tiġi ġġenerata s-simulazzjoni example disinn. Ara make_sim_design_errors.log għad-dettalji.
Żball: Naqas milli jiġġenera example disinn lil:ample direttorju tad-disinn> Iġġenera Example Disinn: komplut bi żbalji
Tista 'tinjora dawn il-messaġġi ta' twissija b'mod sikur. Simulazzjoni file settijiet għas-softwer ta' simulazzjoni Siemens EDA Questa u Aldec Riviera-PRO huma ġġenerati u fihom id-disinn rilevanti files biex tmexxi s-simulazzjoni b'suċċess. Għal aktar dettalji u d-disponibbiltà ta’ soluzzjoni, irreferi għal Għaliex l-Intel Arria 10 EMIF Example Design Generation Fail meta tuża l-Intel Quartus Prime Standard Edition Software Verżjoni 22.1 għall-Windows? fl-Intel FPGA Knowledge Base.
Meta tuża l-mod ta' Kalibrazzjoni ta' Intel Arria 10 EMIF IP Skip, simulazzjoni ta' Intel Arria 10 EMIF IP bis-softwer ta' simulazzjoni Siemens EDA Questa (Siemens EDA Questa
Advanced Simulator jew Questa-Intel FPGA Edition) jistgħu hang.
Uża l-Astratt PHY għall-għażla ta 'simulazzjoni ta' simulazzjoni mgħaġġla biex tevita l-hang.
Għal aktar dettalji u d-disponibbiltà ta’ soluzzjoni, irreferi għal Għaliex is-Simulazzjoni ta' Intel Arria 10 EMIF IP fis-simulaturi ta' Mentor hang meta tuża l-verżjoni tas-software Intel Quartus Prime Standard Edition 22.1 fl-Intel FPGA Knowledge Base.

Tista' ssib informazzjoni magħrufa dwar il-ħruġ għal verżjonijiet preċedenti tas-softwer Quartus Prime fuq l-Intel FPGA Knowledge Base web paġna.
Informazzjoni dwar kwistjonijiet ta' softwer magħrufa li jaffettwaw verżjonijiet preċedenti tas-softwer Quartus II hija disponibbli fuq l-Appoġġ tas-Software Intel Quartus Prime u Quartus II web paġna.
Informazzjoni dwar kwistjonijiet li jaffettwaw il-Librerija IP Intel FPGA hija disponibbli fin-noti tar-rilaxx għal kull IP. Tista 'ssib in-noti ta' rilaxx tal-IP fuq l-Indiċi tad-Dokumentazzjoni Intel FPGA web paġna.
Informazzjoni Relatata

  • Intel FPGA Knowledge Base
  • Appoġġ tas-Software Intel Quartus Prime u Quartus II
  • Noti ta' Rilaxx ta' Intel FPGAs u Apparat Programmabbli

1.13. Software u Apparat Intel Quartus Prime Standard Edition Arkivji ta' Noti ta' Ħruġ ta' Appoġġ
Għall-aħħar verżjonijiet u l-verżjonijiet preċedenti ta’ dawn in-noti ta’ rilaxx, irreferi għan-Noti ta’ Rilaxx tas-Software u l-Appoġġ għall-Appoġġ tal-Apparat tal-Intel Quartus Prime Standard Edition. Jekk verżjoni tas-software mhix elenkata, in-noti tar-rilaxx għall-verżjoni tas-softwer preċedenti japplikaw.
1.14. Rilaxx tas-Software Intel Quartus Prime Standard Edition Verżjoni 22.1std Storja tar-Reviżjoni tad-Dokument

Verżjoni tad-Dokument Verżjoni Intel Quartus Prime Bidliet
2023.03.21 22.1std.1 • Aġġornat għall-Verżjoni 22.1std.1
• Numru tal-verżjoni kkoreġut għall-Verżjoni 22.1std.
2022.11.07 22.1std • Aġġornata l-aħħar Kwistjonijiet tas-Software Magħrufa.
2022.10.31 22.1std • Rilaxx inizjali.

Edizzjoni Standard Intel Quartus Prime: Verżjoni 22.1std Noti ta' Rilaxx ta' Software u Appoġġ għall-Apparat

intel 25G Ethernet Intel FPGA IP - Simbolu 1 Verżjoni Online
intel 25G Ethernet Intel FPGA IP - Simbolu 2 Ibgħat Feedback
ID: 683593
RN-01080-22.1std
Verżjoni: 2023.03.21

Dokumenti / Riżorsi

intel Quartus Prime Standard Edition [pdfGwida għall-Utent
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

Referenzi

Ħalli kumment

L-indirizz elettroniku tiegħek mhux se jiġi ppubblikat. L-oqsma meħtieġa huma mmarkati *