Intel® Quartus® Prime Standard Edition
Version 22.1std Lojisyèl ak Aparèy Sipò Release Nòt
Mizajou pou Intel® Quartus® Prime Design Suite: 22.1std.1
Gid itilizatè
Intel® Quartus® Prime Standard Edition Version 22.1std Lojisyèl ak Sipò pou Aparèy Nòt Version
Dokiman sa a bay enfòmasyon an reta sou Intel® Quartus® Prime Standard Edition Version 22.1std ak 22.1std.1.
Pou plis enfòmasyon sou lage lojisyèl sa a, al gade nan README Intel Quartus Prime Standard Edition file nan kote sa a: /quartus/readme.txt
Pou jwenn enfòmasyon sou sipò sistèm opere, al gade sa ki annapre yo web paj: Intel FPGA Operating System Support.
Enfòmasyon ki gen rapò
- Nòt lage lojisyèl Intel Quartus Prime Pro edisyon ak sipò aparèy
- Intel Quartus Prime Standard Edition Design Software pou Linux
- Intel Quartus Prime Standard Edition Design Software pou Windows
- Intel Quartus Prime Lite Edition Design Software pou Linux
- Intel Quartus Prime Lite Edition Design Software pou Windows
- Enstalasyon lojisyèl Intel FPGA ak lisans
1.1. Nouvo Karakteristik ak Amelyorasyon
Intel Quartus Prime Standard Edition Software Version 22.1std ak Version 22.1std.1 gen ladan mizajou fonksyonèl ak sekirite. Kenbe lojisyèl ou ajou epi swiv rekòmandasyon teknik ki ede amelyore sekirite enstalasyon Intel Quartus Prime ou a.
Intel Quartus Prime Standard Edition Software Version 22.1std gen ladan nouvo karakteristik ak amelyorasyon sa yo:
- Te ajoute sipò pou processeur Nios® V/m.
- Pou aparèy Intel MAX® 10, ajoute sipò LVDS 1.8V.
Ranje ensèk
Intel Quartus Prime Standard Edition Software Version 22.1std ak Version 22.1std.1 gen ladan tou korije ensèk yo. Review Pwoblèm Lojisyèl yo Rezoud nan paj 13 ak Patch Lojisyèl ki enkli nan Lage sa a nan paj 13 pou wè si vèsyon sa a gen repare oswa otreman rezoud nenpòt nan demann sèvis kliyan ou a (Sipò Premier Intel).
1.2. Chanjman nan konpòtman lojisyèl
Seksyon sa a dokimante sikonstans kote konpòtman ak paramèt defo lojisyèl Intel Quartus Prime Standard Edition yo te chanje nan vèsyon pi bonè lojisyèl Intel Quartus Prime Standard Edition.
Gade nan Anviwònman Default Intel Quartus Prime yo File (.qdf), /quartus/bin/assignment_defaults.qdf, pou yon lis tout paramèt plasman yo pou dènye vèsyon lojisyèl Intel Quartus Prime la.
1.2.1. Karakteristik ak Fonksyon depreche
Fonksyon ak karakteristik ki nan lis nan seksyon sa a yo te obsolète men yo pa retire nan Intel Quartus Prime Standard Edition Version 22.1std.1 oswa pi bonè.
Migre zouti ak pwosesis ou yo pou sèvi ak ranplasman oswa lòt karakteristik ak fonksyon anvan yo retire karakteristik ak fonksyon demode yo.
Karakteristik ak Fonksyon Deprecate apati Intel Quartus Prime Standard Edisyon vèsyon 22.1std.1
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime ki te demode nan Intel Quartus Prime Standard Edition Version 22.1.1.
Karakteristik ak Fonksyon Deprecate apati Intel Quartus Prime Standard Edisyon vèsyon 22.1std
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime ki te demode nan Intel Quartus Prime Standard Edition Version 22.1.
Karakteristik ak Fonksyon Depreke apati Intel Quartus Prime Standard Edition Version 21.1.1
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime ki te demode nan Intel Quartus Prime Standard Edition Version 21.1.1.
Karakteristik ak Fonksyon Deprecate apati Intel Quartus Prime Standard Edisyon vèsyon 21.1
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime ki te demode nan Intel Quartus Prime Standard Edition Version 21.1.
Karakteristik ak Fonksyon Deprecate apati Intel Quartus Prime Standard Edisyon vèsyon 20.1
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime ki te demode nan Intel Quartus Prime Standard Edition Version 20.1.
1.2.2. Retire Karakteristik ak Fonksyon
Fonksyon ak karakteristik ki nan lis nan seksyon sa a yo te retire nan Intel Quartus Prime Standard Edition Version 22.1std.1 oswa pi bonè.
Karakteristik ak Fonksyon yo retire nan Intel Quartus Prime Standard Edition Vèsyon 22.1std.1
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime yo te retire nan Intel Quartus Prime Standard Edition Version 22.1.1.
Karakteristik ak Fonksyon yo retire nan Intel Quartus Prime Standard Edition Vèsyon 22.1std
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime yo te retire nan Intel Quartus Prime Standard Edition Version 22.1.
Karakteristik ak Fonksyon yo retire nan Intel Quartus Prime Standard Edition Vèsyon 21.1.1
Pa gen okenn karakteristik oswa fonksyon Intel Quartus Prime yo te retire nan Intel Quartus Prime Standard Edition Version 21.1.1.
Karakteristik ak Fonksyon yo retire nan Intel Quartus Prime Standard Edition Vèsyon 21.1
- Retire ModelSim*-Intel FPGA Edition ak ModelSim-Intel FPGA Starter Edition
Lojisyèl simulation sa a te ranplase pa Questa*-Intel FPGA Edition ak Questa-Intel FPGA Starter Edition respektivman. - Retire sipò pou lojisyèl simulation 32-bit.
Chanjman sa a retire sipò pou zouti simulation sa yo:
— Aldec* Aktif-HDL* (32-bit)
Sèvi ak yon vèsyon 64-bit nan Aldec Active-HDL oswa itilize Aldec Riviera-PRO* pito.
— Mentor Graphics* ModelSim PE
Sèvi ak Siemens* EDA ModelSim SE oswa Siemens EDA Questa Advanced Simulator pito. - Retire sipò NicheStack TCP/IP Stack.
- Retire sipò pou Cadence* Incisive* Enterprise Simulator (IES).
Karakteristik ak Fonksyon yo retire nan Intel Quartus Prime Standard Edition Vèsyon 20.1
Yo retire sipò pou lojisyèl sa yo nan Intel Quartus Prime Standard Edition Version 20.1 ak pita:
- DSP Builder pou Intel FPGAs
- Intel FPGA SDK pou OpenCL™ (*)
- Intel FPGA RTE pou OpenCL
- Intel High-Level Synthesis (HLS) Konpilatè
(*) OpenCL ak logo OpenCL yo se mak komèsyal Apple Inc. itilize avèk pèmisyon Khronos Group™
1.3. Sistèm operasyon sipò
Enfòmasyon sou sipò sistèm operasyon pou Intel Quartus Prime Design Suite la disponib sou paj Sipò sistèm operasyon Intel FPGA. websit.
Chanjman sipò nan sistèm operasyon Intel Quartus Prime Standard Edition Vèsyon 22.1std.1
Pa gen okenn chanjman sipò sistèm opere nan Intel Quartus Prime Standard Edition Version 22.1std.1.
Chanjman sipò nan sistèm operasyon Intel Quartus Prime Standard Edition Vèsyon 22.1std
Sipò pou sistèm operasyon sa yo demode apati Intel Quartus Prime Standard Edition Version 22.1:
- CentOS * Linux 8.2
- Windows Server* 2012
- Windows Server 2016
- Windows* 10 vèsyon 1607
Migre enstalasyon Windows 10 ou a nan Windows 10 Version 1809 oswa pita.
Sipò pou sistèm operasyon sa yo ta ka retire nan yon lage nan lavni.
Intel Quartus Prime Standard Edition Version 22.1 retire sipò pou sistèm operasyon sa yo:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Chanjman sipò nan sistèm operasyon Intel Quartus Prime Standard Edition Vèsyon 21.1.1
Pa gen okenn chanjman sipò sistèm opere nan Intel Quartus Prime Standard Edition Version 21.1.1.
Chanjman sipò nan sistèm operasyon Intel Quartus Prime Standard Edition Vèsyon 21.1
Intel Quartus Prime Standard Edition Version 21.1 te ajoute sipò pou sistèm operasyon sa yo:
- CentOS Linux 8.2 rete sipòte pa Intel Quartus Prime Standard Edition Version 22.1
- Red Hat* Enterprise Linux 8.2 rete sipòte pa Intel Quartus Prime Standard Edition Version 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
Sipò pou sistèm operasyon sa yo demode apati Intel Quartus Prime Standard Edition Version 21.1. Sipò pou sistèm operasyon sa yo ta ka retire nan yon lage nan lavni:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Version 21.1 retire sipò pou sistèm operasyon sa yo:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Enfòmasyon ki gen rapò
Sistèm operasyon sipò
1.4. Espas Disk ak Rekòmandasyon memwa
Yon enstalasyon konplè lojisyèl Intel Quartus Prime Standard Edition mande pou jiska 40 GB espas disk disponib.
Konfigure sistèm ou a pou bay plis memwa vityèl ki egal a RAM fizik rekòmande ki nesesè pou trete konsepsyon ou a. Memwa vityèl adisyonèl sa a efektivman double memwa efikas total ki disponib pou trete konsepsyon ou.
Nòt:
Pik memwa vityèl ka depase rekòmandasyon sa yo. Rekòmandasyon sa yo baze sou kantite memwa fizik ki nesesè pou reyalize ègzekutabl nan 10% nan sa yo reyalize sou pyès ki nan konpitè ak yon kantite enfini RAM.
Tablo 1.
Egzijans memwa pou trete desen Arria®
Kondisyon sa yo se menm bagay la tou pou enstalasyon Windows ak Linux.
Fanmi | Aparèy | RAM fizik rekòmande |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Tablo 2.
Kondisyon memwa pou Pwosesis Cyclone® Designs
Kondisyon sa yo se menm bagay la tou pou enstalasyon Windows ak Linux.
Fanmi | Aparèy | RAM fizik rekòmande |
Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Siklòn V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Siklòn IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Siklòn IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Tablo 3.
Kondisyon memwa pou pwosesis MAX Designs
Kondisyon sa yo se menm bagay la tou pou enstalasyon Windows ak Linux.
Fanmi | Aparèy | RAM fizik rekòmande |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Tout | 512 MB |
MAX II | Tout | 512 MB |
Tablo 4.
Kondisyon memwa pou trete Stratix®Designs
Kondisyon sa yo se menm bagay la tou pou enstalasyon Windows ak Linux.
Fanmi | Aparèy | RAM fizik rekòmande |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Sipò Aparèy ak Estati Pin-Out
Tout aparèy pwodiksyon kounye a gen konpilasyon konplè, simulation, analiz distribisyon, ak sipò pwogramasyon.
1.5.1. Chanjman nan sipò aparèy
1.6. Modèl Distribisyon, Modèl pouvwa, ak Estati Aparèy
Tablo 5.
Modèl distribisyon, Modèl pouvwa, ak Estati Aparèy pou Aparèy Intel Arria 10
Fanmi Aparèy | Aparèy | Estati Modèl Distribisyon | Estati Modèl pouvwa | Estati Aparèy |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Final – 16.1 (3)(4) | Final – 17.0 | Final – 17.0 |
10AX048, 10AS048 | Final – 16.0.2 (4) | Final – 17.0 | Final – 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Final – 16.0.1 (4) | Final – 16.0.1 | Final – 16.0.1 | |
10AX115, 10AT115 | Final – 16.0 (4) | Final – 16.0 | Final – 16.0 |
(3) Aparèy ki gen yon nivo vitès -1 yo te finalize nan vèsyon lojisyèl Intel Quartus Prime 17.0
(4) Tout aparèy klas militè yo te finalize nan vèsyon lojisyèl Intel Quartus Prime 18.0.1.
Tablo 6.
Modèl distribisyon, modèl pouvwa, ak estati aparèy pou aparèy Intel Cyclone 10
Fanmi Aparèy | Aparèy | Estati Modèl Distribisyon | Estati Modèl pouvwa | Estati Aparèy |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Final – 17.0 | Final – 17.1 | Final – 17.1 |
Tablo 7.
Modèl Distribisyon, Modèl pouvwa, ak Estati Aparèy pou Aparèy Intel MAX 10
Fanmi Aparèy | Aparèy | Estati Modèl Distribisyon | Estati Modèl pouvwa | Estati Aparèy |
Intel MAX 10 | 10M02, 10M04, 10M08 | Final – 15.1 (5) | Final – 15.1 | Final – 15.1 |
10M16, 10M25, 10M40, 10M50 | Final – 15.1.2 | Final – 15.1 | Final – 15.1 |
Vèsyon aktyèl lojisyèl Intel Quartus Prime a gen ladan tou dènye modèl distribisyon ak pouvwa pou Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. SoC, MAX II, MAX II Z, MAX V, Stratix IV, ak Stratix V fanmi aparèy. Modèl distribisyon pou fanmi aparèy sa yo te vin final nan vèsyon lojisyèl Intel Quartus Prime 11.1 oswa pi bonè.
1.7. Modèl IBIS
Tablo 8. Estati Modèl IBIS pou lojisyèl Intel Quartus Prime Standard Edition Lage vèsyon 22.1std
Kòmanse nan vèsyon lojisyèl Intel Quartus Prime Standard Edition 16.0, fanmi aparèy yo gen estati modèl IBIS ki swa Avans, Preliminè, oswa Final.
Fanmi Aparèy | Estati Modèl IBIS |
Intel Arria 10 | Final – 16.1.2 |
Arria V | Korele ak operasyon aparèy PHY - 14.0 |
Arria II GX | Korele ak operasyon aparèy PHY - 11.1 |
Arria II GZ | Korele ak operasyon aparèy PHY - 11.1 |
Intel Cyclone 10 LP | Final – 17.0 |
Siklòn V | Korele ak operasyon aparèy PHY - 14.0 |
Siklòn IV E | Korele ak operasyon aparèy PHY - 11.1 |
Siklòn IV GX | Korele ak operasyon aparèy PHY - 11.1 |
Intel MAX 10 | Final – 16.0 |
MAX V | Korele ak operasyon aparèy PHY - 11.1 |
Stratix V | Korele ak operasyon aparèy PHY - 13.0 SP1 |
Stratix IV | Korele ak operasyon aparèy PHY - 11.1 |
Modèl IBIS ki ajou yo disponib sou Entènèt sou Modèl IBIS pou Aparèy Intel FPGA yo web paj. Paj sa a ap mete ajou kòm modèl IBIS pou aparèy yo vin disponib oswa yo mete ajou.
(5) Estati modèl distribisyon pou pati klas vitès MAX 10 A6 rete kòm Preliminè.
1.8. Enfòmasyon sou Entèfas EDA
Tablo 9.
Zouti sentèz ki sipòte Intel Quartus Prime Standard Edition Software Version Version 22.1std
Zouti sentèz | Version |
Siemens EDA Precision* | Vèsyon Siemens EDA Precision ki sipòte lojisyèl Intel Quartus Prime yo tipikman lage apre lage lojisyèl Intel Quartus Prime. Kontakte Siemens EDA pou vèsyon Siemens EDA Precision ki sipòte Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Synopsys* Synplify*, Synplify Pro*, ak Synplify Premier | Synopsys Synplify, Synplify Pro, ak Synplify Premier vèsyon ki sipòte lojisyèl Intel Quartus Prime yo anjeneral lage apre lage lojisyèl Intel Quartus Prime. Kontakte Synopsys pou jwenn vèsyon Synopsys Synplify, Synplify Pro, ak Synplify Premier ki sipòte Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Tablo 10.
Zouti simulation ki sipòte edisyon estanda Intel Quartus Prime Version Software Version 22.1std
Zouti simulation sa yo bay RTL ak simulation fonksyonèl nan nivo pòtay. Se sèlman zouti simulation 64-bit yo sipòte.
Zouti Simulation | Version |
Aldec aktif-HDL | 13.0 (Windows sèlman) |
Aldec Riviera-PRO | 2019.1 |
Kadans Xcelium * Similasyon lojik paralèl | 21.09.003 (Linux* sèlman) |
Questa-Intel FPGA edisyon | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa similatè avanse | 2020.4 |
Synopsys VCS* ak VCS MX | P-2019.06-SP2-5 (Linux sèlman) |
Questa-Intel FPGA Edition mande pou FlexLM lisans daemon vèsyon 11.16.4.0 (oswa pita). Ou ka jwenn daemon lisans lan nan FlexLM License Daemons pou Intel FPGA Software web paj.
Ou ka jwenn Intel FPGA edisyon zouti simulation nan Sant Telechaje pou FPGA yo.
Sistèm operasyon sipò pou Questa-Intel FPGA edisyon vèsyon 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Enfòmasyon ki gen rapò
- Intel Quartus Prime Standard Edition Design Software pou Linux
- Intel Quartus Prime Standard Edition Design Software pou Windows
- Intel Quartus Prime Lite Edition Design Software pou Linux
- Intel Quartus Prime Lite Edition Design Software pou Windows
1.9. Verifikasyon antivirus
Yo verifye lojisyèl Intel Quartus Prime san viris ak lojisyèl sa yo:
Lojisyèl Verifikasyon Antivirus pou Intel Quartus Prime Standard Edition Vèsyon 22.1std.1
McAfee VirusScan Command Line pou Linux64 Version: 7.0.0.477
AV Engine vèsyon: 6300.9389 pou Linux64.
Dat seri vèsyon: 10629 kreye 22 fevriye 2023
Lojisyèl Verifikasyon Antivirus pou Intel Quartus Prime Standard Edition Vèsyon 22.1std
McAfee VirusScan Command Line pou Linux64 Version: 7.0.0.477
AV Engine vèsyon: 6300.9389 pou Linux64.
Dat seri vèsyon: 10505 kreye 19 oktòb 2022
1.10. Pwoblèm lojisyèl rezoud
Pa gen okenn demann sèvis kliyan yo te fiks oswa otreman rezoud nan Intel Quartus Prime Standard Edition Version 22.1std.1.
Demann sèvis kliyan sa yo te fikse oswa otreman rezoud nan Intel Quartus Prime Standard Edition Version 22.1std:
Tablo 11.
Pwoblèm yo rezoud nan Intel Quartus Prime Standard Edition Version 22.1std
Nimewo ka sipò Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Patch lojisyèl ki enkli nan lage sa a
Intel Quartus Prime Standard Edition Version 22.1std.1 gen patch sa yo pou vèsyon anvan lojisyèl Intel Quartus Prime Standard Edition:
Tablo 12.
Patch lojisyèl enkli nan vèsyon Intel Quartus Prime Standard Edition 22.1std.1
Software Version | Patch | Nimewo Demann Sèvis Kliyan |
Intel Quartus Prime Version 22.1 | 0.01yèm | – |
Intel Quartus Prime Version 21.1 | 0.14yèm | 00741067 |
Intel Quartus Prime Standard Edition Version 22.1std gen plak sa yo pou vèsyon anvan lojisyèl Intel Quartus Prime Standard Edition:
Tablo 13. Patch lojisyèl enkli nan Intel Quartus Prime Standard Edition Version 22.1std
Software Version | Patch | Nimewo Demann Sèvis Kliyan |
Intel Quartus Prime Version 21.1 | 0.10yèm | – |
Intel Quartus Prime Version 21.1 | 0.08yèm | 00693884 |
Intel Quartus Prime Version 21.1 | 0.07yèm | 00501636 |
Intel Quartus Prime Version 21.1 | 0.06yèm | 00689611 |
Intel Quartus Prime Version 21.1 | 0.04stdp | – |
Intel Quartus Prime Version 21.1 | 0.03yèm | – |
Intel Quartus Prime Version 21.1 | 0.02yèm | – |
Intel Quartus Prime Version 20.1.1 | 1.09yèm | 00702107 |
Intel Quartus Prime Version 20.1 | 0.14yèm | 00702107 |
Intel Quartus Prime Version 18.1.1 | 1.13yèm | – |
Intel Quartus Prime Version 18.1.1 | 1.12yèm | – |
Intel Quartus Prime Version 18.1.1 | 1.09yèm | – |
Intel Quartus Prime Version 18.1 | 0.23yèm | 00698210 |
Intel Quartus Prime Version 18.1 | 0.21yèm | 00669646 |
Intel Quartus Prime Version 18.1 | 0.20yèm | 00689611 |
1.12. Dènye Pwoblèm lojisyèl Intel Quartus Prime li te ye
Enfòmasyon sou pwoblèm li te ye ki afekte Intel Quartus Prime Standard Edition Version 22.1std disponib nan Intel FPGA Knowledge Base.
Pou dènye enfòmasyon sou pwoblèm ki afekte Intel Quartus Prime Standard Edition Version 22.1std, review atik Intel FPGA Knowledge Base ki aplike nan Intel Quartus Prime Standard Edition Version 22.1std.
Tablo 14.
Pwoblèm enpòtan li te ye ki afekte Intel Quartus Prime Standard Edition Version 22.1std
Deskripsyon | solisyon |
Sou Microsoft * Windows sistèm, SDI II Intel FPGA IP konsepsyon eksampjenerasyon an echwe ak mesaj erè sa a: Erè: Echwe pou jenere ansyenample design example_design to:: \sdi_ii_0_example_design |
Pou plis detay ak disponiblite yon ranje, al gade nan Poukisa SDI II Intel FPGA IP konsepsyon eksampjenerasyon echwe lè w ap itilize lojisyèl Intel Quartus Prime pou Windows? nan Intel FPGA Konesans baz la. |
Sou sistèm Microsoft Windows, erè sa a rive lè w ap jenere yon Intel Arria 10 EMIF Example Design pou simulation: Erè: emif_0: Gen yon erè ki te fèt lè yo te jenere simulation example konsepsyon. Gade make_sim_design_errors.log pou plis detay. Erè: Echwe pou jenere ansyenample konsepsyon pou:ample design directory> Jenere Example Design: ranpli ak erè |
Ou ka san danje inyore mesaj avètisman sa yo. Simulation file seri pou lojisyèl simulation Siemens EDA Questa ak Aldec Riviera-PRO yo pwodwi epi yo gen konsepsyon ki enpòtan. files pou kouri simulation a avèk siksè. Pou plis detay ak disponiblite yon ranje, gade nan Poukisa Intel Arria 10 EMIF Example Design Generation Fail lè w ap itilize Intel Quartus Prime Standard Edition Software Version 22.1 pou Windows? nan Intel FPGA Konesans baz la. |
Lè w itilize mòd Intel Arria 10 EMIF IP Skip Kalibrasyon, simulation Intel Arria 10 EMIF IP ak lojisyèl simulation Siemens EDA Questa (Siemens EDA Questa Avanse similatè oswa Questa-Intel FPGA edisyon) ka pann. |
Sèvi ak Abstract PHY pou opsyon simulation rapid pou anpeche pann an. Pou plis detay ak disponiblite yon ranje, gade nan Poukisa simulation Intel Arria 10 EMIF IP nan simulateur Mentor pandye lè w ap itilize vèsyon lojisyèl Intel Quartus Prime Standard Edition 22.1 nan Intel FPGA Konesans baz la. |
Ou ka jwenn enfòmasyon sou pwoblèm li te ye pou vèsyon anvan yo nan lojisyèl Quartus Prime sou baz Konesans Intel FPGA. web paj.
Enfòmasyon sou pwoblèm lojisyèl li te ye ki afekte vèsyon anvan yo nan lojisyèl Quartus II a disponib sou Intel Quartus Prime ak Sipò pou lojisyèl Quartus II. web paj.
Enfòmasyon sou pwoblèm ki afekte Bibliyotèk Intel FPGA IP yo disponib nan nòt lage yo pou chak IP. Ou ka jwenn nòt lage IP yo sou Intel FPGA Dokimantasyon Index web paj.
Enfòmasyon ki gen rapò
- Intel FPGA Konesans baz
- Sipò lojisyèl Intel Quartus Prime ak Quartus II
- Nòt lage Intel FPGA ak aparèy pwogramab yo
1.13. Intel Quartus Prime Standard edisyon lojisyèl ak aparèy Sipò Release Nòt Achiv yo
Pou dènye vèsyon yo ak vèsyon anvan yo nan nòt lage sa yo, al gade nan Intel Quartus Prime Creole Edisyon Lojisyèl ak nòt lage sipò aparèy. Si yon vèsyon lojisyèl pa nan lis la, nòt lage yo pou vèsyon lojisyèl anvan an aplike.
1.14. Lage lojisyèl Intel Quartus Prime Standard Edition Version 22.1std Istwa revizyon dokiman
Vèsyon dokiman an | Intel Quartus Prime Version | Chanjman |
2023.03.21 | 22.1std.1 | • Mizajou pou vèsyon 22.1std.1 • Nimewo vèsyon korije pou Version 22.1std. |
2022.11.07 | 22.1yèm | • Mizajou Dènye Pwoblèm Lojisyèl Li te ye. |
2022.10.31 | 22.1yèm | • Premye lage. |
Intel Quartus Prime Standard Edition: Version 22.1std Lojisyèl ak Aparèy Sipò Releksyon Nòt
Online Version
Voye Feedback
ID: 683593
RN-01080-22.1std
Vèsyon: 2023.03.21
Dokiman / Resous
![]() |
intel Quartus Prime Standard Edition [pdfGid Itilizatè Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition |