logo sa intelIntel® Quartus® Prime Standard nga Edisyon
Bersyon 22.1std Software ug Device Support Release Notes
Gi-update para sa Intel® Quartus® Prime Design Suite: 22.1std.1
Giya sa Gumagamit

Intel® Quartus® Prime Standard Edition Version 22.1std Software ug Device Support Release Notes

Kini nga dokumento naghatag ug late-breaking nga impormasyon bahin sa Intel® Quartus® Prime Standard Edition Bersyon 22.1std ug 22.1std.1.
Para sa dugang nga impormasyon bahin niining software release, tan-awa ang Intel Quartus Prime Standard Edition README file sa mosunod nga lokasyon: /quartus/readme.txt
Para sa impormasyon bahin sa suporta sa operating system, tan-awa ang mosunod web panid: Intel FPGA Operating System Support.

May Kalabutan nga Impormasyon

  • Intel Quartus Prime Pro Edition Software ug Device Support Release Notes
  • Intel Quartus Prime Standard Edition Design Software para sa Linux
  • Intel Quartus Prime Standard Edition Design Software alang sa Windows
  • Intel Quartus Prime Lite Edition Design Software para sa Linux
  • Intel Quartus Prime Lite Edition Design Software alang sa Windows
  • Intel FPGA Software Installation ug Licensing

1.1. Bag-ong mga Feature ug Pagpauswag
Ang Intel Quartus Prime Standard Edition Software Version 22.1std ug Version 22.1std.1 naglakip sa functional ug security updates. Ipadayon ang imong software nga labing bag-o ug sunda ang teknikal nga rekomendasyon nga makatabang sa pagpalambo sa seguridad sa imong Intel Quartus Prime instalasyon.
Ang Intel Quartus Prime Standard Edition Software Version 22.1std naglakip sa mosunod nga mga bag-ong feature ug enhancement:

  • Gidugang nga suporta alang sa Nios® V/m processor.
  • Para sa Intel MAX® 10 device, gidugang ang 1.8V LVDS nga suporta.

Mga Pag-ayo sa Bug
Ang Intel Quartus Prime Standard Edition Software Version 22.1std ug Version 22.1std.1 naglakip usab sa mga pag-ayo sa bug. Si Review Mga Isyu sa Software nga Naresolba sa pahina 13 ug Mga Patch sa Software nga Nalakip niini nga Pagpagawas sa pahina 13 aron tan-awon kung kini nga bersyon adunay mga pag-ayo o kung dili masulbad ang bisan unsang mga hangyo sa serbisyo sa kustomer (Intel Premier Support).

1.2. Mga Kausaban sa Software Behavior
Kini nga seksyon nagdokumento sa mga higayon diin ang pamatasan ug default nga mga setting sa Intel Quartus Prime Standard Edition software nausab gikan sa naunang pagpagawas sa Intel Quartus Prime Standard Edition software.
Tan-awa ang Intel Quartus Prime Default Settings File (.qdf), /quartus/bin/assignment_defaults.qdf, para sa lista sa tanang default assignment settings para sa pinakabag-o nga bersyon sa Intel Quartus Prime software.

1.2.1. Wala na gamita nga mga Feature ug Function
Ang mga gimbuhaton ug mga bahin nga gilista niini nga seksyon wala na gamita apan wala makuha gikan sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1 o mas sayo pa.
Ibalhin ang imong mga galamiton ug proseso aron magamit ang puli o alternatibong mga bahin ug mga gimbuhaton sa dili pa matangtang ang wala magamit nga mga bahin ug gimbuhaton.
Mga Feature ug Function nga Wala na gigamit sama sa Intel Quartus Prime Standard Bersyon nga Edisyon 22.1std.1
Walay Intel Quartus Prime nga mga feature o function nga wala na gamita sa Intel Quartus Prime Standard Edition Version 22.1.1.
Mga Feature ug Function nga Wala na gigamit sama sa Intel Quartus Prime Standard Edisyon nga Bersyon 22.1std
Walay Intel Quartus Prime nga mga feature o function nga wala na gamita sa Intel Quartus Prime Standard Edition Version 22.1.
Mga Feature ug Function nga Wala na gigamit sa Intel Quartus Prime Standard Edition Bersyon 21.1.1
Walay Intel Quartus Prime nga mga feature o function nga wala na gamita sa Intel Quartus Prime Standard Edition Version 21.1.1.
Mga Feature ug Function nga Wala na gigamit sama sa Intel Quartus Prime Standard Edisyon nga Bersyon 21.1
Walay Intel Quartus Prime nga mga feature o function nga wala na gamita sa Intel Quartus Prime Standard Edition Version 21.1.
Mga Feature ug Function nga Wala na gigamit sama sa Intel Quartus Prime Standard Edisyon nga Bersyon 20.1
Walay Intel Quartus Prime nga mga feature o function nga wala na gamita sa Intel Quartus Prime Standard Edition Version 20.1.

1.2.2. Gitangtang ang mga Feature ug Function
Ang mga gimbuhaton ug mga bahin nga gilista niini nga seksyon gikuha gikan sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1 o mas sayo pa.
Gikuha ang mga Feature ug Function gikan sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1
Walay Intel Quartus Prime nga mga feature o function nga gikuha gikan sa Intel Quartus Prime Standard Edition Version 22.1.1.
Gikuha ang mga Feature ug Function gikan sa Intel Quartus Prime Standard Edition Bersyon 22.1std
Walay Intel Quartus Prime nga mga feature o function nga gikuha gikan sa Intel Quartus Prime Standard Edition Version 22.1.
Gikuha ang mga Feature ug Function gikan sa Intel Quartus Prime Standard Edition Bersyon 21.1.1
Walay Intel Quartus Prime nga mga feature o function nga gikuha gikan sa Intel Quartus Prime Standard Edition Version 21.1.1.
Gikuha ang mga Feature ug Function gikan sa Intel Quartus Prime Standard Edition Bersyon 21.1

  • Gitangtang ang ModelSim*-Intel FPGA Edition ug ModelSim-Intel FPGA Starter Edition
    Kini nga simulation software gipulihan sa Questa*-Intel FPGA Edition ug Questa-Intel FPGA Starter Edition matag usa.
  • Gikuha ang suporta alang sa 32-bit simulation software.
    Kini nga pagbag-o nagtangtang sa suporta alang sa mosunod nga mga himan sa simulation:
    — Aldec* Active-HDL* (32-bit)
    Gamit ug 64-bit nga bersyon sa Aldec Active-HDL o gamita hinuon ang Aldec Riviera-PRO*.
    — Mentor Graphics* ModelSim PE
    Gamita hinuon ang Siemens* EDA ModelSim SE o Siemens EDA Questa Advanced Simulator.
  • Gikuha ang suporta sa NicheStack TCP/IP Stack.
  • Gitangtang ang suporta alang sa Cadence* Incisive* Enterprise Simulator (IES).

Gikuha ang mga Feature ug Function gikan sa Intel Quartus Prime Standard Edition Bersyon 20.1
Ang suporta alang sa mosunod nga software gikuha gikan sa Intel Quartus Prime Standard Edition Bersyon 20.1 ug sa ulahi:

  • DSP Builder alang sa Intel FPGAs
  • Intel FPGA SDK para sa OpenCL™ (*)
  • Intel FPGA RTE para sa OpenCL
  • Intel High-Level Synthesis (HLS) Compiler

(*) Ang OpenCL ug ang OpenCL logo kay mga tatak sa Apple Inc. nga gigamit pinaagi sa pagtugot sa Khronos Group™

1.3. Suporta sa Operating System
Ang impormasyon bahin sa suporta sa operating system alang sa Intel Quartus Prime Design Suite anaa sa panid sa Suporta sa Operating System sa Intel FPGA website.
Mga Pagbag-o sa Suporta sa Operating System sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1
Walay mga kausaban sa suporta sa operating system sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1.
Mga Pagbag-o sa Suporta sa Operating System sa Intel Quartus Prime Standard Edition Bersyon 22.1std
Ang suporta alang sa mosunod nga mga operating system wala na magamit sama sa Intel Quartus Prime Standard Edition Version 22.1:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 Bersyon 1607

Ibalhin ang imong Windows 10 instalasyon sa Windows 10 Bersyon 1809 o sa ulahi.
Ang suporta alang sa kini nga mga operating system mahimong tangtangon sa umaabot nga pagpagawas.
Gikuha sa Intel Quartus Prime Standard Edition Version 22.1 ang suporta alang sa mosunod nga mga operating system:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

Mga Pagbag-o sa Suporta sa Operating System sa Intel Quartus Prime Standard Edition Bersyon 21.1.1
Walay mga kausaban sa suporta sa operating system sa Intel Quartus Prime Standard Edition Bersyon 21.1.1.
Mga Pagbag-o sa Suporta sa Operating System sa Intel Quartus Prime Standard Edition Bersyon 21.1
Ang Intel Quartus Prime Standard Edition Version 21.1 midugang og suporta alang sa mosunod nga mga operating system:

  1. Ang CentOS Linux 8.2 nagpabilin nga gisuportahan sa Intel Quartus Prime Standard Edition Bersyon 22.1
  2. Ang Red Hat* Enterprise Linux 8.2 nagpabilin nga gisuportahan sa Intel Quartus Prime Standard Edition Version 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Ang suporta alang sa mosunod nga mga operating system wala na magamit sama sa Intel Quartus Prime Standard Edition Version 21.1. Ang suporta alang niining mga operating system mahimong matangtang sa umaabot nga pagpagawas:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Gikuha sa Intel Quartus Prime Standard Edition Version 21.1 ang suporta alang sa mosunod nga mga operating system:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

May Kalabutan nga Impormasyon
Suporta sa Operating System

1.4. Disk Space ug Mga Rekomendasyon sa Memorya
Ang bug-os nga pag-install sa Intel Quartus Prime Standard Edition software nanginahanglan hangtod sa 40 GB nga magamit nga espasyo sa disk.
I-configure ang imong sistema aron makahatag og dugang nga virtual memory nga katumbas sa girekomendar nga pisikal nga RAM nga gikinahanglan sa pagproseso sa imong disenyo. Kining dugang nga virtual nga panumduman epektibong nagdoble sa kinatibuk-ang epektibong panumduman nga anaa sa pagproseso sa imong desinyo.
Mubo nga sulat:
Ang peak nga virtual memory mahimong molapas niini nga mga rekomendasyon. Kini nga mga rekomendasyon gibase sa gidaghanon sa pisikal nga panumduman nga gikinahanglan aron makab-ot ang runtime sulod sa 10% nga nakuha sa hardware nga adunay walay kinutuban nga gidaghanon sa RAM.

Talaan 1.
Mga Kinahanglanon sa Memorya alang sa Pagproseso sa mga Disenyo sa Arria®
Parehas kini nga mga kinahanglanon alang sa mga pag-install sa Windows ug Linux.

Pamilya Device Girekomenda nga Pisikal nga RAM
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Talaan 2.
Mga Kinahanglanon sa Memorya alang sa Pagproseso sa mga Disenyo sa Cyclone®
Parehas kini nga mga kinahanglanon alang sa mga pag-install sa Windows ug Linux.

Pamilya Device Girekomenda nga Pisikal nga RAM
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Bagyo V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 GB
Bagyo IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Bagyo IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Talaan 3.
Mga Kinahanglanon sa Memorya para sa Pagproseso sa MAX nga mga Disenyo
Parehas kini nga mga kinahanglanon alang sa mga pag-install sa Windows ug Linux.

Pamilya Device Girekomenda nga Pisikal nga RAM
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAX V Tanan 512 MB
MAX II Tanan 512 MB

Talaan 4.
Mga Kinahanglanon sa Memorya alang sa Pagproseso sa Stratix®Designs
Parehas kini nga mga kinahanglanon alang sa mga pag-install sa Windows ug Linux.

Pamilya Device Girekomenda nga Pisikal nga RAM
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Suporta sa Device ug Status sa Pin-Out
Ang tanan nga mga aparato sa produksiyon karon adunay bug-os nga kompilasyon, simulation, pagtuki sa oras, ug suporta sa programming.
1.5.1. Mga Pagbag-o sa Suporta sa Device
1.6. Timing Model, Power Model, ug Device Status
Talaan 5.
Timing Model, Power Model, ug Device Status para sa Intel Arria 10 Devices

Pamilya sa Device Device Kahimtang sa Modelo sa Timing Kahimtang sa Modelong Gahum Kahimtang sa Device
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 Katapusan – 16.1 (3)(4) Katapusan - 17.0 Katapusan - 17.0
10AX048, 10AS048 Katapusan – 16.0.2 (4) Katapusan - 17.0 Katapusan - 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Katapusan – 16.0.1 (4) Katapusan - 16.0.1 Katapusan - 16.0.1
10AX115, 10AT115 Katapusan – 16.0 (4) Katapusan - 16.0 Katapusan - 16.0

(3) Ang mga aparato nga adunay -1 nga grado sa tulin gitapos sa Intel Quartus Prime software nga bersyon 17.0
(4) Ang tanan nga mga gamit sa grado sa militar gitapos sa bersyon sa software sa Intel Quartus Prime 18.0.1.

Talaan 6.
Timing Model, Power Model, ug Device Status para sa Intel Cyclone 10 Devices

Pamilya sa Device Device Kahimtang sa Modelo sa Timing Kahimtang sa Modelong Gahum Kahimtang sa Device
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 Katapusan - 17.0 Katapusan - 17.1 Katapusan - 17.1

Talaan 7.
Timing Model, Power Model, ug Device Status para sa Intel MAX 10 Devices

Pamilya sa Device Device Kahimtang sa Modelo sa Timing Kahimtang sa Modelong Gahum Kahimtang sa Device
Intel MAX 10 10M02, 10M04, 10M08 Katapusan – 15.1 (5) Katapusan - 15.1 Katapusan - 15.1
10M16, 10M25, 10M40, 10M50 Katapusan - 15.1.2 Katapusan - 15.1 Katapusan - 15.1

Ang kasamtangan nga bersyon sa Intel Quartus Prime software naglakip usab sa katapusang timing ug power models para sa Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. SoC, MAX II, MAX II Z, MAX V, Stratix IV, ug Stratix V device nga mga pamilya. Ang mga modelo sa timing alang niining mga pamilya sa device nahimong final sa Intel Quartus Prime software versions 11.1 o mas sayo pa.

1.7. Mga Modelo sa IBIS
Talaan 8. IBIS Model Status para sa Intel Quartus Prime Standard Edition Software Ipagawas ang Bersyon 22.1std
Sugod sa Intel Quartus Prime Standard Edition software nga bersyon 16.0, ang mga pamilya sa device adunay IBIS model status nga Advance, Preliminary, o Final.

Pamilya sa Device IBIS Model Status
Intel Arria 10 Katapusan - 16.1.2
Arria V Nahiangay sa operasyon sa aparato sa PHY - 14.0
Arria II GX Nahiangay sa operasyon sa aparato sa PHY - 11.1
Arria II GZ Nahiangay sa operasyon sa aparato sa PHY - 11.1
Intel Cyclone 10 LP Katapusan - 17.0
Bagyo V Nahiangay sa operasyon sa aparato sa PHY - 14.0
Bagyo IV E Nahiangay sa operasyon sa aparato sa PHY - 11.1
Bagyo IV GX Nahiangay sa operasyon sa aparato sa PHY - 11.1
Intel MAX 10 Katapusan - 16.0
MAX V Nahiangay sa operasyon sa aparato sa PHY - 11.1
Stratix V May kalabotan sa operasyon sa aparato sa PHY - 13.0 SP1
Stratix IV Nahiangay sa operasyon sa aparato sa PHY - 11.1

Ang na-update nga mga modelo sa IBIS anaa online sa IBIS Models para sa Intel FPGA Devices web panid. Kini nga panid gi-update samtang ang mga modelo sa IBIS alang sa mga aparato mahimong magamit o gi-update.
(5) Timing model statuses alang sa MAX 10 A6 speed grade parts nagpabilin nga Preliminary.
1.8. Impormasyon sa Interface sa EDA
Talaan 9.
Mga Himan sa Synthesis nga Nagsuporta sa Intel Quartus Prime Standard Edition Software Release Version 22.1std

Mga Himan sa Synthesis Bersyon
Siemens EDA Precision* Ang mga bersyon sa Siemens EDA Precision nga nagsuporta sa software sa Intel Quartus Prime kasagarang gipagawas human sa pagpagawas sa Intel Quartus Prime software. Kontaka ang Siemens EDA alang sa mga bersyon sa Siemens EDA Precision nga nagsuporta sa Intel Quartus Prime Standard Edition Software Release Version 22.1std.
Synopsys* Synplify*, Synplify Pro*, ug Synplify Premier Ang Synopsys Synplify, Synplify Pro, ug Synplify Premier nga mga bersyon nga nagsuporta sa Intel Quartus Prime software kasagarang gipagawas human sa pagpagawas sa Intel Quartus Prime software. Kontaka ang Synopsys alang sa mga bersyon sa Synopsys Synplify, Synplify Pro, ug Synplify Premier nga nagsuporta sa Intel Quartus Prime Standard Edition Software Release Version 22.1std.

Talaan 10.
Mga Gamit sa Simulation nga Nagsuporta sa Intel Quartus Prime Standard Edition Software Release Bersyon 22.1std
Ang mosunod nga mga himan sa simulation naghatag og RTL ug functional gate-level simulation. Ang 64-bit nga mga himan sa simulation lamang ang gisuportahan.

Mga Gamit sa Simulation Bersyon
Aldec Aktibo-HDL 13.0 (Windows lamang)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Parallel Logic Simulation 21.09.003 (Linux* lang)
Questa-Intel FPGA nga Edisyon 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Advanced Simulator 2020.4
Synopsys VCS* ug VCS MX P-2019.06-SP2-5 (Linux lang)

Ang Questa-Intel FPGA Edition nagkinahanglan sa FlexLM licensing daemon version 11.16.4.0 (o sa ulahi). Makuha nimo ang licensing daemon gikan sa FlexLM License Daemons para sa Intel FPGA Software web panid.
Makuha nimo ang Intel FPGA Edition sa simulation tools gikan sa Download Center for FPGAs.
Suporta sa Operating System alang sa Questa-Intel FPGA Edition Bersyon 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

May Kalabutan nga Impormasyon

  • Intel Quartus Prime Standard Edition Design Software para sa Linux
  • Intel Quartus Prime Standard Edition Design Software alang sa Windows
  • Intel Quartus Prime Lite Edition Design Software para sa Linux
  • Intel Quartus Prime Lite Edition Design Software alang sa Windows

1.9. Pagpamatuod sa Antivirus
Ang Intel Quartus Prime software napamatud-an nga walay virus gamit ang mosunod nga software:
Antivirus Verification Software para sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1
McAfee VirusScan Command Line alang sa Linux64 Bersyon: 7.0.0.477
Bersyon sa AV Engine: 6300.9389 para sa Linux64.
Dat set nga bersyon: 10629 gibuhat Feb 22 2023
Antivirus Verification Software para sa Intel Quartus Prime Standard Edition Bersyon 22.1std
McAfee VirusScan Command Line alang sa Linux64 Bersyon: 7.0.0.477
Bersyon sa AV Engine: 6300.9389 para sa Linux64.
Dat set nga bersyon: 10505 gibuhat Oktubre 19 2022

1.10. Nasulbad ang mga Isyu sa Software
Walay mga hangyo sa serbisyo sa kostumer nga gitakda nga nasulbad sa Intel Quartus Prime Standard Edition Bersyon 22.1std.1.
Ang mosunod nga mga hangyo sa serbisyo sa kostumer giayo o nasulbad sa Intel Quartus Prime Standard Edition Bersyon 22.1std:
Talaan 11.
Mga Isyu nga Nasulbad sa Intel Quartus Prime Standard Edition Bersyon 22.1std

Mga Numero sa Kaso sa Pagsuporta sa Intel Premier
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. Ang Mga Patch sa Software Nalakip sa Kini nga Pagpagawas
Ang Intel Quartus Prime Standard Edition Bersyon 22.1std.1 naglangkob sa mosunod nga mga patch para sa nangaging mga bersyon sa Intel Quartus Prime Standard Edition software:
Talaan 12.
Ang Software Patches gilakip sa Intel Quartus Prime Standard Edition Version 22.1std.1

Bersyon sa Software Patch Numero sa Paghangyo sa Serbisyo sa Kustomer
Intel Quartus Prime Bersyon 22.1 0.01std
Intel Quartus Prime Bersyon 21.1 0.14std 00741067

Ang Intel Quartus Prime Standard Edition Bersyon 22.1std naglangkob sa mosunod nga mga patch para sa nangaging mga bersyon sa Intel Quartus Prime Standard Edition software:
Talaan 13. Ang Software Patches gilakip sa Intel Quartus Prime Standard Edition Version 22.1std

Bersyon sa Software Patch Numero sa Paghangyo sa Serbisyo sa Kustomer
Intel Quartus Prime Bersyon 21.1 0.10std
Intel Quartus Prime Bersyon 21.1 0.08std 00693884
Intel Quartus Prime Bersyon 21.1 0.07std 00501636
Intel Quartus Prime Bersyon 21.1 0.06std 00689611
Intel Quartus Prime Bersyon 21.1 0.04stdp
Intel Quartus Prime Bersyon 21.1 0.03std
Intel Quartus Prime Bersyon 21.1 0.02std
Intel Quartus Prime Bersyon 20.1.1 1.09std 00702107
Intel Quartus Prime Bersyon 20.1 0.14std 00702107
Intel Quartus Prime Bersyon 18.1.1 1.13std
Intel Quartus Prime Bersyon 18.1.1 1.12std
Intel Quartus Prime Bersyon 18.1.1 1.09std
Intel Quartus Prime Bersyon 18.1 0.23std 00698210
Intel Quartus Prime Bersyon 18.1 0.21std 00669646
Intel Quartus Prime Bersyon 18.1 0.20std 00689611

1.12. Pinakabag-o nga Nailhan nga Intel Quartus Prime Software nga mga Isyu
Ang impormasyon bahin sa nahibal-an nga mga isyu nga makaapekto sa Intel Quartus Prime Standard Edition Version 22.1std anaa sa Intel FPGA Knowledge Base.
Alang sa pinakabag-o nga impormasyon bahin sa mga isyu nga makaapekto sa Intel Quartus Prime Standard Edition Bersyon 22.1std, review ang mga artikulo sa Intel FPGA Knowledge Base nga magamit sa Intel Quartus Prime Standard Edition Version 22.1std.
Talaan 14.
Importante nga Nahibal-an nga mga Isyu nga Nakaapekto sa Intel Quartus Prime Standard Edition Bersyon 22.1std

Deskripsyon Pagsulbad
Sa Microsoft* Windows system, SDI II Intel FPGA IP design exampAng henerasyon napakyas sa mosunod nga mensahe sa sayop:
Sayop: Napakyas sa paghimo sa exampang design example_design sa:: \sdi_ii_0_example_design
Alang sa mga detalye ug ang pagkaanaa sa pag-ayo, tan-awa ang Ngano nga ang SDI II Intel FPGA IP nga disenyo exampNapakyas ang henerasyon kung gigamit ang Intel Quartus Prime Software para sa Windows? sa Intel FPGA Knowledge Base.
Sa mga sistema sa Microsoft Windows, ang mosunud nga sayup mahitabo kung maghimo usa ka Intel Arria 10 EMIF Example Disenyo alang sa simulation:
Sayop: emif_0: Usa ka sayup ang nahitabo sa paghimo sa simulation exampang disenyo. Tan-awa ang make_sim_design_errors.log para sa mga detalye.
Sayop: Napakyas sa paghimo sa exampang disenyo sa:ample direktoryo sa disenyo> Paghimo Example Disenyo: nahuman sa mga sayup
Mahimo nimo nga luwas nga ibaliwala kini nga mga mensahe sa pasidaan. simulation file Ang mga set alang sa Siemens EDA Questa ug Aldec Riviera-PRO simulation software gihimo ug adunay kalabotan nga disenyo files sa pagpadagan sa simulation malampuson. Alang sa dugang nga mga detalye ug ang pagkaanaa sa pag-ayo, tan-awa ang Ngano nga ang Intel Arria 10 EMIF Example Design Generation Fail kung gamiton ang Intel Quartus Prime Standard Edition Software Version 22.1 para sa Windows? sa Intel FPGA Knowledge Base.
Kung mogamit ka sa Intel Arria 10 EMIF IP Skip Calibration mode, simulation sa Intel Arria 10 EMIF IP nga adunay Siemens EDA Questa simulation software (Siemens EDA Questa
Ang Advanced Simulator o Questa-Intel FPGA Edition) mahimong magbitay.
Gamita ang Abstract PHY para sa paspas nga simulation simulation option para malikayan ang hang.
Alang sa dugang nga mga detalye ug ang pagkaanaa sa pag-ayo, tan-awa ang Ngano nga ang Simulation sa Intel Arria 10 EMIF IP sa Mentor simulators nagbitay kung gigamit ang Intel Quartus Prime Standard Edition Software nga bersyon 22.1 sa Intel FPGA Knowledge Base.

Mahimo nimong makit-an ang nahibal-an nga impormasyon sa isyu alang sa nangaging mga bersyon sa software nga Quartus Prime sa Intel FPGA Knowledge Base web panid.
Ang impormasyon bahin sa nahibal-an nga mga isyu sa software nga makaapekto sa nangaging mga bersyon sa Quartus II software anaa sa Intel Quartus Prime ug Quartus II Software Support web panid.
Ang impormasyon bahin sa mga isyu nga nakaapekto sa Intel FPGA IP Library anaa sa mga nota sa pagpagawas alang sa matag IP. Makita nimo ang mga nota sa pagpagawas sa IP sa Intel FPGA Documentation Index web panid.
May Kalabutan nga Impormasyon

  • Base sa Kahibalo sa Intel FPGA
  • Intel Quartus Prime ug Quartus II Software Support
  • Mga Intel FPGA ug Programmable Devices Release Notes

1.13. Intel Quartus Prime Standard Edition Software ug Device Suporta sa Release Notes Archives
Alang sa pinakabag-o ug naunang mga bersyon niini nga mga nota sa pagpagawas, tan-awa ang Intel Quartus Prime Standard Edition Software ug Device Support Release Notes. Kung ang usa ka bersyon sa software wala gilista, ang mga nota sa pagpagawas alang sa miaging bersyon sa software magamit.
1.14. Intel Quartus Prime Standard Edition Software Release Bersyon 22.1std Kasaysayan sa Pagbag-o sa Dokumento

Bersyon sa Dokumento Intel Quartus Prime nga Bersyon Mga kausaban
2023.03.21 22.1std.1 • Gi-update para sa Bersyon 22.1std.1
• Gitul-id nga numero sa bersyon para sa Bersyon 22.1std.
2022.11.07 22.1std • Gi-update ang Pinakabag-o nga Nailhan nga Mga Isyu sa Software.
2022.10.31 22.1std • Inisyal nga pagpagawas.

Intel Quartus Prime Standard Edition: Bersyon 22.1std Software ug Device Support Release Notes

intel 25G Ethernet Intel FPGA IP - Simbolo 1 Online nga Bersyon
intel 25G Ethernet Intel FPGA IP - Simbolo 2 Ipadala ang Feedback
ID: 683593
RN-01080-22.1std
Bersyon: 2023.03.21

Mga Dokumento / Mga Kapanguhaan

intel Quartus Prime Standard Edition [pdf] Giya sa Gumagamit
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

Mga pakisayran

Pagbilin ug komento

Ang imong email address dili mamantala. Ang gikinahanglan nga mga natad gimarkahan *