Intel® Quartus® Prime Standard Edition
Versione 22.1std Note di versione di u software è u supportu di i dispositi
Aghjurnatu per Intel® Quartus® Prime Design Suite: 22.1std.1
Guida d'usu
Intel® Quartus® Prime Standard Edition Versione 22.1std Note di versione di u software è u supportu di i dispositi
Stu documentu furnisce infurmazioni più recenti nantu à Intel® Quartus® Prime Standard Edition Versione 22.1std è 22.1std.1.
Per più infurmazione nantu à sta versione di u software, riferite à l'Intel Quartus Prime Standard Edition README file in u locu seguenti: /quartus/readme.txt
Per infurmazione nantu à u supportu di u sistema operatore, riferite à i seguenti web pagina: Supportu di u Sistema Operativu Intel FPGA.
Information Related
- Note di versione di u software è u supportu di i dispositi Intel Quartus Prime Pro Edition
- Software di cuncepimentu Intel Quartus Prime Standard Edition per Linux
- Software di cuncepimentu Intel Quartus Prime Standard Edition per Windows
- Software di cuncepimentu Intel Quartus Prime Lite Edition per Linux
- Software di cuncepimentu Intel Quartus Prime Lite Edition per Windows
- Installazione è Licenza di u Software Intel FPGA
1.1. Nove funzioni è miglioramenti
Intel Quartus Prime Standard Edition Software Versione 22.1std è Versione 22.1std.1 include l'aghjurnamenti funziunali è di sicurità. Mantene u vostru software up-to-date è seguità u raccomandazioni tecniche chì aiutanu à migliurà a sicurità di a vostra installazione Intel Quartus Prime.
A versione di u software Intel Quartus Prime Standard Edition 22.1std include e seguenti funzioni novi è miglioramenti:
- Supportu aghjuntu per u processore Nios® V/m.
- Per i dispositi Intel MAX® 10, aghjustatu supportu LVDS 1.8V.
Correzioni di bug
Intel Quartus Prime Standard Edition Software Versione 22.1std è Versione 22.1std.1 includenu ancu correzioni di bug. Review Problemi di Software Risolti à a pagina 13 è Patch di Software Inclusi in questa Versione à a pagina 13 per vede se sta versione cuntene correzioni per o altrimenti risolve una qualsiasi di e vostre richieste di serviziu di serviziu (Intel Premier Support).
1.2. Cambiamenti à u Cumportamentu di u Software
Questa sezione documenta casi in quale u cumpurtamentu è i paràmetri predeterminati di u software Intel Quartus Prime Standard Edition sò stati cambiati da versioni precedenti di u software Intel Quartus Prime Standard Edition.
Consultate l'Intel Quartus Prime Default Settings File (.qdf), /quartus/bin/assignment_defaults.qdf, per una lista di tutti i paràmetri di assignazione predeterminati per l'ultima versione di u software Intel Quartus Prime.
1.2.1. Funzioni è Funzioni Deprecated
E funzioni è e funzioni elencate in questa sezione sò state obsolete, ma micca eliminate da Intel Quartus Prime Standard Edition Versione 22.1std.1 o prima.
Migrate i vostri arnesi è prucessi per utilizà a sostituzione o funzioni alternate è funzioni prima chì e funzioni è funzioni obsolete sò eliminate.
Funzioni è funzioni obsolete da Intel Quartus Prime Standard Edizione Versione 22.1std.1
Nisuna funzione o funzione Intel Quartus Prime hè stata obsoleta in Intel Quartus Prime Standard Edition Versione 22.1.1.
Funzioni è funzioni obsolete da Intel Quartus Prime Standard Edizione Versione 22.1std
Nisuna funzione o funzione Intel Quartus Prime hè stata obsoleta in Intel Quartus Prime Standard Edition Versione 22.1.
Funzioni è funzioni obsolete da Intel Quartus Prime Standard Edition Versione 21.1.1
Nisuna funzione o funzione Intel Quartus Prime hè stata obsoleta in Intel Quartus Prime Standard Edition Versione 21.1.1.
Funzioni è funzioni obsolete da Intel Quartus Prime Standard Edizione Versione 21.1
Nisuna funzione o funzione Intel Quartus Prime hè stata obsoleta in Intel Quartus Prime Standard Edition Versione 21.1.
Funzioni è funzioni obsolete da Intel Quartus Prime Standard Edizione Versione 20.1
Nisuna funzione o funzione Intel Quartus Prime hè stata obsoleta in Intel Quartus Prime Standard Edition Versione 20.1.
1.2.2. Funzioni è funzioni eliminate
E funzioni è e funzioni elencate in questa sezione sò state eliminate da Intel Quartus Prime Standard Edition Versione 22.1std.1 o prima.
Funzioni è funzioni eliminate da Intel Quartus Prime Standard Edition Versione 22.1std.1
Nisuna funzione o funzione Intel Quartus Prime hè stata eliminata da Intel Quartus Prime Standard Edition Versione 22.1.1.
Funzioni è funzioni eliminate da Intel Quartus Prime Standard Edition Versione 22.1std
Nisuna funzione o funzione Intel Quartus Prime hè stata eliminata da Intel Quartus Prime Standard Edition Versione 22.1.
Funzioni è funzioni eliminate da Intel Quartus Prime Standard Edition Versione 21.1.1
Nisuna funzione o funzione Intel Quartus Prime hè stata eliminata da Intel Quartus Prime Standard Edition Versione 21.1.1.
Funzioni è funzioni eliminate da Intel Quartus Prime Standard Edition Versione 21.1
- Eliminatu ModelSim*-Intel FPGA Edition è ModelSim-Intel FPGA Starter Edition
Stu software di simulazione hè statu rimpiazzatu da Questa *-Intel FPGA Edition è Questa-Intel FPGA Starter Edition rispettivamente. - Eliminatu u supportu per u software di simulazione 32-bit.
Stu cambiamentu elimina u supportu per i seguenti strumenti di simulazione:
— Aldec* Active-HDL* (32-bit)
Aduprate una versione 64-bit di Aldec Active-HDL o utilizate invece Aldec Riviera-PRO*.
— Mentor Graphics* ModelSim PE
Aduprate invece Siemens* EDA ModelSim SE o Siemens EDA Questa Advanced Simulator. - Eliminatu u supportu NicheStack TCP/IP Stack.
- Eliminatu u supportu per Cadence* Incisive* Enterprise Simulator (IES).
Funzioni è funzioni eliminate da Intel Quartus Prime Standard Edition Versione 20.1
U supportu per u software seguente hè statu eliminatu da Intel Quartus Prime Standard Edition Versione 20.1 è più tardi:
- DSP Builder per Intel FPGAs
- Intel FPGA SDK per OpenCL™ (*)
- Intel FPGA RTE per OpenCL
- Compiler Intel High-Level Synthesis (HLS).
(*) OpenCL è u logu OpenCL sò marchi di Apple Inc. utilizati cù permessu di Khronos Group™
1.3. Supportu di u Sistema Operativu
L'infurmazione nantu à u supportu di u sistema operatore per l'Intel Quartus Prime Design Suite hè dispunibule nantu à a pagina di Supportu di u Sistema Operativu di l'Intel FPGA. websitu.
Cambiamenti di Supportu di u Sistema Operativu in Intel Quartus Prime Standard Edition Versione 22.1std.1
Ùn ci sò micca cambiamenti di supportu di u sistema operatore in Intel Quartus Prime Standard Edition Version 22.1std.1.
Cambiamenti di Supportu di u Sistema Operativu in Intel Quartus Prime Standard Edition Versione 22.1std
U supportu per i seguenti sistemi operativi hè obsoletu da Intel Quartus Prime Standard Edition Versione 22.1:
- CentOS* Linux 8.2
- Windows Server * 2012
- Windows Server 2016
- Windows* 10 Versione 1607
Migrate a vostra installazione Windows 10 à Windows 10 Versione 1809 o più tardi.
U supportu per questi sistemi operativi pò esse eliminatu in una futura versione.
Intel Quartus Prime Standard Edition Version 22.1 hà eliminatu u supportu per i seguenti sistemi operativi:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Cambiamenti di Supportu di u Sistema Operativu in Intel Quartus Prime Standard Edition Versione 21.1.1
Ùn ci sò micca cambiamenti di supportu di u sistema operatore in Intel Quartus Prime Standard Edition Versione 21.1.1.
Cambiamenti di Supportu di u Sistema Operativu in Intel Quartus Prime Standard Edition Versione 21.1
Intel Quartus Prime Standard Edition Version 21.1 hà aghjustatu supportu per i seguenti sistemi operativi:
- CentOS Linux 8.2 resta supportatu da Intel Quartus Prime Standard Edition Versione 22.1
- Red Hat* Enterprise Linux 8.2 resta supportatu da Intel Quartus Prime Standard Edition Versione 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
U supportu per i seguenti sistemi operativi hè obsoletu da Intel Quartus Prime Standard Edition Versione 21.1. U supportu per questi sistemi operativi pò esse eliminatu in una futura versione:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Version 21.1 hà eliminatu u supportu per i seguenti sistemi operativi:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Information Related
Supportu di u Sistema Operativu
1.4. Raccomandazioni di spaziu di discu è memoria
Una stallazione cumpleta di u software Intel Quartus Prime Standard Edition richiede finu à 40 GB di spaziu di discu dispunibule.
Configurate u vostru sistema per furnisce una memoria virtuale addiziale uguale à a RAM fisica raccomandata chì hè necessaria per processà u vostru disignu. Questa memoria virtuale addiziale radduppia in modu efficace a memoria effettiva tutale dispunibule per processà u vostru disignu.
Nota:
A memoria virtuale di piccu puderia superà queste raccomandazioni. Queste raccomandazioni sò basate nantu à a quantità di memoria fisica necessaria per ottene u runtime in u 10% di quellu ottenutu in hardware cù una quantità infinita di RAM.
Tabella 1.
Requisiti di memoria per processà i disegni Arria®
Questi requisiti sò listessi per e installazioni Windows è Linux.
Famiglia | Dispositivu | RAM fisica raccomandata |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Tabella 2.
Requisiti di memoria per l'elaborazione di disegni Cyclone®
Questi requisiti sò listessi per e installazioni Windows è Linux.
Famiglia | Dispositivu | RAM fisica raccomandata |
Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Ciclone V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Ciclone IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Ciclone IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Tabella 3.
Requisiti di memoria per l'elaborazione di MAX Designs
Questi requisiti sò listessi per e installazioni Windows è Linux.
Famiglia | Dispositivu | RAM fisica raccomandata |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Tuttu | 512 MB |
MAX II | Tuttu | 512 MB |
Tabella 4.
Requisiti di memoria per l'elaborazione di Stratix®Designs
Questi requisiti sò listessi per e installazioni Windows è Linux.
Famiglia | Dispositivu | RAM fisica raccomandata |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Supportu di Dispositivi è Status Pin-Out
Tutti i dispusitivi di pruduzzione attualmente anu una compilazione completa, simulazione, analisi di timing è supportu di prugrammazione.
1.5.1. Cambiamenti in u supportu di u dispositivu
1.6. Mudellu di timing, mudellu di putenza è statutu di u dispositivu
Tabella 5.
U mudellu di timing, u mudellu di putenza è u statu di u dispositivu per i dispositi Intel Arria 10
Famiglia di Dispositivi | Dispositivu | Status di u mudellu di timing | Status di u mudellu di putenza | Status di u dispusitivu |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Finale - 16.1 (3)(4) | Finale - 17.0 | Finale - 17.0 |
10AX048, 10AS048 | Finale - 16.0.2 (4) | Finale - 17.0 | Finale - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Finale - 16.0.1 (4) | Finale - 16.0.1 | Finale - 16.0.1 | |
10AX115, 10AT115 | Finale - 16.0 (4) | Finale - 16.0 | Finale - 16.0 |
(3) I dispositi cù un gradu di velocità -1 sò stati finalizzati in a versione di u software Intel Quartus Prime 17.0
(4) Tutti i dispositi di qualità militare sò stati finalizzati in a versione di u software Intel Quartus Prime 18.0.1.
Tabella 6.
Mudellu di timing, mudellu di putenza è statutu di u dispositivu per i dispositi Intel Cyclone 10
Famiglia di Dispositivi | Dispositivu | Status di u mudellu di timing | Status di u mudellu di putenza | Status di u dispusitivu |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Finale - 17.0 | Finale - 17.1 | Finale - 17.1 |
Tabella 7.
U mudellu di timing, u mudellu di putenza è u statu di u dispositivu per i dispositi Intel MAX 10
Famiglia di Dispositivi | Dispositivu | Status di u mudellu di timing | Status di u mudellu di putenza | Status di u dispusitivu |
Intel MAX 10 | 10M02, 10M04, 10M08 | Finale - 15.1 (5) | Finale - 15.1 | Finale - 15.1 |
10M16, 10M25, 10M40, 10M50 | Finale - 15.1.2 | Finale - 15.1 | Finale - 15.1 |
A versione attuale di u software Intel Quartus Prime include ancu mudelli finali di timing è potenza per l'Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. Famiglie di dispositivi SoC, MAX II, MAX II Z, MAX V, Stratix IV è Stratix V. I mudelli di timing per queste famiglie di dispositivi sò diventati finali in e versioni di u software Intel Quartus Prime 11.1 o prima.
1.7. I mudelli IBIS
Tabella 8. Status di mudellu IBIS per u software Intel Quartus Prime Standard Edition Versione di uscita 22.1std
A partire da a versione di software Intel Quartus Prime Standard Edition 16.0, e famiglie di i dispositi anu statutu di mudelli IBIS chì sò Avanzati, Preliminari o Finali.
Famiglia di Dispositivi | Statutu di u mudellu IBIS |
Intel Arria 10 | Finale - 16.1.2 |
Arria V | Correlated cù u funziunamentu di u dispusitivu PHY - 14.0 |
Arria II GX | Correlated cù u funziunamentu di u dispusitivu PHY - 11.1 |
Arria II GZ | Correlated cù u funziunamentu di u dispusitivu PHY - 11.1 |
Intel Cyclone 10 LP | Finale - 17.0 |
Ciclone V | Correlated cù u funziunamentu di u dispusitivu PHY - 14.0 |
Ciclone IV E | Correlated cù u funziunamentu di u dispusitivu PHY - 11.1 |
Ciclone IV GX | Correlated cù u funziunamentu di u dispusitivu PHY - 11.1 |
Intel MAX 10 | Finale - 16.0 |
MAX V | Correlated cù u funziunamentu di u dispusitivu PHY - 11.1 |
Stratix V | Correlated with PHY device operation - 13.0 SP1 |
Stratix IV | Correlated cù u funziunamentu di u dispusitivu PHY - 11.1 |
I mudelli IBIS aghjurnati sò dispunibili in linea nantu à i Modelli IBIS per i Dispositivi Intel FPGA web pagina. Questa pagina hè aghjurnata cum'è mudelli IBIS per i dispositi diventanu dispunibili o sò aghjurnati.
(5) I statuti di mudellu di timing per i pezzi di qualità di velocità MAX 10 A6 restanu cum'è preliminari.
1.8. Informazioni di l'interfaccia EDA
Tabella 9.
Strumenti di sintesi chì supportanu a versione di u software Intel Quartus Prime Standard Edition Versione 22.1std
Strumenti di sintesi | Versione |
Siemens EDA Precision* | E versioni di Siemens EDA Precision chì supportanu u software Intel Quartus Prime sò tipicamente liberate dopu a liberazione di u software Intel Quartus Prime. Cuntattate Siemens EDA per versioni di Siemens EDA Precision chì supportanu Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Synopsys* Synplify*, Synplify Pro* è Synplify Premier | Synopsys Synplify, Synplify Pro è Synplify Premier versioni chì supportanu u software Intel Quartus Prime sò tipicamente liberati dopu a liberazione di u software Intel Quartus Prime. Cuntattate Synopsys per e versioni di Synopsys Synplify, Synplify Pro è Synplify Premier chì supportanu Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Tabella 10.
Strumenti di simulazione chì supportanu l'Intel Quartus Prime Standard Edition Versione di u Software Versione 22.1std
I seguenti strumenti di simulazione furnisce RTL è simulazione funzionale à livellu di porta. Solu i strumenti di simulazione di 64 bit sò supportati.
Strumenti di simulazione | Versione |
Aldec Active-HDL | 13.0 (solu Windows) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* Simulazione Logica Parallela | 21.09.003 (solu Linux*) |
Questa-Intel FPGA Edition | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* è VCS MX | P-2019.06-SP2-5 (solu Linux) |
Questa-Intel FPGA Edition richiede FlexLM daemon di licenza versione 11.16.4.0 (o più tardi). Pudete ottene u daemon di licenze da i FlexLM License Daemons per Intel FPGA Software web pagina.
Pudete uttene Intel FPGA Edition di strumenti di simulazione da u Centru di Download per FPGA.
Supportu di u Sistema Operativu per Questa-Intel FPGA Edition Versione 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Information Related
- Software di cuncepimentu Intel Quartus Prime Standard Edition per Linux
- Software di cuncepimentu Intel Quartus Prime Standard Edition per Windows
- Software di cuncepimentu Intel Quartus Prime Lite Edition per Linux
- Software di cuncepimentu Intel Quartus Prime Lite Edition per Windows
1.9. Verificazione Antivirus
U software Intel Quartus Prime hè statu verificatu senza virus cù i seguenti software:
Software di verificazione antivirus per Intel Quartus Prime Standard Edition Versione 22.1std.1
McAfee VirusScan Command Line per Linux64 Versione: 7.0.0.477
Versione AV Engine: 6300.9389 per Linux64.
Versione di data: 10629 creata u 22 di ferraghju 2023
Software di verificazione antivirus per Intel Quartus Prime Standard Edition Versione 22.1std
McAfee VirusScan Command Line per Linux64 Versione: 7.0.0.477
Versione AV Engine: 6300.9389 per Linux64.
Versione di data set: 10505 creatu u 19 ottobre 2022
1.10. Problemi di software risolti
Nisuna dumanda di serviziu di u cliente hè stata fissata o risolta altrimenti in Intel Quartus Prime Standard Edition Versione 22.1std.1.
E seguenti richieste di serviziu di u cliente sò state risolte o altrimenti risolte in Intel Quartus Prime Standard Edition Versione 22.1std:
Tabella 11.
Problemi risolti in l'Intel Quartus Prime Standard Edition Versione 22.1std
Numeri di casi di supportu Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Patch Software Inclusi in questa Liberazione
Intel Quartus Prime Standard Edition Versione 22.1std.1 cuntene i seguenti patch per versioni precedenti di u software Intel Quartus Prime Standard Edition:
Tabella 12.
Patch software inclusi in a versione Intel Quartus Prime Standard Edition 22.1std.1
Versione Software | Patch | Numero di dumanda di serviziu à u cliente |
Intel Quartus Prime Version 22.1 | 0.01 std | – |
Intel Quartus Prime Version 21.1 | 0.14 std | 00741067 |
Intel Quartus Prime Standard Edition Versione 22.1std cuntene i seguenti patch per versioni precedenti di u software Intel Quartus Prime Standard Edition:
Tabella 13. Patch software inclusi in Intel Quartus Prime Standard Edition Versione 22.1std
Versione Software | Patch | Numero di dumanda di serviziu à u cliente |
Intel Quartus Prime Version 21.1 | 0.10 std | – |
Intel Quartus Prime Version 21.1 | 0.08 std | 00693884 |
Intel Quartus Prime Version 21.1 | 0.07 std | 00501636 |
Intel Quartus Prime Version 21.1 | 0.06 std | 00689611 |
Intel Quartus Prime Version 21.1 | 0.04 stdp | – |
Intel Quartus Prime Version 21.1 | 0.03 std | – |
Intel Quartus Prime Version 21.1 | 0.02 std | – |
Intel Quartus Prime Version 20.1.1 | 1.09 std | 00702107 |
Intel Quartus Prime Version 20.1 | 0.14 std | 00702107 |
Intel Quartus Prime Version 18.1.1 | 1.13 std | – |
Intel Quartus Prime Version 18.1.1 | 1.12 std | – |
Intel Quartus Prime Version 18.1.1 | 1.09 std | – |
Intel Quartus Prime Version 18.1 | 0.23 std | 00698210 |
Intel Quartus Prime Version 18.1 | 0.21 std | 00669646 |
Intel Quartus Prime Version 18.1 | 0.20 std | 00689611 |
1.12. Ultimi prublemi di software Intel Quartus Prime cunnisciuti
L'infurmazione nantu à i prublemi cunnisciuti chì affettanu Intel Quartus Prime Standard Edition Versione 22.1std hè dispunibule in a Intel FPGA Knowledge Base.
Per l'ultime informazioni nantu à i prublemi chì affettanu Intel Quartus Prime Standard Edition Versione 22.1std, review l'articuli Intel FPGA Knowledge Base chì si applicanu à Intel Quartus Prime Standard Edition Version 22.1std.
Tabella 14.
Problemi cunnisciuti impurtanti chì affettanu Intel Quartus Prime Standard Edition Versione 22.1std
Descrizzione | Soluzione |
Su sistemi Microsoft* Windows, SDI II Intel FPGA IP design exampLe generation fallisce cù u missaghju d'errore seguente: Errore: Fallu à generà exampu disignu example_design à:: \sdi_ii_0_example_design |
Per i dettagli è a dispunibilità di una correzione, riferite à Perchè u SDI II Intel FPGA IP design exampa generazione falla quandu si usa u Software Intel Quartus Prime per Windows? in a Intel FPGA Knowledge Base. |
In i sistemi Microsoft Windows, l'errore seguente si trova quandu si genera un Intel Arria 10 EMIF Example Design per a simulazione: Errore: emif_0: Un errore hè accadutu durante a generazione di a simulazione exampu disignu. Vede make_sim_design_errors.log per i dettagli. Errore: Fallu à generà exampu disignu à:ample directory di design> Generate Example Design: cumpletu cù errori |
Pudete ignurà in modu sicuru issi missaghji avvirtimenti. Simulazione file i set per i software di simulazione Siemens EDA Questa è Aldec Riviera-PRO sò generati è cuntenenu u disignu pertinente files per eseguisce a simulazione cù successu. Per più dettagli è a dispunibilità di una correzione, riferite à Perchè l'Intel Arria 10 EMIF Example Design Generation Fail quandu si usa l'Intel Quartus Prime Standard Edition Software Versione 22.1 per Windows? in a Intel FPGA Knowledge Base. |
Quandu si usa u modu di calibrazione Intel Arria 10 EMIF IP Skip, simulazione di Intel Arria 10 EMIF IP cù u software di simulazione Siemens EDA Questa (Siemens EDA Questa Advanced Simulator o Questa-Intel FPGA Edition) ponu chjappà. |
Aduprate l'Astrattu PHY per l'opzione di simulazione di simulazione rapida per prevene u bloccu. Per più dettagli è a dispunibilità di una correzione, riferite à Perchè a simulazione di l'IP Intel Arria 10 EMIF in i simulatori Mentor si blocca quandu si usa l'Intel Quartus Prime Standard Edition Software versione 22.1 in a Intel FPGA Knowledge Base. |
Pudete truvà infurmazione cunnisciuta di prublema per versioni precedenti di u software Quartus Prime nantu à a Intel FPGA Knowledge Base. web pagina.
L'infurmazioni nantu à i prublemi di software cunnisciuti chì affettanu e versioni precedenti di u software Quartus II sò dispunibuli nantu à u Supportu Software Intel Quartus Prime è Quartus II. web pagina.
L'infurmazione nantu à i prublemi chì afectanu a Biblioteca IP Intel FPGA hè dispunibule in e note di liberazione per ogni IP. Pudete truvà e note di liberazione IP nantu à l'Indice di Documentazione Intel FPGA web pagina.
Information Related
- Base di cunniscenza Intel FPGA
- Support du logiciel Intel Quartus Prime et Quartus II
- Note di rilascio di Intel FPGA è Dispositivi programmabili
1.13. Software è Dispositivu Intel Quartus Prime Standard Edition L'archivi di note di liberazione di supportu
Per l'ultime versioni è precedenti di queste note di liberazione, fate riferimentu à Intel Quartus Prime Standard Edition Software è Dispositivi Support Release Notes. Se una versione di software ùn hè micca listata, e note di liberazione per a versione di software precedente si applicanu.
1.14. Versione di u software Intel Quartus Prime Standard Edition Versione 22.1std Storia di Revisione di Documenti
Versione di documentu | Version Intel Quartus Prime | Cambiamenti |
2023.03.21 | 22.1std.1 | • Actualizatu per a versione 22.1std.1 • Numeru di versione curretta per a Versione 22.1std. |
2022.11.07 | 22.1 std | • Updated Ultimi Issues Software cunnisciutu. |
2022.10.31 | 22.1 std | • Liberazione iniziale. |
Intel Quartus Prime Standard Edition: Versione 22.1std Software è Supportu per i Dispositivi Note di Liberazione
Versione in linea
Mandate Feedback
ID: 683593
RN-01080-22.1std
Versione: 2023.03.21
Documenti / Risorse
![]() |
Intel Quartus Prime Standard Edition [pdfGuida di l'utente Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition |