logo intelIntel® Quartus® Prime Standard Edition
Verze 22.1std Podpora softwaru a zařízení Poznámky k vydání
Aktualizováno pro Intel® Quartus® Prime Design Suite: 22.1
Uživatelská příručka

Poznámky k vydání softwaru a podpory zařízení Intel® Quartus® Prime Standard Edition verze 22.1std

Tento dokument poskytuje nejnovější informace o Intel® Quartus® Prime Standard Edition verze 22.1std a 22.1std.1.
Další informace o této verzi softwaru naleznete v souboru README Intel Quartus Prime Standard Edition file v následujícím umístění: /quartus/readme.txt
Informace o podpoře operačního systému naleznete v následujícím textu web stránka: Podpora operačního systému Intel FPGA.

Související informace

  • Poznámky k vydání softwaru a podpory zařízení Intel Quartus Prime Pro Edition
  • Designový software Intel Quartus Prime Standard Edition pro Linux
  • Designový software Intel Quartus Prime Standard Edition pro Windows
  • Designový software Intel Quartus Prime Lite Edition pro Linux
  • Designový software Intel Quartus Prime Lite Edition pro Windows
  • Instalace a licencování softwaru Intel FPGA

1.1. Nové funkce a vylepšení
Software Intel Quartus Prime Standard Edition verze 22.1std a verze 22.1std.1 zahrnuje funkční a bezpečnostní aktualizace. Udržujte svůj software aktuální a dodržujte technická doporučení které pomáhají zlepšit zabezpečení vaší instalace Intel Quartus Prime.
Software Intel Quartus Prime Standard Edition verze 22.1std obsahuje následující nové funkce a vylepšení:

  • Přidána podpora pro procesor Nios® V/m.
  • Pro zařízení Intel MAX® 10 přidána podpora 1.8V LVDS.

Opravy chyb
Software Intel Quartus Prime Standard Edition verze 22.1std a verze 22.1std.1 také obsahuje opravy chyb. Review Problémy se softwarem vyřešené na straně 13 a opravy softwaru zahrnuté v této verzi na straně 13, abyste zjistili, zda tato verze obsahuje opravy nebo jinak řeší některé z vašich požadavků na zákaznický servis (Intel Premier Support).

1.2. Změny chování softwaru
Tato část popisuje případy, kdy bylo chování a výchozí nastavení softwaru Intel Quartus Prime Standard Edition změněno oproti dřívějším verzím softwaru Intel Quartus Prime Standard Edition.
Viz Výchozí nastavení Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, kde najdete seznam všech výchozích nastavení přiřazení pro nejnovější verzi softwaru Intel Quartus Prime.

1.2.1. Zastaralé vlastnosti a funkce
Funkce a vlastnosti uvedené v této části byly zastaralé, ale nebyly odstraněny z Intel Quartus Prime Standard Edition verze 22.1std.1 nebo dřívější.
Migrujte své nástroje a procesy, abyste mohli používat náhradní nebo alternativní funkce a funkce, než budou zastaralé funkce a funkce odstraněny.
Vlastnosti a funkce zastaralé od standardu Intel Quartus Prime Verze vydání 22.1std.1
Žádné vlastnosti nebo funkce Intel Quartus Prime nebyly v Intel Quartus Prime Standard Edition verze 22.1.1 zastaralé.
Vlastnosti a funkce zastaralé od standardu Intel Quartus Prime Verze vydání 22.1std
Žádné vlastnosti nebo funkce Intel Quartus Prime nebyly v Intel Quartus Prime Standard Edition verze 22.1 zastaralé.
Vlastnosti a funkce zastaralé od verze Intel Quartus Prime Standard Edition 21.1.1
Žádné vlastnosti nebo funkce Intel Quartus Prime nebyly v Intel Quartus Prime Standard Edition verze 21.1.1 zastaralé.
Vlastnosti a funkce zastaralé od standardu Intel Quartus Prime Verze vydání 21.1
Žádné vlastnosti nebo funkce Intel Quartus Prime nebyly v Intel Quartus Prime Standard Edition verze 21.1 zastaralé.
Vlastnosti a funkce zastaralé od standardu Intel Quartus Prime Verze vydání 20.1
Žádné vlastnosti nebo funkce Intel Quartus Prime nebyly v Intel Quartus Prime Standard Edition verze 20.1 zastaralé.

1.2.2. Odebrané vlastnosti a funkce
Funkce a vlastnosti uvedené v této části byly odebrány z Intel Quartus Prime Standard Edition verze 22.1std.1 nebo starší.
Vlastnosti a funkce odstraněny z Intel Quartus Prime Standard Edition Verze 22.1std.1
Z Intel Quartus Prime Standard Edition verze 22.1.1 nebyly odebrány žádné vlastnosti nebo funkce Intel Quartus Prime.
Vlastnosti a funkce odstraněny z Intel Quartus Prime Standard Edition Verze 22.1std
Z Intel Quartus Prime Standard Edition verze 22.1 nebyly odebrány žádné vlastnosti nebo funkce Intel Quartus Prime.
Vlastnosti a funkce odstraněny z Intel Quartus Prime Standard Edition Verze 21.1.1
Z Intel Quartus Prime Standard Edition verze 21.1.1 nebyly odebrány žádné vlastnosti nebo funkce Intel Quartus Prime.
Vlastnosti a funkce odstraněny z Intel Quartus Prime Standard Edition Verze 21.1

  • Odebrán ModelSim*-Intel FPGA Edition a ModelSim-Intel FPGA Starter Edition
    Tento simulační software byl nahrazen Questa*-Intel FPGA Edition a Questa-Intel FPGA Starter Edition.
  • Odebrána podpora pro 32bitový simulační software.
    Tato změna odebere podporu pro následující simulační nástroje:
    — Aldec* Active-HDL* (32bitový)
    Použijte 64bitovou verzi Aldec Active-HDL nebo použijte Aldec Riviera-PRO*.
    — Mentor Graphics* ModelSim PE
    Místo toho použijte Siemens* EDA ModelSim SE nebo Siemens EDA Questa Advanced Simulator.
  • Odstraněna podpora NicheStack TCP/IP Stack.
  • Odebrána podpora pro Cadence* Incisive* Enterprise Simulator (IES).

Vlastnosti a funkce odstraněny z Intel Quartus Prime Standard Edition Verze 20.1
Z Intel Quartus Prime Standard Edition verze 20.1 a novější byla odebrána podpora pro následující software:

  • DSP Builder pro Intel FPGA
  • Intel FPGA SDK pro OpenCL™ (*)
  • Intel FPGA RTE pro OpenCL
  • Kompilátor Intel High-Level Synthesis (HLS).

(*) OpenCL a logo OpenCL jsou ochranné známky společnosti Apple Inc. používané se svolením společnosti Khronos Group™

1.3. Podpora operačního systému
Informace o podpoře operačního systému pro Intel Quartus Prime Design Suite jsou k dispozici na stránce Podpora operačního systému na Intel FPGA webmísto.
Změny podpory operačního systému v Intel Quartus Prime Standard Edition Verze 22.1std.1
V Intel Quartus Prime Standard Edition verze 22.1std.1 nejsou žádné změny podpory operačního systému.
Změny podpory operačního systému v Intel Quartus Prime Standard Edition Verze 22.1std
Podpora pro následující operační systémy je od verze Intel Quartus Prime Standard Edition 22.1 ukončena:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 verze 1607

Migrujte svou instalaci Windows 10 na Windows 10 verze 1809 nebo novější.
Podpora pro tyto operační systémy může být v budoucí verzi odebrána.
Intel Quartus Prime Standard Edition verze 22.1 odstranil podporu pro následující operační systémy:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

Změny podpory operačního systému v Intel Quartus Prime Standard Edition Verze 21.1.1
V Intel Quartus Prime Standard Edition verze 21.1.1 nejsou žádné změny podpory operačního systému.
Změny podpory operačního systému v Intel Quartus Prime Standard Edition Verze 21.1
Intel Quartus Prime Standard Edition verze 21.1 přidala podporu pro následující operační systémy:

  1. CentOS Linux 8.2 zůstává podporován Intel Quartus Prime Standard Edition verze 22.1
  2. Red Hat* Enterprise Linux 8.2 nadále podporuje Intel Quartus Prime Standard Edition verze 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Podpora pro následující operační systémy je od verze Intel Quartus Prime Standard Edition 21.1 ukončena. Podpora pro tyto operační systémy může být v budoucí verzi odstraněna:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition verze 21.1 odstranil podporu pro následující operační systémy:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Související informace
Podpora operačního systému

1.4. Doporučení ohledně místa na disku a paměti
Plná instalace softwaru Intel Quartus Prime Standard Edition vyžaduje až 40 GB volného místa na disku.
Nakonfigurujte svůj systém tak, aby poskytoval další virtuální paměť rovnající se doporučené fyzické paměti RAM, která je nutná pro zpracování vašeho návrhu. Tato dodatečná virtuální paměť efektivně zdvojnásobuje celkovou efektivní paměť dostupnou pro zpracování vašeho návrhu.
Poznámka:
Špičková virtuální paměť může překročit tato doporučení. Tato doporučení jsou založena na množství fyzické paměti potřebné k dosažení běhu v rámci 10 % doby dosažené na hardwaru s nekonečným množstvím paměti RAM.

Tabulka 1.
Požadavky na paměť pro zpracování Arria® Designs
Tyto požadavky jsou stejné pro instalace Windows i Linux.

Rodina Zařízení Doporučená fyzická paměť RAM
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Tabulka 2.
Požadavky na paměť pro zpracování návrhů Cyclone®
Tyto požadavky jsou stejné pro instalace Windows i Linux.

Rodina Zařízení Doporučená fyzická paměť RAM
Intel Cyclone® 10 LP 10 CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Cyklon V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 GB
Cyclone IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Cyklon IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Tabulka 3.
Požadavky na paměť pro zpracování návrhů MAX
Tyto požadavky jsou stejné pro instalace Windows i Linux.

Rodina Zařízení Doporučená fyzická paměť RAM
Intel MAX 10 10 M50 2 GB
10 M16 2 GB
10 M25 2 GB
10 M40 2 GB
10M04, 10M08 1 GB
10 M02 512 MB
MAX V Vše 512 MB
MAX II Vše 512 MB

Tabulka 4.
Požadavky na paměť pro zpracování Stratix®Designs
Tyto požadavky jsou stejné pro instalace Windows i Linux.

Rodina Zařízení Doporučená fyzická paměť RAM
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Podpora zařízení a stav pin-out
Všechna produkční zařízení mají v současné době plnou podporu kompilace, simulace, analýzy časování a programování.
1.5.1. Změny v podpoře zařízení
1.6. Model časování, model napájení a stav zařízení
Tabulka 5.
Model časování, model napájení a stav zařízení pro zařízení Intel Arria 10

Rodina zařízení Zařízení Stav modelu časování Stav modelu napájení Stav zařízení
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 Finále – 16.1 (3)(4) Finále – 17.0 Finále – 17.0
10AX048, 10AS048 Finále – 16.0.2 (4) Finále – 17.0 Finále – 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Finále – 16.0.1 (4) Finále – 16.0.1 Finále – 16.0.1
10AX115, 10AT115 Finále – 16.0 (4) Finále – 16.0 Finále – 16.0

(3) Zařízení s rychlostí -1 byla dokončena v softwaru Intel Quartus Prime verze 17.0
(4) Všechna zařízení vojenské třídy byla dokončena v softwaru Intel Quartus Prime verze 18.0.1.

Tabulka 6.
Model časování, model napájení a stav zařízení pro zařízení Intel Cyclone 10

Rodina zařízení Zařízení Stav modelu časování Stav modelu napájení Stav zařízení
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 Finále – 17.0 Finále – 17.1 Finále – 17.1

Tabulka 7.
Model časování, model napájení a stav zařízení pro zařízení Intel MAX 10

Rodina zařízení Zařízení Stav modelu časování Stav modelu napájení Stav zařízení
Intel MAX 10 10M02, 10M04, 10M08 Finále – 15.1 (5) Finále – 15.1 Finále – 15.1
10M16, 10M25, 10M40, 10M50 Finále – 15.1.2 Finále – 15.1 Finále – 15.1

Aktuální verze softwaru Intel Quartus Prime také obsahuje finální modely časování a napájení pro Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V Rodiny zařízení SoC, MAX II, MAX II Z, MAX V, Stratix IV a Stratix V. Modely časování pro tyto rodiny zařízení se staly konečnými v softwaru Intel Quartus Prime verze 11.1 nebo starší.

1.7. Modely IBIS
Tabulka 8. Stav modelu IBIS pro software Intel Quartus Prime Standard Edition Verze vydání 22.1std
Počínaje softwarovou verzí Intel Quartus Prime Standard Edition 16.0 mají rodiny zařízení stavy modelů IBIS, které jsou buď Advance, Preeliminary nebo Final.

Rodina zařízení Stav modelu IBIS
Intel Arria 10 Finále – 16.1.2
Arria V Související s provozem zařízení PHY – 14.0
Arria II GX Související s provozem zařízení PHY – 11.1
Arria II GZ Související s provozem zařízení PHY – 11.1
Intel Cyclone 10 LP Finále – 17.0
Cyklon V Související s provozem zařízení PHY – 14.0
Cyklon IV E Související s provozem zařízení PHY – 11.1
Cyclone IV GX Související s provozem zařízení PHY – 11.1
Intel MAX 10 Finále – 16.0
MAX V Související s provozem zařízení PHY – 11.1
Stratix V Související s provozem zařízení PHY – 13.0 SP1
Stratix IV Související s provozem zařízení PHY – 11.1

Aktualizované modely IBIS jsou k dispozici online na modelech IBIS pro zařízení Intel FPGA web strana. Tato stránka je aktualizována, jakmile budou k dispozici nebo aktualizovány modely IBIS pro zařízení.
(5) Stavy modelu časování pro díly rychlostní třídy MAX 10 A6 zůstávají jako předběžné.
1.8. Informace o rozhraní EDA
Tabulka 9.
Nástroje pro syntézu podporující verzi softwaru Intel Quartus Prime Standard Edition verze 22.1std

Nástroje pro syntézu Verze
Siemens EDA Precision* Verze Siemens EDA Precision, které podporují software Intel Quartus Prime, jsou obvykle vydány po vydání softwaru Intel Quartus Prime. Kontaktujte Siemens EDA pro verze Siemens EDA Precision, které podporují Intel Quartus Prime Standard Edition verze softwaru 22.1std.
Synopsys* Synplify*, Synplify Pro* a Synplify Premier Verze Synopsys Synplify, Synplify Pro a Synplify Premier, které podporují software Intel Quartus Prime, jsou obvykle vydány po vydání softwaru Intel Quartus Prime. Kontaktujte Synopsys pro verze Synopsys Synplify, Synplify Pro a Synplify Premier, které podporují Intel Quartus Prime Standard Edition verze softwaru verze 22.1std.

Tabulka 10.
Simulační nástroje Podpora Intel Quartus Prime Standard Edition Verze softwaru 22.1std
Následující simulační nástroje poskytují RTL a funkční simulaci na úrovni brány. Podporovány jsou pouze 64bitové simulační nástroje.

Simulační nástroje Verze
Aldec Active-HDL 13.0 (pouze Windows)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Parallel Logic Simulation 21.09.003 (pouze Linux*)
Questa-Intel FPGA Edition 2021.2
Siemens EDA ModelSim SE 2020.4
Pokročilý simulátor Siemens EDA Questa 2020.4
Synopsys VCS* a VCS MX P-2019.06-SP2-5 (pouze Linux)

Questa-Intel FPGA Edition vyžaduje licenčního démona FlexLM verze 11.16.4.0 (nebo novější). Licenčního démona můžete získat z FlexLM License Daemons pro Intel FPGA Software web strana.
Simulační nástroje Intel FPGA Edition můžete získat z Download Center pro FPGA.
Podpora operačního systému pro Questa-Intel FPGA Edition verze 2021.2

  • Red Hat Enterprise Linux 7 (64bitový)
  • Red Hat Enterprise Linux 8 (64bitový)
  • SUSE Linux Enterprise Server 12 (64bitový)
  • Windows 10 (64bitový)

Související informace

  • Designový software Intel Quartus Prime Standard Edition pro Linux
  • Designový software Intel Quartus Prime Standard Edition pro Windows
  • Designový software Intel Quartus Prime Lite Edition pro Linux
  • Designový software Intel Quartus Prime Lite Edition pro Windows

1.9. Antivirové ověření
Software Intel Quartus Prime byl ověřen bez virů pomocí následujícího softwaru:
Antivirový ověřovací software pro Intel Quartus Prime Standard Edition Verze 22.1std.1
Příkazový řádek McAfee VirusScan pro Linux64 Verze: 7.0.0.477
Verze AV Engine: 6300.9389 pro Linux64.
Verze sady dat: 10629 vytvořeno 22. února 2023
Antivirový ověřovací software pro Intel Quartus Prime Standard Edition Verze 22.1std
Příkazový řádek McAfee VirusScan pro Linux64 Verze: 7.0.0.477
Verze AV Engine: 6300.9389 pro Linux64.
Verze sady dat: 10505 vytvořená 19. října 2022

1.10. Problémy se softwarem vyřešeny
V Intel Quartus Prime Standard Edition verze 22.1std.1 nebyly opraveny nebo jinak vyřešeny žádné požadavky zákaznických služeb.
Následující požadavky zákaznických služeb byly opraveny nebo jinak vyřešeny v Intel Quartus Prime Standard Edition verze 22.1std:
Tabulka 11.
Problémy vyřešené v Intel Quartus Prime Standard Edition verze 22.1std

Čísla případů podpory Intel Premier
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. Softwarové opravy zahrnuté v této verzi
Intel Quartus Prime Standard Edition verze 22.1std.1 obsahuje následující opravy pro předchozí verze softwaru Intel Quartus Prime Standard Edition:
Tabulka 12.
Softwarové opravy obsažené ve verzi Intel Quartus Prime Standard Edition 22.1

Verze softwaru Náplast Číslo zákaznického servisu
Intel Quartus Prime verze 22.1 0.01. St
Intel Quartus Prime verze 21.1 0.14. St 00741067

Intel Quartus Prime Standard Edition verze 22.1std obsahuje následující opravy pro předchozí verze softwaru Intel Quartus Prime Standard Edition:
Tabulka 13. Softwarové opravy zahrnuté v Intel Quartus Prime Standard Edition verze 22.1std

Verze softwaru Náplast Číslo zákaznického servisu
Intel Quartus Prime verze 21.1 0.10. St
Intel Quartus Prime verze 21.1 0.08. St 00693884
Intel Quartus Prime verze 21.1 0.07. St 00501636
Intel Quartus Prime verze 21.1 0.06. St 00689611
Intel Quartus Prime verze 21.1 0.04 stdp
Intel Quartus Prime verze 21.1 0.03. St
Intel Quartus Prime verze 21.1 0.02. St
Intel Quartus Prime verze 20.1.1 1.09. St 00702107
Intel Quartus Prime verze 20.1 0.14. St 00702107
Intel Quartus Prime verze 18.1.1 1.13. St
Intel Quartus Prime verze 18.1.1 1.12. St
Intel Quartus Prime verze 18.1.1 1.09. St
Intel Quartus Prime verze 18.1 0.23. St 00698210
Intel Quartus Prime verze 18.1 0.21. St 00669646
Intel Quartus Prime verze 18.1 0.20. St 00689611

1.12. Nejnovější známé problémy se softwarem Intel Quartus Prime
Informace o známých problémech, které ovlivňují Intel Quartus Prime Standard Edition verze 22.1std, jsou k dispozici ve znalostní bázi Intel FPGA.
Nejnovější informace o problémech, které ovlivňují Intel Quartus Prime Standard Edition verze 22.1std, review články znalostní báze Intel FPGA, které se týkají Intel Quartus Prime Standard Edition verze 22.1std.
Tabulka 14.
Důležité známé problémy ovlivňující Intel Quartus Prime Standard Edition verze 22.1std

Popis Řešení
Na systémech Microsoft* Windows, SDI II Intel FPGA IP design exampgenerování souboru se nezdaří s následující chybovou zprávou:
Chyba: Nepodařilo se vygenerovat example design example_design to:: \sdi_ii_0_example_design
Podrobnosti a dostupnost opravy viz Proč SDI II Intel FPGA IP design exampSelhání generování při použití softwaru Intel Quartus Prime pro Windows? v Intel FPGA Knowledge Base.
V systémech Microsoft Windows se při generování Intel Arria 10 EMIF Ex objeví následující chybaample Návrh pro simulaci:
Chyba: emif_0: Při generování simulace došlo k chyběample design. Podrobnosti viz make_sim_design_errors.log.
Chyba: Nepodařilo se vygenerovat example design na:ample design directory> Generate Example Design: dokončen s chybami
Tyto varovné zprávy můžete bezpečně ignorovat. Simulace file jsou vygenerovány sady pro simulační software Siemens EDA Questa a Aldec Riviera-PRO a obsahují příslušný návrh files úspěšně spustit simulaci. Další podrobnosti a dostupnost opravy naleznete na Proč Intel Arria 10 EMIF Example Chyba generování návrhu při použití softwaru Intel Quartus Prime Standard Edition verze 22.1 pro Windows? v Intel FPGA Knowledge Base.
Když používáte režim kalibrace přeskočení IP Intel Arria 10 EMIF, simulace Intel Arria 10 EMIF IP se simulačním softwarem Siemens EDA Questa (Siemens EDA Questa
Advanced Simulator nebo Questa-Intel FPGA Edition) může viset.
Použijte volbu Abstract PHY pro rychlou simulaci simulace, abyste zabránili zablokování.
Další podrobnosti a dostupnost opravy naleznete na Proč se simulace IP Intel Arria 10 EMIF v simulátorech Mentor zasekne při použití softwaru Intel Quartus Prime Standard Edition verze 22.1 v Intel FPGA Knowledge Base.

Informace o známých problémech pro předchozí verze softwaru Quartus Prime naleznete ve znalostní bázi Intel FPGA web strana.
Informace o známých problémech se softwarem, které ovlivňují předchozí verze softwaru Quartus II, jsou k dispozici na softwarové podpoře Intel Quartus Prime a Quartus II. web strana.
Informace o problémech ovlivňujících knihovnu Intel FPGA IP Library jsou k dispozici v poznámkách k verzi pro každou IP adresu. Poznámky k vydání IP naleznete v indexu dokumentace Intel FPGA web strana.
Související informace

  • Intel FPGA Knowledge Base
  • Softwarová podpora Intel Quartus Prime a Quartus II
  • Poznámky k vydání Intel FPGA a programovatelných zařízení

1.13. Software a zařízení Intel Quartus Prime Standard Edition Podporujte archivy poznámek k vydání
Nejnovější a předchozí verze těchto poznámek k vydání naleznete v poznámkách k vydání softwaru Intel Quartus Prime Standard Edition a podpoře zařízení. Pokud verze softwaru není uvedena, platí poznámky k verzi pro předchozí verzi softwaru.
1.14. Vydání softwaru Intel Quartus Prime Standard Edition Verze 22.1std Historie revizí dokumentu

Verze dokumentu Verze Intel Quartus Prime Změny
2023.03.21 22.1 • Aktualizováno pro verzi 22.1std.1
• Opravené číslo verze pro verzi 22.1std.
2022.11.07 22.1. St • Aktualizované nejnovější známé softwarové problémy.
2022.10.31 22.1. St • První vydání.

Intel Quartus Prime Standard Edition: Verze 22.1std Poznámky k vydání softwaru a zařízení

intel 25G Ethernet Intel FPGA IP - Symbol 1 Online verze
intel 25G Ethernet Intel FPGA IP - Symbol 2 Odeslat zpětnou vazbu
ID: 683593
RN-01080-22.1
Verze: 2023.03.21

Dokumenty / zdroje

Intel Quartus Prime Standard Edition [pdfUživatelská příručka
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

Reference

Zanechte komentář

Vaše emailová adresa nebude zveřejněna. Povinná pole jsou označena *