Intel® Quartus® NOMBA Standard Edition
Ẹya 22.1std Software ati Awọn akọsilẹ itusilẹ Atilẹyin Ẹrọ
Imudojuiwọn fun Intel® Quartus® Prime Design Suite: 22.1std.1
Itọsọna olumulo
Intel® Quartus® Prime Standard Edition Version 22.1std Software ati Awọn akọsilẹ itusilẹ Atilẹyin Ẹrọ
Iwe yi pese pẹ-kikan alaye nipa Intel® Quartus® Prime Standard Edition Version 22.1std ati 22.1std.1.
Fun afikun alaye nipa itusilẹ sọfitiwia yii, tọka si Intel Quartus Prime Standard Edition README file ni ipo atẹle: /quartus/readme.txt
Fun alaye nipa atilẹyin ẹrọ ṣiṣe, tọka si atẹle naa web iwe: Intel FPGA Awọn ọna System Support.
Alaye ti o jọmọ
- Intel Quartus Prime Pro Edition sọfitiwia ati Awọn akọsilẹ itusilẹ Atilẹyin Ẹrọ
- Intel Quartus Prime Standard Edition Design Design Software fun Linux
- Intel Quartus NOMBA Standard Edition Oniru Software fun Windows
- Intel Quartus Prime Lite Edition Oniru Software fun Linux
- Intel Quartus Prime Lite Edition Oniru Software fun Windows
- Intel FPGA Software fifi sori ati asẹ
1.1. New Awọn ẹya ara ẹrọ ati awọn ilọsiwaju
Intel Quartus Prime Standard Edition Software Version 22.1std ati Ẹya 22.1std.1 pẹlu iṣẹ ṣiṣe ati awọn imudojuiwọn aabo. Jeki sọfitiwia rẹ di-ọjọ ki o tẹle awọn imọ awọn iṣeduro ti o ṣe iranlọwọ ilọsiwaju aabo ti fifi sori ẹrọ Intel Quartus Prime rẹ.
Ẹya Software Intel Quartus Prime Standard Edition 22.1std pẹlu awọn ẹya tuntun ati awọn imudara wọnyi:
- Atilẹyin ti a ṣafikun fun ero isise Nios® V/m.
- Fun Intel MAX® 10 awọn ẹrọ, fi kun 1.8V LVDS support.
Awọn atunṣe kokoro
Intel Quartus Prime Standard Edition Software Version 22.1std ati Ẹya 22.1std.1 tun pẹlu awọn atunṣe kokoro. Tunview Awọn iṣoro sọfitiwia ti a yanju ni oju-iwe 13 ati Awọn abulẹ sọfitiwia To wa ninu Tu silẹ ni oju-iwe 13 lati rii boya ẹya yii ni awọn atunṣe ninu tabi bibẹẹkọ ṣe ipinnu eyikeyi awọn ibeere iṣẹ alabara rẹ (Intel Premier Support).
1.2. Awọn iyipada si Ihuwasi Software
Abala yii ṣe akosile awọn iṣẹlẹ ninu eyiti ihuwasi ati awọn eto aifọwọyi ti sọfitiwia Intel Quartus Prime Standard Edition ti yipada lati awọn idasilẹ iṣaaju ti sọfitiwia Intel Quartus Prime Standard Edition.
Tọkasi awọn Eto Aiyipada Prime Quartus Intel File (.qdf), /quartus/bin/assignment_defaults.qdf, fun akojọ kan ti gbogbo awọn aiyipada iṣẹ iyansilẹ eto fun titun ti ikede Intel Quartus Prime software.
1.2.1. Awọn ẹya ati Awọn iṣẹ ti a ti parẹ
Awọn iṣẹ ati awọn ẹya ti a ṣe akojọ si ni apakan yii ni a ti parẹ ṣugbọn ko yọkuro lati Intel Quartus Prime Standard Edition Version 22.1std.1 tabi ṣaju.
Mu awọn irinṣẹ ati awọn ilana rẹ jade lati lo rirọpo tabi awọn ẹya ara ẹrọ miiran ati awọn iṣẹ ṣaaju ki o to yọkuro awọn ẹya ati awọn iṣẹ ti a ti parẹ.
Awọn ẹya ati Awọn iṣẹ Iṣeduro bi ti Intel Quartus Prime Standard Ẹya Ẹya 22.1std.1
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a ti parẹ ni Intel Quartus Prime Standard Edition Version 22.1.1.
Awọn ẹya ati Awọn iṣẹ Iṣeduro bi ti Intel Quartus Prime Standard Ẹya Ẹya 22.1std
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a ti parẹ ni Intel Quartus Prime Standard Edition Version 22.1.
Awọn ẹya ati Awọn iṣẹ ti a sọ di mimọ bi ti Intel Quartus Prime Standard Ẹya 21.1.1
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a ti parẹ ni Intel Quartus Prime Standard Edition Version 21.1.1.
Awọn ẹya ati Awọn iṣẹ Iṣeduro bi ti Intel Quartus Prime Standard Ẹya Ẹya 21.1
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a ti parẹ ni Intel Quartus Prime Standard Edition Version 21.1.
Awọn ẹya ati Awọn iṣẹ Iṣeduro bi ti Intel Quartus Prime Standard Ẹya Ẹya 20.1
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a ti parẹ ni Intel Quartus Prime Standard Edition Version 20.1.
1.2.2. Yọ Awọn ẹya ara ẹrọ ati awọn iṣẹ
Awọn iṣẹ ati awọn ẹya ti a ṣe akojọ si ni apakan yii ti yọkuro lati Intel Quartus Prime Standard Edition Version 22.1std.1 tabi ṣaju.
Awọn ẹya ati Awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Ẹya 22.1std.1
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Version 22.1.1.
Awọn ẹya ati Awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Ẹya 22.1std
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Version 22.1.
Awọn ẹya ati Awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Ẹya 21.1.1
Ko si awọn ẹya Intel Quartus Prime tabi awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Version 21.1.1.
Awọn ẹya ati Awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Ẹya 21.1
- ModelSim*-Intel FPGA Edition ati ModelSim-Intel FPGA Starter Edition
Sọfitiwia kikopa yii ti rọpo nipasẹ Questa * -Intel FPGA Edition ati Questa-Intel FPGA Starter Edition lẹsẹsẹ. - Atilẹyin ti a yọ kuro fun sọfitiwia kikopa 32-bit.
Iyipada yii yọ atilẹyin fun awọn irinṣẹ iṣeṣiro wọnyi:
- Aldec * Nṣiṣẹ-HDL* (32-bit)
Lo ẹya 64-bit ti Aldec Active-HDL tabi lo Aldec Riviera-PRO * dipo.
- Mentor Graphics * ModelSim PE
Lo Siemens * EDA ModelSim SE tabi Siemens EDA Questa Advanced Simulator dipo. - Ti kuro NicheStack TCP/IP Stack support.
- Atilẹyin ti a yọkuro fun Cadence * Incisive * Simulator Idawọlẹ (IES).
Awọn ẹya ati Awọn iṣẹ ti a yọkuro lati Intel Quartus Prime Standard Edition Ẹya 20.1
Atilẹyin fun sọfitiwia atẹle yii ti yọkuro lati Intel Quartus Prime Standard Edition Version 20.1 ati nigbamii:
- DSP Akole fun Intel FPGAs
- Intel FPGA SDK fun ṢiiCL™ (*)
- Intel FPGA RTE fun OpenCL
- Intel High-Level Synthesis (HLS) alakojo
(*) OpenCL ati aami OpenCL jẹ aami-iṣowo ti Apple Inc. ti a lo nipasẹ igbanilaaye ti Khronos Group™
1.3. Awọn ọna System Support
Alaye nipa atilẹyin ẹrọ ṣiṣe fun Intel Quartus Prime Design Suite wa lori oju-iwe Atilẹyin Eto Ṣiṣẹ ti Intel FPGA webojula.
Awọn iyipada Eto Atilẹyin Iṣẹ ni Intel Quartus Prime Standard Edition Ẹya 22.1std.1
Ko si awọn ayipada atilẹyin ẹrọ ṣiṣe ni Intel Quartus Prime Standard Edition Version 22.1std.1.
Awọn iyipada Eto Atilẹyin Iṣẹ ni Intel Quartus Prime Standard Edition Ẹya 22.1std
Atilẹyin fun awọn ọna ṣiṣe atẹle ti wa ni idinku bi ti Intel Quartus Prime Standard Edition Version 22.1:
- CentOS * Lainos 8.2
- Windows Server* 2012
- Windows Server 2016
- Windows* 10 Ẹya 1607
Gbe sori ẹrọ Windows 10 rẹ si Windows 10 Ẹya 1809 tabi nigbamii.
Atilẹyin fun awọn ọna ṣiṣe wọnyi le yọkuro ni itusilẹ ọjọ iwaju.
Ẹya Intel Quartus Prime Standard Edition 22.1 yọ atilẹyin fun awọn ọna ṣiṣe atẹle wọnyi:
- CentOS Lainos 7.5
- CentOS Lainos 8.0(1)
- CentOS Lainos 8.1(1)
- Pupa Hat * Lainos Idawọlẹ* 7
- Idawọlẹ Red Hat Linux 8.0(2)
- Idawọlẹ Red Hat Linux 8.1(2)
Awọn iyipada Eto Atilẹyin Iṣẹ ni Intel Quartus Prime Standard Edition Ẹya 21.1.1
Ko si awọn ayipada atilẹyin ẹrọ ṣiṣe ni Intel Quartus Prime Standard Edition Version 21.1.1.
Awọn iyipada Eto Atilẹyin Iṣẹ ni Intel Quartus Prime Standard Edition Ẹya 21.1
Intel Quartus Prime Standard Edition Version 21.1 ṣafikun atilẹyin fun awọn ọna ṣiṣe atẹle wọnyi:
- CentOS Linux 8.2 wa ni atilẹyin nipasẹ Intel Quartus Prime Standard Edition Version 22.1
- Red Hat * Idawọlẹ Lainos 8.2 wa ni atilẹyin nipasẹ Intel Quartus Prime Standard Edition Version 22.1
- CentOS 8.0
- Idawọlẹ Red Hat Linux 8
- SUSE* Olupin Idawọlẹ Lainos 15
- Ubuntu * Linux 20 LTS
- Windows Server 2019
Atilẹyin fun awọn ọna ṣiṣe atẹle ti wa ni idinku bi ti Intel Quartus Prime Standard Edition Version 21.1. Atilẹyin fun awọn ọna ṣiṣe wọnyi le yọkuro ni itusilẹ ọjọ iwaju:
- CentOS 7.5
- Idawọlẹ Red Hat Linux 7
Ẹya Intel Quartus Prime Standard Edition 21.1 yọ atilẹyin fun awọn ọna ṣiṣe atẹle wọnyi:
- Idawọlẹ Red Hat Linux 6
- Ubuntu Linux 14 LTS
Alaye ti o jọmọ
Awọn ọna System Support
1.4. Aaye Disk ati Awọn iṣeduro Iranti
Fifi sori ẹrọ ni kikun ti sọfitiwia Standard Edition Intel Quartus Prime nilo to 40 GB ti aaye disk to wa.
Tunto eto rẹ lati pese afikun iranti foju dogba si Ramu ti ara ti a ṣeduro ti o nilo lati ṣe ilana apẹrẹ rẹ. Yi afikun foju iranti fe ni ė awọn lapapọ munadoko iranti ti o wa lati lọwọ rẹ oniru.
Akiyesi:
Iranti foju ti o ga julọ le kọja awọn iṣeduro wọnyi. Awọn iṣeduro wọnyi da lori iye iranti ti ara ti o nilo lati ṣaṣeyọri akoko asiko ṣiṣe laarin 10% ti aṣeyọri lori ohun elo pẹlu iye ailopin ti Ramu.
Tabili 1.
Awọn ibeere Iranti fun Ṣiṣe awọn apẹrẹ Arria®
Awọn ibeere wọnyi jẹ kanna fun awọn fifi sori ẹrọ Windows ati Lainos.
Idile | Ẹrọ | Niyanju ti ara Ramu |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Aria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Aria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Aria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Aria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Tabili 2.
Awọn ibeere Iranti fun Sisẹ Awọn apẹrẹ Cyclone®
Awọn ibeere wọnyi jẹ kanna fun awọn fifi sori ẹrọ Windows ati Lainos.
Idile | Ẹrọ | Niyanju ti ara Ramu |
Intel Cyclone® 10 LP | 10KL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Cyclone V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Cyclone IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Cyclone IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Tabili 3.
Awọn ibeere Iranti fun Ṣiṣe awọn apẹrẹ MAX
Awọn ibeere wọnyi jẹ kanna fun awọn fifi sori ẹrọ Windows ati Lainos.
Idile | Ẹrọ | Niyanju ti ara Ramu |
Intel Max 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Gbogbo | 512 MB |
MAX II | Gbogbo | 512 MB |
Tabili 4.
Awọn ibeere Iranti fun Sisẹ Stratix®Designs
Awọn ibeere wọnyi jẹ kanna fun awọn fifi sori ẹrọ Windows ati Lainos.
Idile | Ẹrọ | Niyanju ti ara Ramu |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Atilẹyin Ẹrọ ati Ipo Pin-Jade
Gbogbo awọn ẹrọ iṣelọpọ lọwọlọwọ ni akopọ ni kikun, simulation, itupalẹ akoko, ati atilẹyin siseto.
1.5.1. Ayipada ninu Device Support
1.6. Awoṣe akoko, Awoṣe Agbara, ati Ipo Ẹrọ
Tabili 5.
Awoṣe akoko, Awoṣe Agbara, ati Ipo Ẹrọ fun Awọn ẹrọ Intel Arria 10
Ẹrọ Ìdílé | Ẹrọ | Ipo Awoṣe akoko | Ipo Awoṣe Agbara | Ipo ẹrọ |
Intel Aria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Ipari – 16.1 (3)(4) | Ipari - 17.0 | Ipari - 17.0 |
10AX048, 10AS048 | Ipari – 16.0.2 (4) | Ipari - 17.0 | Ipari - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Ipari – 16.0.1 (4) | Ipari - 16.0.1 | Ipari - 16.0.1 | |
10AX115, 10AT115 | Ipari – 16.0 (4) | Ipari - 16.0 | Ipari - 16.0 |
(3) Awọn ẹrọ pẹlu iwọn iyara -1 ti pari ni ẹya sọfitiwia Intel Quartus Prime 17.0
(4) Gbogbo awọn ẹrọ ipele ologun ti pari ni Intel Quartus Prime ẹya sọfitiwia 18.0.1.
Tabili 6.
Awoṣe akoko, Awoṣe Agbara, ati Ipo Ẹrọ fun Awọn Ẹrọ Cyclone 10 Intel
Ẹrọ Ìdílé | Ẹrọ | Ipo Awoṣe akoko | Ipo Awoṣe Agbara | Ipo ẹrọ |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Ipari - 17.0 | Ipari - 17.1 | Ipari - 17.1 |
Tabili 7.
Awoṣe akoko, Awoṣe Agbara, ati Ipo Ẹrọ fun Awọn Ẹrọ Intel MAX 10
Ẹrọ Ìdílé | Ẹrọ | Ipo Awoṣe akoko | Ipo Awoṣe Agbara | Ipo ẹrọ |
Intel Max 10 | 10M02, 10M04, 10M08 | Ipari – 15.1 (5) | Ipari - 15.1 | Ipari - 15.1 |
10M16, 10M25, 10M40, 10M50 | Ipari - 15.1.2 | Ipari - 15.1 | Ipari - 15.1 |
Ẹya lọwọlọwọ ti sọfitiwia Intel Quartus Prime tun pẹlu akoko ipari ati awọn awoṣe agbara fun Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. SoC, MAX II, MAX II Z, MAX V, Stratix IV, ati awọn idile ẹrọ Stratix V. Awọn awoṣe akoko fun awọn idile ẹrọ wọnyi di ipari ni awọn ẹya sọfitiwia Intel Quartus Prime 11.1 tabi tẹlẹ.
1.7. Awọn awoṣe IBIS
Tabili 8. Ipo awoṣe IBIS fun Intel Quartus Prime Standard Edition Software Ẹya Tu 22.1std
Bibẹrẹ ni ẹya sọfitiwia Intel Quartus Prime Standard Edition 16.0, awọn idile ẹrọ ni awọn ipo awoṣe IBIS ti o jẹ Ilọsiwaju, Alakoko, tabi Ipari.
Ẹrọ Ìdílé | Ipo awoṣe IBIS |
Intel Aria 10 | Ipari - 16.1.2 |
Aria V | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 14.0 |
Aria II GX | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 11.1 |
Aria II GZ | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 11.1 |
Intel Cyclone 10 LP | Ipari - 17.0 |
Cyclone V | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 14.0 |
Cyclone IV E | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 11.1 |
Cyclone IV GX | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 11.1 |
Intel Max 10 | Ipari - 16.0 |
MAX V | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 11.1 |
Stratix V | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 13.0 SP1 |
Stratix IV | Ni ibamu pẹlu iṣẹ ẹrọ PHY - 11.1 |
Awọn awoṣe IBIS ti a ṣe imudojuiwọn wa lori ayelujara lori Awọn awoṣe IBIS fun Awọn ẹrọ FPGA Intel web oju-iwe. Oju-iwe yii ti ni imudojuiwọn bi awọn awoṣe IBIS fun awọn ẹrọ ṣe wa tabi ti ni imudojuiwọn.
(5) Awọn ipo awoṣe akoko fun awọn ẹya ipele iyara MAX 10 A6 wa bi Alakoko.
1.8. EDA Interface Alaye
Tabili 9.
Awọn Irinṣẹ Iṣagbepọ N ṣe atilẹyin Intel Quartus Prime Standard Ẹya Itusilẹ sọfitiwia 22.1std
Awọn Irinṣẹ Asọpọ | Ẹya |
Siemens EDA konge* | Awọn ẹya Siemens EDA Precision ti o ṣe atilẹyin sọfitiwia Intel Quartus Prime jẹ idasilẹ ni igbagbogbo lẹhin itusilẹ sọfitiwia Intel Quartus Prime. Kan si Siemens EDA fun awọn ẹya ti Siemens EDA Precision ti o ṣe atilẹyin Intel Quartus Prime Standard Edition Software Tu Version 22.1std. |
Afoyemọ* Synplify*, Synplify Pro*, ati Synplify Premier | Synopsys Synplify, Synplify Pro, ati Synplify Premier awọn ẹya ti o ṣe atilẹyin sọfitiwia Intel Quartus Prime jẹ idasilẹ ni igbagbogbo lẹhin itusilẹ sọfitiwia Intel Quartus Prime. Kan si Synopsys fun awọn ẹya ti Synopsys Synplify, Synplify Pro, ati Synplify Premier ti o ṣe atilẹyin Intel Quartus Prime Standard Edition Software Tu Version 22.1std. |
Tabili 10.
Awọn irinṣẹ Simulation Ni atilẹyin Intel Quartus Prime Standard Edition Ẹya Tu Software 22.1std
Awọn irinṣẹ iṣeṣiro atẹle n pese RTL ati kikopa ipele-bode iṣẹ. Awọn irinṣẹ kikopa 64-bit nikan ni atilẹyin.
Awọn Irinṣẹ Kikopa | Ẹya |
Aldec Iroyin-HDL | 13.0 (Windows nikan) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium * Parallel Logic Simulation | 21.09.003 (Linux * nikan) |
Questa-Intel FPGA Edition | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa To ti ni ilọsiwaju Simulator | 2020.4 |
Synopsys VCS * ati VCS MX | P-2019.06-SP2-5 (Lainos nikan) |
Questa-Intel FPGA Edition nilo FlexLM asẹ daemon version 11.16.4.0 (tabi nigbamii). O le gba daemon iwe-aṣẹ lati Awọn Daemons Iwe-aṣẹ FlexLM fun sọfitiwia Intel FPGA web oju-iwe.
O le gba Ẹya Intel FPGA ti awọn irinṣẹ kikopa lati Ile-iṣẹ Gbigbasilẹ fun awọn FPGA.
Atilẹyin Eto Ṣiṣẹ fun Ẹya Ẹya Questa-Intel FPGA 2021.2
- Idawọlẹ Red Hat Linux 7 (64-bit)
- Idawọlẹ Red Hat Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Alaye ti o jọmọ
- Intel Quartus Prime Standard Edition Design Design Software fun Linux
- Intel Quartus NOMBA Standard Edition Oniru Software fun Windows
- Intel Quartus Prime Lite Edition Oniru Software fun Linux
- Intel Quartus Prime Lite Edition Oniru Software fun Windows
1.9. Ijerisi Antivirus
Sọfitiwia Intel Quartus Prime ti jẹ ijẹrisi ọlọjẹ ọfẹ pẹlu sọfitiwia atẹle:
Software Ijeri Antivirus fun Intel Quartus Prime Standard Edition Ẹya 22.1std.1
McAfee VirusScan Laini Aṣẹ fun Linux64 Ẹya: 7.0.0.477
AV Engine version: 6300.9389 fun Linux64.
Ẹya ti a ṣeto ti Dat: 10629 ṣẹda Kínní 22 2023
Software Ijeri Antivirus fun Intel Quartus Prime Standard Edition Ẹya 22.1std
McAfee VirusScan Laini Aṣẹ fun Linux64 Ẹya: 7.0.0.477
AV Engine version: 6300.9389 fun Linux64.
Ẹya ṣeto ti Dat: 10505 ti a ṣẹda ni Oṣu Kẹwa 19 2022
1.10. Awọn Ọrọ Software Ti yanju
Ko si awọn ibeere iṣẹ alabara ti a ṣe atunṣe bibẹẹkọ ipinnu ni Intel Quartus Prime Standard Edition Version 22.1std.1.
Awọn ibeere iṣẹ alabara atẹle wọnyi ni a ṣeto tabi bibẹẹkọ ipinnu ni Intel Quartus Prime Standard Edition Version 22.1std:
Tabili 11.
Awọn oran ti a yanju ni Intel Quartus Prime Standard Edition Version 22.1std
Intel Ijoba Support Case Awọn nọmba | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Awọn abulẹ sọfitiwia To wa ninu itusilẹ yii
Ẹya Intel Quartus Prime Standard Edition 22.1std.1 ni awọn abulẹ wọnyi fun awọn ẹya ti tẹlẹ ti sọfitiwia Iṣeduro Iṣeduro Intel Quartus Prime Standard:
Tabili 12.
Awọn abulẹ sọfitiwia ti o wa ninu Intel Quartus Prime Standard Edition Version 22.1std.1
Ẹya Software | Patch | Nọmba Ibere Iṣẹ Onibara |
Intel Quartus Prime Version 22.1 | 0.01st | – |
Intel Quartus Prime Version 21.1 | 0.14st | 00741067 |
Ẹya Intel Quartus Prime Standard Edition 22.1std ni awọn abulẹ atẹle wọnyi fun awọn ẹya iṣaaju ti sọfitiwia Intel Quartus Prime Standard Edition:
Tabili 13. Awọn abulẹ sọfitiwia ti o wa ninu Intel Quartus Prime Standard Edition Version 22.1std
Ẹya Software | Patch | Nọmba Ibere Iṣẹ Onibara |
Intel Quartus Prime Version 21.1 | 0.10st | – |
Intel Quartus Prime Version 21.1 | 0.08st | 00693884 |
Intel Quartus Prime Version 21.1 | 0.07st | 00501636 |
Intel Quartus Prime Version 21.1 | 0.06st | 00689611 |
Intel Quartus Prime Version 21.1 | 0.04stdp | – |
Intel Quartus Prime Version 21.1 | 0.03st | – |
Intel Quartus Prime Version 21.1 | 0.02st | – |
Intel Quartus Prime Version 20.1.1 | 1.09st | 00702107 |
Intel Quartus Prime Version 20.1 | 0.14st | 00702107 |
Intel Quartus Prime Version 18.1.1 | 1.13st | – |
Intel Quartus Prime Version 18.1.1 | 1.12st | – |
Intel Quartus Prime Version 18.1.1 | 1.09st | – |
Intel Quartus Prime Version 18.1 | 0.23st | 00698210 |
Intel Quartus Prime Version 18.1 | 0.21st | 00669646 |
Intel Quartus Prime Version 18.1 | 0.20st | 00689611 |
1.12. Titun Mọ Intel Quartus NOMBA Software oran
Alaye nipa awọn ọran ti a mọ ti o kan Intel Quartus Prime Standard Edition Version 22.1std wa ni ipilẹ Imọye Intel FPGA.
Fun alaye tuntun nipa awọn ọran ti o kan Intel Quartus Prime Standard Edition Version 22.1std, tunview Awọn nkan Ipilẹ Imọ Intel FPGA ti o kan Intel Quartus Prime Standard Edition Version 22.1std.
Tabili 14.
Awọn ọrọ ti a mọ pataki ti o kan Intel Quartus Prime Standard Edition Version 22.1std
Apejuwe | Ṣiṣẹda |
Lori Microsoft* Awọn ọna ṣiṣe Windows, SDI II Intel FPGA IP apẹrẹ example iran kuna pẹlu ifiranṣẹ aṣiṣe atẹle: Aṣiṣe: Kuna lati ṣe ipilẹṣẹ example apẹrẹ example_design to:: \sdi_ii_0_example_apẹrẹ |
Fun awọn alaye ati wiwa ti atunṣe, tọka si Kini idi ti SDI II Intel FPGA IP ṣe apẹrẹample iran kuna nigba lilo Intel Quartus Prime Software fun Windows? ni Intel FPGA Imọ Mimọ. |
Lori awọn eto Microsoft Windows, aṣiṣe atẹle naa waye nigbati o ba ṣẹda Intel Arria 10 EMIF Example Apẹrẹ fun kikopa: Aṣiṣe: emif_0: Aṣiṣe kan ti ṣẹlẹ nigbati o ba n ṣe agbekalẹ simulation example apẹrẹ. Wo make_sim_design_errors.log fun awọn alaye. Aṣiṣe: Kuna lati ṣe ipilẹṣẹ example apẹrẹ si:ample oniru liana> Ina Example Design: pari pẹlu awọn aṣiṣe |
O le foju foju si awọn ifiranṣẹ ikilọ wọnyi lailewu. Afọwọṣe file Awọn eto fun Siemens EDA Questa ati sọfitiwia kikopa Aldec Riviera-PRO ti wa ni ipilẹṣẹ ati ni apẹrẹ ti o yẹ. files lati ṣiṣẹ kikopa ni aṣeyọri. Fun awọn alaye diẹ sii ati wiwa ti atunṣe, tọka si Kini idi ti Intel Arria 10 EMIF Example Ikuna iran Oniru nigba lilo Intel Quartus Prime Standard Edition Software Version 22.1 fun Windows? ni Intel FPGA Imọ Mimọ. |
Nigbati o ba lo Intel Arria 10 EMIF IP Skip Calibration mode, kikopa ti Intel Arria 10 EMIF IP pẹlu Siemens EDA Questa sọfitiwia kikopa (Siemens EDA Questa Simulator To ti ni ilọsiwaju tabi Questa-Intel FPGA Edition) le gbele. |
Lo PHY Abstract fun aṣayan kikopa iyara lati ṣe idiwọ idorikodo. Fun awọn alaye diẹ sii ati wiwa ti atunṣe, tọka si Kini idi ti Simulation ti Intel Arria 10 EMIF IP ni awọn simulators Mentor duro nigba lilo Intel Quartus Prime Standard Edition Software version 22.1 ni Intel FPGA Imọ Mimọ. |
O le wa alaye ọran ti a mọ fun awọn ẹya iṣaaju ti sọfitiwia Quartus Prime lori ipilẹ Imọye Intel FPGA web oju-iwe.
Alaye nipa awọn ọran sọfitiwia ti a mọ ti o kan awọn ẹya iṣaaju ti sọfitiwia Quartus II wa lori Intel Quartus Prime ati Quartus II Atilẹyin Software web oju-iwe.
Alaye nipa awọn ọran ti o kan Intel FPGA IP Library wa ninu awọn akọsilẹ itusilẹ fun IP kọọkan. O le wa awọn akọsilẹ idasilẹ IP lori Atọka Iwe-ipamọ Intel FPGA web oju-iwe.
Alaye ti o jọmọ
- Intel FPGA Imọ Mimọ
- Intel Quartus NOMBA ati Quartus II Software Support
- Awọn FPGA Intel ati Awọn Akọsilẹ Itusilẹ Awọn ẹrọ
1.13. Intel Quartus NOMBA Standard Edition Software ati Device Ṣe atilẹyin Awọn ile ifipamọ Awọn akọsilẹ Tu silẹ
Fun awọn ẹya tuntun ati ti tẹlẹ ti awọn akọsilẹ itusilẹ wọnyi, tọka si Intel Quartus Prime Standard Edition Software ati Awọn akọsilẹ Itusilẹ Atilẹyin Ẹrọ. Ti ẹya sọfitiwia ko ba ṣe akojọ, awọn akọsilẹ itusilẹ fun ẹya sọfitiwia ti tẹlẹ kan.
1.14. Intel Quartus NOMBA Standard Edition Software Tu Ẹya 22.1std Iwe Itan Atunyẹwo
Ẹya Iwe aṣẹ | Intel Quartus NOMBA Version | Awọn iyipada |
2023.03.21 | 22.1std.1 | • Imudojuiwọn fun Ẹya 22.1std.1 Nọmba ikede atunṣe fun Ẹya 22.1std. |
2022.11.07 | 22.1st | • Imudojuiwọn Tuntun Mọ Software oran. |
2022.10.31 | 22.1st | • Tujade akọkọ. |
Intel Quartus Prime Standard Edition: Ẹya 22.1std Software ati Awọn akọsilẹ itusilẹ Atilẹyin Ẹrọ
Idajọ Ayelujara
Fi esi ranṣẹ
ID: 683593
RN-01080-22.1std
Ẹya: 2023.03.21
Awọn iwe aṣẹ / Awọn orisun
![]() |
Intel Quartus NOMBA Standard Edition [pdf] Itọsọna olumulo Kuotisi NOMBA Standard Edition, NOMBA Standard Edition, Standard Edition |