Intel® Quartus® Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ
ਸੰਸਕਰਣ 22.1std ਸਾਫਟਵੇਅਰ ਅਤੇ ਡਿਵਾਈਸ ਸਪੋਰਟ ਰੀਲੀਜ਼ ਨੋਟਸ
Intel® Quartus® Prime Design Suite ਲਈ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ: 22.1std.1
ਯੂਜ਼ਰ ਗਾਈਡ
Intel® Quartus® Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਸਾਫਟਵੇਅਰ ਅਤੇ ਡਿਵਾਈਸ ਸਪੋਰਟ ਰੀਲੀਜ਼ ਨੋਟਸ
ਇਹ ਦਸਤਾਵੇਜ਼ Intel® Quartus® Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1std ਅਤੇ 22.1std.1 ਬਾਰੇ ਲੇਟ-ਬ੍ਰੇਕਿੰਗ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਇਸ ਸੌਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਬਾਰੇ ਵਾਧੂ ਜਾਣਕਾਰੀ ਲਈ, Intel Quartus Prime Standard Edition README ਵੇਖੋ file ਹੇਠ ਦਿੱਤੇ ਸਥਾਨ ਵਿੱਚ: /quartus/readme.txt
ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਹਾਇਤਾ ਬਾਰੇ ਜਾਣਕਾਰੀ ਲਈ, ਹੇਠਾਂ ਦਿੱਤੇ ਨੂੰ ਵੇਖੋ web ਪੰਨਾ: Intel FPGA ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਅਤੇ ਡਿਵਾਈਸ ਸਪੋਰਟ ਰੀਲੀਜ਼ ਨੋਟਸ
- ਲੀਨਕਸ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸੌਫਟਵੇਅਰ
- ਵਿੰਡੋਜ਼ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸੌਫਟਵੇਅਰ
- ਲੀਨਕਸ ਲਈ Intel Quartus Prime Lite Edition ਡਿਜ਼ਾਈਨ ਸਾਫਟਵੇਅਰ
- ਵਿੰਡੋਜ਼ ਲਈ ਇੰਟੈਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਲਾਈਟ ਐਡੀਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸੌਫਟਵੇਅਰ
- Intel FPGA ਸਾਫਟਵੇਅਰ ਇੰਸਟਾਲੇਸ਼ਨ ਅਤੇ ਲਾਇਸੰਸਿੰਗ
1.1 ਨਵੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਸੁਧਾਰ
Intel Quartus Prime Standard Edition Software Version 22.1std ਅਤੇ Version 22.1std.1 ਵਿੱਚ ਫੰਕਸ਼ਨਲ ਅਤੇ ਸੁਰੱਖਿਆ ਅੱਪਡੇਟ ਸ਼ਾਮਲ ਹਨ। ਆਪਣੇ ਸੌਫਟਵੇਅਰ ਨੂੰ ਅਪ-ਟੂ-ਡੇਟ ਰੱਖੋ ਅਤੇ ਪਾਲਣਾ ਕਰੋ ਤਕਨੀਕੀ ਸਿਫਾਰਸ਼ਾਂ ਜੋ ਤੁਹਾਡੀ Intel Quartus Prime ਇੰਸਟਾਲੇਸ਼ਨ ਦੀ ਸੁਰੱਖਿਆ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਵਿੱਚ ਮਦਦ ਕਰਦੇ ਹਨ।
Intel Quartus Prime Standard Edition Software Version 22.1std ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਨਵੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਸੁਧਾਰ ਸ਼ਾਮਲ ਹਨ:
- Nios® V/m ਪ੍ਰੋਸੈਸਰ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ।
- Intel MAX® 10 ਡਿਵਾਈਸਾਂ ਲਈ, 1.8V LVDS ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ ਹੈ।
ਬੱਗ ਫਿਕਸ
Intel Quartus Prime Standard Edition Software Version 22.1std ਅਤੇ ਵਰਜਨ 22.1std.1 ਵਿੱਚ ਬੱਗ ਫਿਕਸ ਵੀ ਸ਼ਾਮਲ ਹਨ। ਦੁਬਾਰਾview ਪੰਨਾ 13 'ਤੇ ਹੱਲ ਕੀਤੇ ਗਏ ਸੌਫਟਵੇਅਰ ਮੁੱਦੇ ਅਤੇ ਪੰਨਾ 13 'ਤੇ ਇਸ ਰੀਲੀਜ਼ ਵਿੱਚ ਸ਼ਾਮਲ ਕੀਤੇ ਗਏ ਸਾਫਟਵੇਅਰ ਪੈਚ ਇਹ ਦੇਖਣ ਲਈ ਕਿ ਕੀ ਇਸ ਸੰਸਕਰਣ ਵਿੱਚ ਤੁਹਾਡੀ ਕਿਸੇ ਵੀ ਗਾਹਕ ਸੇਵਾ (ਇੰਟੇਲ ਪ੍ਰੀਮੀਅਰ ਸਪੋਰਟ) ਦੀਆਂ ਬੇਨਤੀਆਂ ਲਈ ਫਿਕਸ ਹਨ ਜਾਂ ਨਹੀਂ ਤਾਂ ਹੱਲ ਹਨ।
1.2 ਸਾਫਟਵੇਅਰ ਵਿਵਹਾਰ ਵਿੱਚ ਬਦਲਾਅ
ਇਹ ਸੈਕਸ਼ਨ ਉਹਨਾਂ ਉਦਾਹਰਨਾਂ ਦੇ ਦਸਤਾਵੇਜ਼ਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ ਜਿਸ ਵਿੱਚ Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਦੇ ਵਿਹਾਰ ਅਤੇ ਡਿਫੌਲਟ ਸੈਟਿੰਗਾਂ ਨੂੰ Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਦੇ ਪੁਰਾਣੇ ਰੀਲੀਜ਼ਾਂ ਤੋਂ ਬਦਲਿਆ ਗਿਆ ਹੈ।
Intel Quartus Prime Default Settings ਵੇਖੋ File (.qdf), /quartus/bin/assignment_defaults.qdf, Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦੇ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਲਈ ਸਾਰੀਆਂ ਡਿਫੌਲਟ ਅਸਾਈਨਮੈਂਟ ਸੈਟਿੰਗਾਂ ਦੀ ਸੂਚੀ ਲਈ।
1.2.1 ਨਾਪਸੰਦ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਕਾਰਜ
ਇਸ ਭਾਗ ਵਿੱਚ ਸੂਚੀਬੱਧ ਫੰਕਸ਼ਨਾਂ ਅਤੇ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ ਪਰ Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std.1 ਜਾਂ ਇਸਤੋਂ ਪਹਿਲਾਂ ਤੋਂ ਹਟਾਇਆ ਨਹੀਂ ਗਿਆ ਹੈ।
ਨਾਪਸੰਦ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਏ ਜਾਣ ਤੋਂ ਪਹਿਲਾਂ ਬਦਲਣ ਜਾਂ ਵਿਕਲਪਕ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਆਪਣੇ ਸਾਧਨਾਂ ਅਤੇ ਪ੍ਰਕਿਰਿਆਵਾਂ ਨੂੰ ਮਾਈਗਰੇਟ ਕਰੋ।
ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ Intel Quartus Prime Standard ਦੇ ਅਨੁਸਾਰ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ ਸੰਸਕਰਣ ਸੰਸਕਰਣ 22.1std.1
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1.1 ਵਿੱਚ ਕੋਈ ਵੀ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ Intel Quartus Prime Standard ਦੇ ਅਨੁਸਾਰ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ ਸੰਸਕਰਣ ਸੰਸਕਰਣ 22.1std
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1 ਵਿੱਚ ਕੋਈ ਵੀ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 21.1.1 ਦੇ ਅਨੁਸਾਰ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 21.1.1 ਵਿੱਚ ਕੋਈ ਵੀ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ Intel Quartus Prime Standard ਦੇ ਅਨੁਸਾਰ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ ਐਡੀਸ਼ਨ ਵਰਜਨ 21.1
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 21.1 ਵਿੱਚ ਕੋਈ ਵੀ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ Intel Quartus Prime Standard ਦੇ ਅਨੁਸਾਰ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ ਐਡੀਸ਼ਨ ਵਰਜਨ 20.1
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 20.1 ਵਿੱਚ ਕੋਈ ਵੀ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਬਰਤਰਫ਼ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ।
1.2.2. ਹਟਾਏ ਗਏ ਫੀਚਰ ਅਤੇ ਫੰਕਸ਼ਨ
ਇਸ ਭਾਗ ਵਿੱਚ ਸੂਚੀਬੱਧ ਫੰਕਸ਼ਨਾਂ ਅਤੇ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std.1 ਜਾਂ ਇਸ ਤੋਂ ਪਹਿਲਾਂ ਤੋਂ ਹਟਾ ਦਿੱਤਾ ਗਿਆ ਹੈ।
Intel Quartus Prime Standard Edition ਤੋਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਗਿਆ ਸੰਸਕਰਣ 22.1std.1
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1.1 ਤੋਂ ਕੋਈ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਨਹੀਂ ਗਿਆ ਹੈ।
Intel Quartus Prime Standard Edition ਤੋਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਗਿਆ ਸੰਸਕਰਣ 22.1std
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1 ਤੋਂ ਕੋਈ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਨਹੀਂ ਗਿਆ ਹੈ।
Intel Quartus Prime Standard Edition ਤੋਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਗਿਆ ਸੰਸਕਰਣ 21.1.1
Intel Quartus Prime ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 21.1.1 ਤੋਂ ਕੋਈ Intel Quartus Prime ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਜਾਂ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਨਹੀਂ ਗਿਆ ਹੈ।
Intel Quartus Prime Standard Edition ਤੋਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਗਿਆ ਸੰਸਕਰਣ 21.1
- ModelSim*-Intel FPGA ਐਡੀਸ਼ਨ ਅਤੇ ModelSim-Intel FPGA ਸਟਾਰਟਰ ਐਡੀਸ਼ਨ ਹਟਾਇਆ ਗਿਆ
ਇਸ ਸਿਮੂਲੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਨੂੰ ਕ੍ਰਮਵਾਰ Questa*-Intel FPGA ਐਡੀਸ਼ਨ ਅਤੇ Questa-Intel FPGA ਸਟਾਰਟਰ ਐਡੀਸ਼ਨ ਦੁਆਰਾ ਬਦਲਿਆ ਗਿਆ ਹੈ। - 32-ਬਿੱਟ ਸਿਮੂਲੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਲਈ ਸਮਰਥਨ ਹਟਾਇਆ ਗਿਆ।
ਇਹ ਬਦਲਾਅ ਹੇਠਾਂ ਦਿੱਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਲਈ ਸਮਰਥਨ ਨੂੰ ਹਟਾਉਂਦਾ ਹੈ:
— Aldec* ਐਕਟਿਵ-HDL* (32-ਬਿੱਟ)
Aldec Active-HDL ਦਾ 64-ਬਿੱਟ ਸੰਸਕਰਣ ਵਰਤੋ ਜਾਂ ਇਸਦੀ ਬਜਾਏ Aldec Riviera-PRO* ਦੀ ਵਰਤੋਂ ਕਰੋ।
- ਮੈਂਟਰ ਗ੍ਰਾਫਿਕਸ* ਮਾਡਲਸਿਮ ਪੀ.ਈ
ਇਸਦੀ ਬਜਾਏ ਸੀਮੇਂਸ* ਈਡੀਏ ਮਾਡਲਸਿਮ ਐਸਈ ਜਾਂ ਸੀਮੇਂਸ ਈਡੀਏ ਕੁਏਸਟਾ ਐਡਵਾਂਸਡ ਸਿਮੂਲੇਟਰ ਦੀ ਵਰਤੋਂ ਕਰੋ। - NicheStack TCP/IP ਸਟੈਕ ਸਮਰਥਨ ਨੂੰ ਹਟਾਇਆ ਗਿਆ।
- Cadence* Incisive* Enterprise Simulator (IES) ਲਈ ਸਮਰਥਨ ਹਟਾਇਆ ਗਿਆ।
Intel Quartus Prime Standard Edition ਤੋਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਅਤੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਹਟਾਇਆ ਗਿਆ ਸੰਸਕਰਣ 20.1
ਹੇਠਲੇ ਸਾਫਟਵੇਅਰ ਲਈ ਸਮਰਥਨ ਨੂੰ Intel Quartus Prime Standard Edition 20.1 ਅਤੇ ਬਾਅਦ ਵਾਲੇ ਸੰਸਕਰਣ ਤੋਂ ਹਟਾ ਦਿੱਤਾ ਗਿਆ ਹੈ:
- Intel FPGAs ਲਈ DSP ਬਿਲਡਰ
- OpenCL™ (*) ਲਈ Intel FPGA SDK
- OpenCL ਲਈ Intel FPGA RTE
- Intel ਹਾਈ-ਲੈਵਲ ਸਿੰਥੇਸਿਸ (HLS) ਕੰਪਾਈਲਰ
(*) OpenCL ਅਤੇ OpenCL ਲੋਗੋ ਐਪਲ ਇੰਕ. ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ ਜੋ Khronos Group™ ਦੀ ਇਜਾਜ਼ਤ ਦੁਆਰਾ ਵਰਤੇ ਜਾਂਦੇ ਹਨ।
1.3 ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਹਿਯੋਗ
Intel Quartus Prime Design Suite ਲਈ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ ਬਾਰੇ ਜਾਣਕਾਰੀ Intel FPGA ਦੇ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ ਪੇਜ 'ਤੇ ਉਪਲਬਧ ਹੈ। webਸਾਈਟ.
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਵਿੱਚ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ ਬਦਲਾਅ ਸੰਸਕਰਣ 22.1std.1
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std.1 ਵਿੱਚ ਕੋਈ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਮਰਥਨ ਬਦਲਾਅ ਨਹੀਂ ਹਨ।
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਵਿੱਚ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ ਬਦਲਾਅ ਸੰਸਕਰਣ 22.1std
ਹੇਠਾਂ ਦਿੱਤੇ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਨੂੰ Intel Quartus Prime Standard Edition ਵਰਜਨ 22.1 ਦੇ ਤੌਰ 'ਤੇ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ:
- CentOS* Linux 8.2
- ਵਿੰਡੋਜ਼ ਸਰਵਰ* 2012
- ਵਿੰਡੋਜ਼ ਸਰਵਰ 2016
- ਵਿੰਡੋਜ਼ * 10 ਸੰਸਕਰਣ 1607
ਆਪਣੀ Windows 10 ਇੰਸਟਾਲੇਸ਼ਨ ਨੂੰ Windows 10 ਸੰਸਕਰਣ 1809 ਜਾਂ ਇਸ ਤੋਂ ਬਾਅਦ ਦੇ ਸੰਸਕਰਣ ਵਿੱਚ ਮਾਈਗਰੇਟ ਕਰੋ।
ਇਹਨਾਂ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਭਵਿੱਖੀ ਰੀਲੀਜ਼ ਵਿੱਚ ਹਟਾਇਆ ਜਾ ਸਕਦਾ ਹੈ।
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1 ਨੇ ਹੇਠਾਂ ਦਿੱਤੇ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਹਟਾ ਦਿੱਤਾ ਹੈ:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਵਿੱਚ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ ਬਦਲਾਅ ਸੰਸਕਰਣ 21.1.1
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 21.1.1 ਵਿੱਚ ਕੋਈ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਮਰਥਨ ਬਦਲਾਅ ਨਹੀਂ ਹਨ।
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਵਿੱਚ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਪੋਰਟ ਬਦਲਾਅ ਸੰਸਕਰਣ 21.1
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 21.1 ਨੇ ਹੇਠਾਂ ਦਿੱਤੇ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਹੈ:
- CentOS Linux 8.2 Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1 ਦੁਆਰਾ ਸਮਰਥਿਤ ਰਹਿੰਦਾ ਹੈ
- Red Hat* Enterprise Linux 8.2 ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1 ਦੁਆਰਾ ਸਮਰਥਿਤ ਰਹਿੰਦਾ ਹੈ
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* ਲੀਨਕਸ ਐਂਟਰਪ੍ਰਾਈਜ਼ ਸਰਵਰ 15
- ਉਬੰਟੂ* ਲੀਨਕਸ 20 LTS
- ਵਿੰਡੋਜ਼ ਸਰਵਰ 2019
ਹੇਠਾਂ ਦਿੱਤੇ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਨੂੰ Intel Quartus Prime Standard Edition 21.1 ਦੇ ਰੂਪ ਵਿੱਚ ਬਰਤਰਫ਼ ਕੀਤਾ ਗਿਆ ਹੈ। ਇਹਨਾਂ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਭਵਿੱਖ ਦੇ ਰੀਲੀਜ਼ ਵਿੱਚ ਹਟਾਇਆ ਜਾ ਸਕਦਾ ਹੈ:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 21.1 ਨੇ ਹੇਠਾਂ ਦਿੱਤੇ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮਾਂ ਲਈ ਸਮਰਥਨ ਹਟਾ ਦਿੱਤਾ ਹੈ:
- Red Hat Enterprise Linux 6
- ਉਬੰਟੂ ਲੀਨਕਸ 14 LTS
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਹਿਯੋਗ
1.4 ਡਿਸਕ ਸਪੇਸ ਅਤੇ ਮੈਮੋਰੀ ਸਿਫ਼ਾਰਿਸ਼ਾਂ
Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਦੀ ਪੂਰੀ ਸਥਾਪਨਾ ਲਈ 40 GB ਤੱਕ ਉਪਲਬਧ ਡਿਸਕ ਸਪੇਸ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
ਆਪਣੇ ਸਿਸਟਮ ਨੂੰ ਸਿਫ਼ਾਰਿਸ਼ ਕੀਤੀ ਭੌਤਿਕ RAM ਦੇ ਬਰਾਬਰ ਵਾਧੂ ਵਰਚੁਅਲ ਮੈਮੋਰੀ ਪ੍ਰਦਾਨ ਕਰਨ ਲਈ ਕੌਂਫਿਗਰ ਕਰੋ ਜੋ ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਦੀ ਪ੍ਰਕਿਰਿਆ ਲਈ ਲੋੜੀਂਦਾ ਹੈ। ਇਹ ਵਾਧੂ ਵਰਚੁਅਲ ਮੈਮੋਰੀ ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਦੀ ਪ੍ਰਕਿਰਿਆ ਲਈ ਉਪਲਬਧ ਕੁੱਲ ਪ੍ਰਭਾਵਸ਼ਾਲੀ ਮੈਮੋਰੀ ਨੂੰ ਪ੍ਰਭਾਵੀ ਤੌਰ 'ਤੇ ਦੁੱਗਣਾ ਕਰ ਦਿੰਦੀ ਹੈ।
ਨੋਟ:
ਪੀਕ ਵਰਚੁਅਲ ਮੈਮੋਰੀ ਇਹਨਾਂ ਸਿਫ਼ਾਰਸ਼ਾਂ ਤੋਂ ਵੱਧ ਹੋ ਸਕਦੀ ਹੈ। ਇਹ ਸਿਫ਼ਾਰਿਸ਼ਾਂ RAM ਦੀ ਅਨੰਤ ਮਾਤਰਾ ਵਾਲੇ ਹਾਰਡਵੇਅਰ 'ਤੇ ਪ੍ਰਾਪਤ ਕੀਤੇ 10% ਦੇ ਅੰਦਰ ਰਨਟਾਈਮ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਲੋੜੀਂਦੀ ਭੌਤਿਕ ਮੈਮੋਰੀ ਦੀ ਮਾਤਰਾ 'ਤੇ ਅਧਾਰਤ ਹਨ।
ਸਾਰਣੀ 1.
Arria® ਡਿਜ਼ਾਈਨ ਦੀ ਪ੍ਰੋਸੈਸਿੰਗ ਲਈ ਮੈਮੋਰੀ ਲੋੜਾਂ
ਇਹ ਲੋੜਾਂ ਵਿੰਡੋਜ਼ ਅਤੇ ਲੀਨਕਸ ਦੋਵਾਂ ਸਥਾਪਨਾਵਾਂ ਲਈ ਇੱਕੋ ਜਿਹੀਆਂ ਹਨ।
ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਸਿਫ਼ਾਰਿਸ਼ ਕੀਤੀ ਭੌਤਿਕ RAM |
Intel Arria® 10 | 10AT115, 10AX115 | 48 ਜੀ.ਬੀ |
10AT090, 10AX090 | 44 ਜੀ.ਬੀ | |
10AS066, 10AX066 | 32 ਜੀ.ਬੀ | |
10AS057, 10AX057 | 30 ਜੀ.ਬੀ | |
10ASO48, 10AX048 | 28 ਜੀ.ਬੀ | |
10AX032, 10AS032 | 24 ਜੀ.ਬੀ | |
10AX027, 10AS027 | 22 ਜੀ.ਬੀ | |
10AX022, 10AS022 | 20 ਜੀ.ਬੀ | |
10AX016, 10AS016 | 18 ਜੀ.ਬੀ | |
ਅਰਰੀਆ ਵੀ | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 ਜੀ.ਬੀ |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 ਜੀ.ਬੀ | |
5AGXA7, 5AGTC7 | 10 ਜੀ.ਬੀ | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 ਜੀ.ਬੀ | |
5AGXA1 | 6 ਜੀ.ਬੀ | |
ਅਰਰੀਆ V GZ | 5AGZE7 | 16 ਜੀ.ਬੀ |
5AGZE3, 5AGZE5 | 12 ਜੀ.ਬੀ | |
5AGZE1 | 8 ਜੀ.ਬੀ | |
ਅਰਰੀਆ II ਜੀਐਕਸ | EP2AGX260 | 6 ਜੀ.ਬੀ |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 ਜੀ.ਬੀ | |
EP2AGX65 | 2 ਜੀ.ਬੀ | |
EP2AGX45 | 1.5 ਜੀ.ਬੀ | |
ਅਰਰੀਆ II GZ | EP2AGZ350 | 8 ਜੀ.ਬੀ |
EP2AGZ300 | 6 ਜੀ.ਬੀ | |
EP2AGZ225 | 4 ਜੀ.ਬੀ |
ਸਾਰਣੀ 2.
ਪ੍ਰੋਸੈਸਿੰਗ Cyclone® ਡਿਜ਼ਾਈਨ ਲਈ ਮੈਮੋਰੀ ਲੋੜਾਂ
ਇਹ ਲੋੜਾਂ ਵਿੰਡੋਜ਼ ਅਤੇ ਲੀਨਕਸ ਦੋਵਾਂ ਸਥਾਪਨਾਵਾਂ ਲਈ ਇੱਕੋ ਜਿਹੀਆਂ ਹਨ।
ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਸਿਫ਼ਾਰਿਸ਼ ਕੀਤੀ ਭੌਤਿਕ RAM |
Intel Cyclone® 10 LP | 10 ਸੀ | 1.5 ਜੀ.ਬੀ |
10CL080, 10CL055 | 1 ਜੀ.ਬੀ | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
ਚੱਕਰਵਾਤ ਵੀ | 5CEA9, 5CGTD9, 5CGXC9 | 8 ਜੀ.ਬੀ |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 ਜੀ.ਬੀ | |
ਚੱਕਰਵਾਤ IV GX | EP4CGX110, EP4CGX150 | 2 ਜੀ.ਬੀ |
EP4CGX50, EP4CGX75 | 1.5 ਜੀ.ਬੀ | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
ਚੱਕਰਵਾਤ IV ਈ | EP4CE115 | 1.5 ਜੀ.ਬੀ |
EP4CE55, EP4CE75 | 1 ਜੀ.ਬੀ | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
ਸਾਰਣੀ 3.
MAX ਡਿਜ਼ਾਈਨ ਦੀ ਪ੍ਰੋਸੈਸਿੰਗ ਲਈ ਮੈਮੋਰੀ ਲੋੜਾਂ
ਇਹ ਲੋੜਾਂ ਵਿੰਡੋਜ਼ ਅਤੇ ਲੀਨਕਸ ਦੋਵਾਂ ਸਥਾਪਨਾਵਾਂ ਲਈ ਇੱਕੋ ਜਿਹੀਆਂ ਹਨ।
ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਸਿਫ਼ਾਰਿਸ਼ ਕੀਤੀ ਭੌਤਿਕ RAM |
Intel MAX 10 | 10M50 | 2 ਜੀ.ਬੀ |
10M16 | 2 ਜੀ.ਬੀ | |
10M25 | 2 ਜੀ.ਬੀ | |
10M40 | 2 ਜੀ.ਬੀ | |
10M04, 10M08 | 1 ਜੀ.ਬੀ | |
10M02 | 512 MB | |
MAX V | ਸਾਰੇ | 512 MB |
MAX II | ਸਾਰੇ | 512 MB |
ਸਾਰਣੀ 4.
ਸਟ੍ਰੈਟਿਕਸ® ਡਿਜ਼ਾਈਨ ਦੀ ਪ੍ਰੋਸੈਸਿੰਗ ਲਈ ਮੈਮੋਰੀ ਦੀਆਂ ਲੋੜਾਂ
ਇਹ ਲੋੜਾਂ ਵਿੰਡੋਜ਼ ਅਤੇ ਲੀਨਕਸ ਦੋਵਾਂ ਸਥਾਪਨਾਵਾਂ ਲਈ ਇੱਕੋ ਜਿਹੀਆਂ ਹਨ।
ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਸਿਫ਼ਾਰਿਸ਼ ਕੀਤੀ ਭੌਤਿਕ RAM |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 ਜੀ.ਬੀ |
5SGXA9, 5SEE9 | 24 ਜੀ.ਬੀ | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 ਜੀ.ਬੀ | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 ਜੀ.ਬੀ | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 ਜੀ.ਬੀ | |
5SGSD3 | 8 ਜੀ.ਬੀ | |
ਸਟ੍ਰੈਟਿਕਸ IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 ਜੀ.ਬੀ |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 ਜੀ.ਬੀ | |
EP4SGX290 | 6 ਜੀ.ਬੀ | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 ਜੀ.ਬੀ | |
EP4SGX70 | 2 ਜੀ.ਬੀ |
1.5 ਡਿਵਾਈਸ ਸਪੋਰਟ ਅਤੇ ਪਿਨ-ਆਊਟ ਸਥਿਤੀ
ਸਾਰੇ ਉਤਪਾਦਨ ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਵਰਤਮਾਨ ਵਿੱਚ ਪੂਰਾ ਸੰਕਲਨ, ਸਿਮੂਲੇਸ਼ਨ, ਟਾਈਮਿੰਗ ਵਿਸ਼ਲੇਸ਼ਣ, ਅਤੇ ਪ੍ਰੋਗਰਾਮਿੰਗ ਸਹਾਇਤਾ ਹੈ।
1.5.1. ਡਿਵਾਈਸ ਸਪੋਰਟ ਵਿੱਚ ਬਦਲਾਅ
1.6 ਟਾਈਮਿੰਗ ਮਾਡਲ, ਪਾਵਰ ਮਾਡਲ, ਅਤੇ ਡਿਵਾਈਸ ਸਥਿਤੀ
ਸਾਰਣੀ 5.
Intel Arria 10 ਡਿਵਾਈਸਾਂ ਲਈ ਟਾਈਮਿੰਗ ਮਾਡਲ, ਪਾਵਰ ਮਾਡਲ, ਅਤੇ ਡਿਵਾਈਸ ਸਥਿਤੀ
ਡਿਵਾਈਸ ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਟਾਈਮਿੰਗ ਮਾਡਲ ਸਥਿਤੀ | ਪਾਵਰ ਮਾਡਲ ਸਥਿਤੀ | ਡਿਵਾਈਸ ਸਥਿਤੀ |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | ਫਾਈਨਲ - 16.1 (3)(4) | ਫਾਈਨਲ - 17.0 | ਫਾਈਨਲ - 17.0 |
10AX048, 10AS048 | ਫਾਈਨਲ - 16.0.2 (4) | ਫਾਈਨਲ - 17.0 | ਫਾਈਨਲ - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | ਫਾਈਨਲ - 16.0.1 (4) | ਫਾਈਨਲ - 16.0.1 | ਫਾਈਨਲ - 16.0.1 | |
10AX115, 10AT115 | ਫਾਈਨਲ - 16.0 (4) | ਫਾਈਨਲ - 16.0 | ਫਾਈਨਲ - 16.0 |
(3) Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ 1 ਵਿੱਚ -17.0 ਸਪੀਡ ਗ੍ਰੇਡ ਵਾਲੇ ਡਿਵਾਈਸਾਂ ਨੂੰ ਅੰਤਿਮ ਰੂਪ ਦਿੱਤਾ ਗਿਆ ਸੀ
(4) ਸਾਰੇ ਮਿਲਟਰੀ ਗ੍ਰੇਡ ਡਿਵਾਈਸਾਂ ਨੂੰ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ 18.0.1 ਵਿੱਚ ਅੰਤਿਮ ਰੂਪ ਦਿੱਤਾ ਗਿਆ ਸੀ।
ਸਾਰਣੀ 6.
ਇੰਟੇਲ ਚੱਕਰਵਾਤ 10 ਡਿਵਾਈਸਾਂ ਲਈ ਟਾਈਮਿੰਗ ਮਾਡਲ, ਪਾਵਰ ਮਾਡਲ, ਅਤੇ ਡਿਵਾਈਸ ਸਥਿਤੀ
ਡਿਵਾਈਸ ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਟਾਈਮਿੰਗ ਮਾਡਲ ਸਥਿਤੀ | ਪਾਵਰ ਮਾਡਲ ਸਥਿਤੀ | ਡਿਵਾਈਸ ਸਥਿਤੀ |
Intel ਚੱਕਰਵਾਤ 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | ਫਾਈਨਲ - 17.0 | ਫਾਈਨਲ - 17.1 | ਫਾਈਨਲ - 17.1 |
ਸਾਰਣੀ 7.
Intel MAX 10 ਡਿਵਾਈਸਾਂ ਲਈ ਟਾਈਮਿੰਗ ਮਾਡਲ, ਪਾਵਰ ਮਾਡਲ, ਅਤੇ ਡਿਵਾਈਸ ਸਥਿਤੀ
ਡਿਵਾਈਸ ਪਰਿਵਾਰ | ਡਿਵਾਈਸ | ਟਾਈਮਿੰਗ ਮਾਡਲ ਸਥਿਤੀ | ਪਾਵਰ ਮਾਡਲ ਸਥਿਤੀ | ਡਿਵਾਈਸ ਸਥਿਤੀ |
Intel MAX 10 | 10M02, 10M04, 10M08 | ਫਾਈਨਲ - 15.1 (5) | ਫਾਈਨਲ - 15.1 | ਫਾਈਨਲ - 15.1 |
10M16, 10M25, 10M40, 10M50 | ਫਾਈਨਲ - 15.1.2 | ਫਾਈਨਲ - 15.1 | ਫਾਈਨਲ - 15.1 |
Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦੇ ਮੌਜੂਦਾ ਸੰਸਕਰਣ ਵਿੱਚ Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V ਲਈ ਅੰਤਿਮ ਸਮਾਂ ਅਤੇ ਪਾਵਰ ਮਾਡਲ ਵੀ ਸ਼ਾਮਲ ਹਨ। SoC, MAX II, MAX II Z, MAX V, Stratix IV, ਅਤੇ Stratix V ਡਿਵਾਈਸ ਪਰਿਵਾਰ। ਇਹਨਾਂ ਡਿਵਾਈਸ ਪਰਿਵਾਰਾਂ ਲਈ ਟਾਈਮਿੰਗ ਮਾਡਲ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ 11.1 ਜਾਂ ਇਸ ਤੋਂ ਪਹਿਲਾਂ ਦੇ ਸੰਸਕਰਣਾਂ ਵਿੱਚ ਅੰਤਿਮ ਬਣ ਗਏ।
1.7 IBIS ਮਾਡਲ
ਸਾਰਣੀ 8. Intel Quartus Prime Standard Edition Software ਲਈ IBIS ਮਾਡਲ ਸਥਿਤੀ ਰੀਲੀਜ਼ ਸੰਸਕਰਣ 22.1std
Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ 16.0 ਤੋਂ ਸ਼ੁਰੂ ਕਰਦੇ ਹੋਏ, ਡਿਵਾਈਸ ਪਰਿਵਾਰਾਂ ਕੋਲ IBIS ਮਾਡਲ ਸਥਿਤੀਆਂ ਹਨ ਜੋ ਜਾਂ ਤਾਂ ਐਡਵਾਂਸ, ਸ਼ੁਰੂਆਤੀ, ਜਾਂ ਅੰਤਿਮ ਹਨ।
ਡਿਵਾਈਸ ਪਰਿਵਾਰ | IBIS ਮਾਡਲ ਸਥਿਤੀ |
Intel Arria 10 | ਫਾਈਨਲ - 16.1.2 |
ਅਰਰੀਆ ਵੀ | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 14.0 |
ਅਰਰੀਆ II ਜੀਐਕਸ | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 11.1 |
ਅਰਰੀਆ II GZ | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 11.1 |
Intel ਚੱਕਰਵਾਤ 10 LP | ਫਾਈਨਲ - 17.0 |
ਚੱਕਰਵਾਤ ਵੀ | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 14.0 |
ਚੱਕਰਵਾਤ IV ਈ | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 11.1 |
ਚੱਕਰਵਾਤ IV GX | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 11.1 |
Intel MAX 10 | ਫਾਈਨਲ - 16.0 |
MAX V | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 11.1 |
ਸਟ੍ਰੈਟਿਕਸ ਵੀ | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 13.0 SP1 |
ਸਟ੍ਰੈਟਿਕਸ IV | PHY ਡਿਵਾਈਸ ਓਪਰੇਸ਼ਨ ਨਾਲ ਸਬੰਧਿਤ - 11.1 |
ਅੱਪਡੇਟ ਕੀਤੇ IBIS ਮਾਡਲ Intel FPGA ਡਿਵਾਈਸਾਂ ਲਈ IBIS ਮਾਡਲਾਂ 'ਤੇ ਔਨਲਾਈਨ ਉਪਲਬਧ ਹਨ। web ਪੰਨਾ ਇਹ ਪੰਨਾ ਅੱਪਡੇਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਕਿਉਂਕਿ ਡਿਵਾਈਸਾਂ ਲਈ IBIS ਮਾਡਲ ਉਪਲਬਧ ਹੁੰਦੇ ਹਨ ਜਾਂ ਅੱਪਡੇਟ ਹੁੰਦੇ ਹਨ।
(5) MAX 10 A6 ਸਪੀਡ ਗ੍ਰੇਡ ਪੁਰਜ਼ਿਆਂ ਲਈ ਟਾਈਮਿੰਗ ਮਾਡਲ ਸਥਿਤੀਆਂ ਮੁੱਢਲੇ ਤੌਰ 'ਤੇ ਰਹਿੰਦੀਆਂ ਹਨ।
1.8 EDA ਇੰਟਰਫੇਸ ਜਾਣਕਾਰੀ
ਸਾਰਣੀ 9.
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਸੰਸਕਰਣ 22.1std ਦਾ ਸਮਰਥਨ ਕਰਨ ਵਾਲੇ ਸਿੰਥੇਸਿਸ ਟੂਲ
ਸਿੰਥੇਸਿਸ ਟੂਲ | ਸੰਸਕਰਣ |
ਸੀਮੇਂਸ ਈਡੀਏ ਸ਼ੁੱਧਤਾ* | Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦਾ ਸਮਰਥਨ ਕਰਨ ਵਾਲੇ Siemens EDA ਪਰੀਸੀਜ਼ਨ ਸੰਸਕਰਣ ਆਮ ਤੌਰ 'ਤੇ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦੇ ਰਿਲੀਜ਼ ਹੋਣ ਤੋਂ ਬਾਅਦ ਜਾਰੀ ਕੀਤੇ ਜਾਂਦੇ ਹਨ। Intel Quartus Prime Standard Edition Software Release Version 22.1std ਦਾ ਸਮਰਥਨ ਕਰਨ ਵਾਲੇ Siemens EDA Precision ਦੇ ਸੰਸਕਰਣਾਂ ਲਈ Siemens EDA ਨਾਲ ਸੰਪਰਕ ਕਰੋ। |
Synopsys* Synplify*, Synplify Pro*, ਅਤੇ Synplify Premier | Synopsys Synplify, Synplify Pro, ਅਤੇ Synplify ਪ੍ਰੀਮੀਅਰ ਸੰਸਕਰਣ ਜੋ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦਾ ਸਮਰਥਨ ਕਰਦੇ ਹਨ, ਖਾਸ ਤੌਰ 'ਤੇ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਦੇ ਰਿਲੀਜ਼ ਹੋਣ ਤੋਂ ਬਾਅਦ ਜਾਰੀ ਕੀਤੇ ਜਾਂਦੇ ਹਨ। Synopsys Synplify, Synplify Pro, ਅਤੇ Synplify Premier ਦੇ ਸੰਸਕਰਣਾਂ ਲਈ Synopsys ਨਾਲ ਸੰਪਰਕ ਕਰੋ ਜੋ Intel Quartus Prime Standard Edition Software Release Version 22.1std ਦਾ ਸਮਰਥਨ ਕਰਦੇ ਹਨ। |
ਸਾਰਣੀ 10.
ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਦਾ ਸਮਰਥਨ ਕਰਦੇ ਹਨ ਸਾਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਸੰਸਕਰਣ 22.1std
ਹੇਠਾਂ ਦਿੱਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ RTL ਅਤੇ ਫੰਕਸ਼ਨਲ ਗੇਟ-ਲੈਵਲ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹਨ। ਸਿਰਫ਼ 64-ਬਿੱਟ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ ਸਮਰਥਿਤ ਹਨ।
ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ | ਸੰਸਕਰਣ |
Aldec ਐਕਟਿਵ-HDL | 13.0 (ਸਿਰਫ਼ ਵਿੰਡੋਜ਼) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* ਪੈਰਲਲ ਲਾਜਿਕ ਸਿਮੂਲੇਸ਼ਨ | 21.09.003 (ਸਿਰਫ਼ ਲੀਨਕਸ*) |
Questa-Intel FPGA ਐਡੀਸ਼ਨ | 2021.2 |
ਸੀਮੇਂਸ EDA ਮਾਡਲਸਿਮ SE | 2020.4 |
ਸੀਮੇਂਸ ਈਡੀਏ ਕੁਏਸਟਾ ਐਡਵਾਂਸਡ ਸਿਮੂਲੇਟਰ | 2020.4 |
Synopsys VCS* ਅਤੇ VCS MX | P-2019.06-SP2-5 (ਸਿਰਫ਼ ਲੀਨਕਸ) |
Questa-Intel FPGA ਐਡੀਸ਼ਨ ਲਈ FlexLM ਲਾਇਸੰਸਿੰਗ ਡੈਮਨ ਵਰਜਨ 11.16.4.0 (ਜਾਂ ਬਾਅਦ ਵਿੱਚ) ਦੀ ਲੋੜ ਹੈ। ਤੁਸੀਂ Intel FPGA ਸੌਫਟਵੇਅਰ ਲਈ FlexLM ਲਾਇਸੈਂਸ ਡੈਮਨ ਤੋਂ ਲਾਇਸੈਂਸਿੰਗ ਡੈਮਨ ਪ੍ਰਾਪਤ ਕਰ ਸਕਦੇ ਹੋ web ਪੰਨਾ
ਤੁਸੀਂ FPGAs ਲਈ ਡਾਉਨਲੋਡ ਸੈਂਟਰ ਤੋਂ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਦਾ Intel FPGA ਐਡੀਸ਼ਨ ਪ੍ਰਾਪਤ ਕਰ ਸਕਦੇ ਹੋ।
Questa-Intel FPGA ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 2021.2 ਲਈ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਸਮਰਥਨ
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise ਸਰਵਰ 12 (64-bit)
- ਵਿੰਡੋਜ਼ 10 (64-ਬਿੱਟ)
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- ਲੀਨਕਸ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸੌਫਟਵੇਅਰ
- ਵਿੰਡੋਜ਼ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸੌਫਟਵੇਅਰ
- ਲੀਨਕਸ ਲਈ Intel Quartus Prime Lite Edition ਡਿਜ਼ਾਈਨ ਸਾਫਟਵੇਅਰ
- ਵਿੰਡੋਜ਼ ਲਈ ਇੰਟੈਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਲਾਈਟ ਐਡੀਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸੌਫਟਵੇਅਰ
1.9 ਐਂਟੀਵਾਇਰਸ ਪੁਸ਼ਟੀਕਰਨ
Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਨੂੰ ਨਿਮਨਲਿਖਤ ਸਾਫਟਵੇਅਰ ਨਾਲ ਵਾਇਰਸ ਮੁਕਤ ਪ੍ਰਮਾਣਿਤ ਕੀਤਾ ਗਿਆ ਹੈ:
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਲਈ ਐਂਟੀਵਾਇਰਸ ਵੈਰੀਫਿਕੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਸੰਸਕਰਣ 22.1std.1
Linux64 ਸੰਸਕਰਣ ਲਈ McAfee VirusScan ਕਮਾਂਡ ਲਾਈਨ: 7.0.0.477
AV ਇੰਜਣ ਸੰਸਕਰਣ: Linux6300.9389 ਲਈ 64।
ਡੈਟ ਸੈੱਟ ਸੰਸਕਰਣ: 10629 22 ਫਰਵਰੀ 2023 ਨੂੰ ਬਣਾਇਆ ਗਿਆ
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਲਈ ਐਂਟੀਵਾਇਰਸ ਵੈਰੀਫਿਕੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਸੰਸਕਰਣ 22.1std
Linux64 ਸੰਸਕਰਣ ਲਈ McAfee VirusScan ਕਮਾਂਡ ਲਾਈਨ: 7.0.0.477
AV ਇੰਜਣ ਸੰਸਕਰਣ: Linux6300.9389 ਲਈ 64।
ਡੈਟ ਸੈੱਟ ਸੰਸਕਰਣ: 10505 ਅਕਤੂਬਰ 19 2022 ਨੂੰ ਬਣਾਇਆ ਗਿਆ
1.10 ਸੌਫਟਵੇਅਰ ਮੁੱਦੇ ਹੱਲ ਕੀਤੇ ਗਏ
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std.1 ਵਿੱਚ ਕਿਸੇ ਵੀ ਗਾਹਕ ਸੇਵਾ ਬੇਨਤੀਆਂ ਨੂੰ ਹੱਲ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਸੀ।
ਨਿਮਨਲਿਖਤ ਗਾਹਕ ਸੇਵਾ ਬੇਨਤੀਆਂ ਨੂੰ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ 22.1std ਵਿੱਚ ਹੱਲ ਕੀਤਾ ਗਿਆ ਸੀ ਜਾਂ ਹੋਰ ਹੱਲ ਕੀਤਾ ਗਿਆ ਸੀ:
ਸਾਰਣੀ 11.
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਵਿੱਚ ਮੁੱਦੇ ਹੱਲ ਕੀਤੇ ਗਏ
ਇੰਟੇਲ ਪ੍ਰੀਮੀਅਰ ਸਪੋਰਟ ਕੇਸ ਨੰਬਰ | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11 ਇਸ ਰੀਲੀਜ਼ ਵਿੱਚ ਸ਼ਾਮਲ ਸਾਫਟਵੇਅਰ ਪੈਚ
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std.1 ਵਿੱਚ Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਦੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਪੈਚ ਸ਼ਾਮਲ ਹਨ:
ਸਾਰਣੀ 12.
Intel Quartus ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੰਸਕਰਣ ਵਿੱਚ ਸ਼ਾਮਲ ਸਾਫਟਵੇਅਰ ਪੈਚ 22.1std.1
ਸਾਫਟਵੇਅਰ ਵਰਜਨ | ਪੈਚ | ਗਾਹਕ ਸੇਵਾ ਬੇਨਤੀ ਨੰਬਰ |
Intel Quartus Prime ਸੰਸਕਰਣ 22.1 | 0.01ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.14ਵਾਂ | 00741067 |
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਵਿੱਚ Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਦੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਪੈਚ ਸ਼ਾਮਲ ਹਨ:
ਸਾਰਣੀ 13. Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਵਿੱਚ ਸ਼ਾਮਲ ਸਾਫਟਵੇਅਰ ਪੈਚ
ਸਾਫਟਵੇਅਰ ਵਰਜਨ | ਪੈਚ | ਗਾਹਕ ਸੇਵਾ ਬੇਨਤੀ ਨੰਬਰ |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.10ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.08ਵਾਂ | 00693884 |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.07ਵਾਂ | 00501636 |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.06ਵਾਂ | 00689611 |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.04stdp | – |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.03ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 21.1 | 0.02ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 20.1.1 | 1.09ਵਾਂ | 00702107 |
Intel Quartus Prime ਸੰਸਕਰਣ 20.1 | 0.14ਵਾਂ | 00702107 |
Intel Quartus Prime ਸੰਸਕਰਣ 18.1.1 | 1.13ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 18.1.1 | 1.12ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 18.1.1 | 1.09ਵਾਂ | – |
Intel Quartus Prime ਸੰਸਕਰਣ 18.1 | 0.23ਵਾਂ | 00698210 |
Intel Quartus Prime ਸੰਸਕਰਣ 18.1 | 0.21ਵਾਂ | 00669646 |
Intel Quartus Prime ਸੰਸਕਰਣ 18.1 | 0.20ਵਾਂ | 00689611 |
1.12 ਨਵੀਨਤਮ ਜਾਣਿਆ Intel Quartus ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਮੁੱਦੇ
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕਰਨ ਵਾਲੇ ਜਾਣੇ-ਪਛਾਣੇ ਮੁੱਦਿਆਂ ਬਾਰੇ ਜਾਣਕਾਰੀ Intel FPGA ਗਿਆਨ ਅਧਾਰ ਵਿੱਚ ਉਪਲਬਧ ਹੈ।
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕਰਨ ਵਾਲੇ ਮੁੱਦਿਆਂ ਬਾਰੇ ਨਵੀਨਤਮ ਜਾਣਕਾਰੀ ਲਈ, ਦੁਬਾਰਾview Intel FPGA ਗਿਆਨ ਅਧਾਰ ਲੇਖ ਜੋ Intel Quartus Prime Standard Edition ਵਰਜਨ 22.1std 'ਤੇ ਲਾਗੂ ਹੁੰਦੇ ਹਨ।
ਸਾਰਣੀ 14.
Intel Quartus Prime Standard Edition ਸੰਸਕਰਣ 22.1std ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕਰਨ ਵਾਲੇ ਮਹੱਤਵਪੂਰਨ ਜਾਣੇ-ਪਛਾਣੇ ਮੁੱਦੇ
ਵਰਣਨ | ਕੰਮਕਾਜ |
Microsoft* ਵਿੰਡੋਜ਼ ਸਿਸਟਮਾਂ 'ਤੇ, SDI II Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਪੀੜ੍ਹੀ ਹੇਠ ਦਿੱਤੇ ਗਲਤੀ ਸੰਦੇਸ਼ ਨਾਲ ਅਸਫਲ ਹੋ ਜਾਂਦੀ ਹੈ: ਤਰੁੱਟੀ: ਸਾਬਕਾ ਬਣਾਉਣ ਵਿੱਚ ਅਸਫਲample ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample_design to :: \sdi_ii_0_example_design |
ਵੇਰਵਿਆਂ ਅਤੇ ਫਿਕਸ ਦੀ ਉਪਲਬਧਤਾ ਲਈ, ਵੇਖੋ SDI II Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਕਿਉਂ ਕਰਦਾ ਹੈampਵਿੰਡੋਜ਼ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਸਮੇਂ le ਪੀੜ੍ਹੀ ਫੇਲ ਹੋ ਜਾਂਦੀ ਹੈ? Intel FPGA ਗਿਆਨ ਅਧਾਰ ਵਿੱਚ। |
ਮਾਈਕ੍ਰੋਸਾੱਫਟ ਵਿੰਡੋਜ਼ ਸਿਸਟਮਾਂ 'ਤੇ, ਇੱਕ Intel Arria 10 EMIF Ex ਬਣਾਉਣ ਵੇਲੇ ਹੇਠ ਲਿਖੀ ਗਲਤੀ ਹੁੰਦੀ ਹੈampਸਿਮੂਲੇਸ਼ਨ ਲਈ ਡਿਜ਼ਾਈਨ: ਗਲਤੀ: emif_0: ਸਿਮੂਲੇਸ਼ਨ ਐਕਸ ਨੂੰ ਬਣਾਉਣ ਵੇਲੇ ਇੱਕ ਗਲਤੀ ਆਈ ਹੈample ਡਿਜ਼ਾਈਨ. ਵੇਰਵਿਆਂ ਲਈ make_sim_design_errors.log ਦੇਖੋ। ਤਰੁੱਟੀ: ਸਾਬਕਾ ਬਣਾਉਣ ਵਿੱਚ ਅਸਫਲample ਡਿਜ਼ਾਈਨ ਨੂੰ:ample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ> ਜਨਰੇਟ ਐਕਸample ਡਿਜ਼ਾਈਨ: ਗਲਤੀਆਂ ਨਾਲ ਪੂਰਾ ਹੋਇਆ |
ਤੁਸੀਂ ਇਹਨਾਂ ਚੇਤਾਵਨੀ ਸੰਦੇਸ਼ਾਂ ਨੂੰ ਸੁਰੱਖਿਅਤ ਢੰਗ ਨਾਲ ਅਣਡਿੱਠ ਕਰ ਸਕਦੇ ਹੋ। ਸਿਮੂਲੇਸ਼ਨ file Siemens EDA Questa ਅਤੇ Aldec Riviera-PRO ਸਿਮੂਲੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਲਈ ਸੈੱਟ ਤਿਆਰ ਕੀਤੇ ਗਏ ਹਨ ਅਤੇ ਸੰਬੰਧਿਤ ਡਿਜ਼ਾਈਨ ਸ਼ਾਮਲ ਹਨ fileਸਿਮੂਲੇਸ਼ਨ ਨੂੰ ਸਫਲਤਾਪੂਰਵਕ ਚਲਾਉਣ ਲਈ s. ਹੋਰ ਵੇਰਵਿਆਂ ਅਤੇ ਫਿਕਸ ਦੀ ਉਪਲਬਧਤਾ ਲਈ, ਵੇਖੋ Intel Arria 10 EMIF Exampਵਿੰਡੋਜ਼ ਲਈ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਵਰਜਨ 22.1 ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਸਮੇਂ ਡਿਜ਼ਾਇਨ ਜਨਰੇਸ਼ਨ ਫੇਲ? Intel FPGA ਗਿਆਨ ਅਧਾਰ ਵਿੱਚ। |
ਜਦੋਂ ਤੁਸੀਂ Intel Arria 10 EMIF IP ਸਕਿੱਪ ਕੈਲੀਬ੍ਰੇਸ਼ਨ ਮੋਡ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ, ਤਾਂ Intel Arria 10 EMIF IP ਦਾ ਸਿਮੂਲੇਸ਼ਨ ਸੀਮੇਂਸ ਈਡੀਏ ਕੁਏਸਟਾ ਸਿਮੂਲੇਸ਼ਨ ਸੌਫਟਵੇਅਰ (ਸੀਮੇਂਸ ਈਡੀਏ ਕੁਏਸਟਾ) ਨਾਲ ਐਡਵਾਂਸਡ ਸਿਮੂਲੇਟਰ ਜਾਂ Questa-Intel FPGA ਐਡੀਸ਼ਨ) ਲਟਕ ਸਕਦਾ ਹੈ। |
ਹੈਂਗ ਨੂੰ ਰੋਕਣ ਲਈ ਤੇਜ਼ ਸਿਮੂਲੇਸ਼ਨ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਲਈ ਐਬਸਟਰੈਕਟ PHY ਦੀ ਵਰਤੋਂ ਕਰੋ। ਹੋਰ ਵੇਰਵਿਆਂ ਅਤੇ ਫਿਕਸ ਦੀ ਉਪਲਬਧਤਾ ਲਈ, ਵੇਖੋ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ 10 ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਸਮੇਂ ਮੈਂਟਰ ਸਿਮੂਲੇਟਰਾਂ ਵਿੱਚ ਇੰਟੇਲ ਅਰਰੀਆ 22.1 EMIF IP ਦਾ ਸਿਮੂਲੇਸ਼ਨ ਕਿਉਂ ਹੈਂਗ ਹੁੰਦਾ ਹੈ Intel FPGA ਗਿਆਨ ਅਧਾਰ ਵਿੱਚ। |
ਤੁਸੀਂ Intel FPGA ਗਿਆਨ ਅਧਾਰ 'ਤੇ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਦੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਲਈ ਜਾਣੀ-ਪਛਾਣੀ ਮੁੱਦੇ ਦੀ ਜਾਣਕਾਰੀ ਪ੍ਰਾਪਤ ਕਰ ਸਕਦੇ ਹੋ। web ਪੰਨਾ
ਕੁਆਰਟਸ II ਸਾਫਟਵੇਅਰ ਦੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕਰਨ ਵਾਲੇ ਜਾਣੇ-ਪਛਾਣੇ ਸਾਫਟਵੇਅਰ ਮੁੱਦਿਆਂ ਬਾਰੇ ਜਾਣਕਾਰੀ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਅਤੇ ਕੁਆਰਟਸ II ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ 'ਤੇ ਉਪਲਬਧ ਹੈ। web ਪੰਨਾ
Intel FPGA IP ਲਾਇਬ੍ਰੇਰੀ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕਰਨ ਵਾਲੀਆਂ ਸਮੱਸਿਆਵਾਂ ਬਾਰੇ ਜਾਣਕਾਰੀ ਹਰੇਕ IP ਲਈ ਰਿਲੀਜ਼ ਨੋਟਸ ਵਿੱਚ ਉਪਲਬਧ ਹੈ। ਤੁਸੀਂ Intel FPGA ਡੌਕੂਮੈਂਟੇਸ਼ਨ ਇੰਡੈਕਸ 'ਤੇ IP ਰੀਲੀਜ਼ ਨੋਟਸ ਲੱਭ ਸਕਦੇ ਹੋ web ਪੰਨਾ
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- Intel FPGA ਗਿਆਨ ਅਧਾਰ
- Intel Quartus Prime ਅਤੇ Quartus II ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ
- Intel FPGAs ਅਤੇ ਪ੍ਰੋਗਰਾਮੇਬਲ ਡਿਵਾਈਸ ਰੀਲੀਜ਼ ਨੋਟਸ
1.13 Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਅਤੇ ਡਿਵਾਈਸ ਰੀਲੀਜ਼ ਨੋਟਸ ਪੁਰਾਲੇਖਾਂ ਦਾ ਸਮਰਥਨ ਕਰੋ
ਇਹਨਾਂ ਰੀਲੀਜ਼ ਨੋਟਸ ਦੇ ਨਵੀਨਤਮ ਅਤੇ ਪਿਛਲੇ ਸੰਸਕਰਣਾਂ ਲਈ, Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਅਤੇ ਡਿਵਾਈਸ ਸਪੋਰਟ ਰੀਲੀਜ਼ ਨੋਟਸ ਵੇਖੋ। ਜੇਕਰ ਇੱਕ ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ ਸੂਚੀਬੱਧ ਨਹੀਂ ਹੈ, ਤਾਂ ਪਿਛਲੇ ਸਾਫਟਵੇਅਰ ਸੰਸਕਰਣ ਲਈ ਰੀਲੀਜ਼ ਨੋਟਸ ਲਾਗੂ ਹੁੰਦੇ ਹਨ।
1.14 Intel Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਸੰਸਕਰਣ 22.1std ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ
ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ | Intel Quartus Prime ਸੰਸਕਰਣ | ਤਬਦੀਲੀਆਂ |
2023.03.21 | 22.1std.1 | • ਸੰਸਕਰਣ 22.1std.1 ਲਈ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ • ਸੰਸਕਰਣ 22.1std ਲਈ ਸੰਸਕਰਣ ਨੰਬਰ ਠੀਕ ਕੀਤਾ ਗਿਆ ਹੈ। |
2022.11.07 | 22.1ਵਾਂ | • ਨਵੀਨਤਮ ਜਾਣੇ-ਪਛਾਣੇ ਸਾਫਟਵੇਅਰ ਮੁੱਦਿਆਂ ਨੂੰ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ। |
2022.10.31 | 22.1ਵਾਂ | ਸ਼ੁਰੂਆਤੀ ਜਾਰੀ. |
Intel Quartus Prime Standard Edition: ਵਰਜਨ 22.1std ਸਾਫਟਵੇਅਰ ਅਤੇ ਡਿਵਾਈਸ ਸਪੋਰਟ ਰੀਲੀਜ਼ ਨੋਟਸ
ਆਨਲਾਈਨ ਵਰਜਨ
ਫੀਡਬੈਕ ਭੇਜੋ
ID: 683593
RN-01080-22.1std
ਸੰਸਕਰਣ: 2023.03.21
ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ
![]() |
intel Quartus Prime Standard Edition [pdf] ਯੂਜ਼ਰ ਗਾਈਡ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ, ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ, ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ |