Intel® Quartus® Prime Standard Edition
Lintlha tsa Phatlalatso ea Mofuta oa 22.1std Software le Sesebelisoa sa Tšehetso ea Sesebelisoa
E ntlafalitsoe bakeng sa Intel® Quartus® Prime Design Suite: 22.1std.1
Bukana ea Mosebelisi
Intel® Quartus® Prime Standard Edition Version 22.1std Lintlha tsa Tokollo ea Software le Sesebelisoa sa Ts'ehetso ea Sesebelisoa
Tokomane ena e fana ka leseli la morao-rao mabapi le Intel® Quartus® Prime Standard Edition Version 22.1std le 22.1std.1.
Bakeng sa lintlha tse ling mabapi le tokollo ea software ena, sheba Intel Quartus Prime Standard Edition README file sebakeng se latelang: /quartus/readme.txt
Bakeng sa tlhahisoleseling mabapi le ts'ehetso ea sistimi ea ts'ebetso, sheba tse latelang web leqephe: Ts'ehetso ea Ts'ebetso ea Ts'ebetso ea Intel FPGA.
Lintlha Tse Amanang
- Intel Quartus Prime Pro Edition Software le Lintlha tsa Phallo ea Tšehetso ea Sesebelisoa
- Intel Quartus Prime Standard Edition Design Software bakeng sa Linux
- Intel Quartus Prime Standard Edition Design Software bakeng sa Windows
- Intel Quartus Prime Lite Edition Design Software bakeng sa Linux
- Intel Quartus Prime Lite Edition Design Software bakeng sa Windows
- Kenyelletso ea Software ea Intel FPGA le License
1.1. Likarolo tse Ncha le Lintlafatso
Intel Quartus Prime Standard Edition Software Version 22.1std le Version 22.1std.1 li kenyelletsa lisebelisoa tsa ts'ebetso le ts'ireletso. Boloka software ea hau e le nakong 'me u latele likhothaletso tsa tekheniki tse thusang ho ntlafatsa ts'ireletso ea ts'ebetso ea hau ea Intel Quartus Prime.
Intel Quartus Prime Standard Edition Software Version 22.1std e kenyelletsa lintlha tse ncha tse latelang le lintlafatso:
- Tšehetso e ekelitsoeng bakeng sa processor ea Nios® V/m.
- Bakeng sa lisebelisoa tsa Intel MAX® 10, ho kenyelelitsoe tšehetso ea 1.8V LVDS.
Litokiso tsa Litšitiso
Intel Quartus Prime Standard Edition Software Version 22.1std le Version 22.1std.1 le tsona li kenyelletsa ho lokisa liphoso. Review Mathata a Software a Rarollotsoe leqepheng la 13 le Lipache tsa Software tse Kenyellelitsoeng Phatlalatsong ena leqepheng la 13 ho bona hore na mofuta ona o na le litokiso bakeng sa kapa ho seng joalo o rarolla likopo tsa litšebeletso tsa bareki tsa hau (Intel Premier Support).
1.2. Liphetoho ho Boitšoaro ba Software
Karolo ena e fana ka mehlala eo ho eona boits'oaro le litlhophiso tsa kamehla tsa software ea Intel Quartus Prime Standard Edition li fetotsoeng ho tsoa ho litokollo tsa pejana tsa software ea Intel Quartus Prime Standard Edition.
Sheba litlhophiso tsa Intel Quartus Prime Default File (.qdf), /quartus/bin/assignment_defaults.qdf, bakeng sa lethathamo la litlhophiso tsohle tsa kabo ea kamehla bakeng sa mofuta oa morao-rao oa software ea Intel Quartus Prime.
1.2.1. Likarolo le Mesebetsi e Ileng ea Khaotsoa
Mesebetsi le likarolo tse thathamisitsoeng karolong ena li lahliloe empa ha lia tlosoa ho Intel Quartus Prime Standard Edition Version 22.1std.1 kapa pejana.
Tsamaisa lisebelisoa le lits'ebetso tsa hau ho sebelisa lisebelisoa tse ling kapa tse ling pele likarolo le mesebetsi e tlositsoeng e tlosoa.
Likarolo le Mesebetsi e Tlositsoe ho tloha ho Intel Quartus Prime Standard Khatiso ea 22.1std.1
Ha ho likarolo kapa mesebetsi ea Intel Quartus Prime e theotsoeng ho Intel Quartus Prime Standard Edition Version 22.1.1.
Likarolo le Mesebetsi e Tlositsoe ho tloha ho Intel Quartus Prime Standard Khatiso ea 22.1std
Ha ho likarolo kapa mesebetsi ea Intel Quartus Prime e theotsoeng ho Intel Quartus Prime Standard Edition Version 22.1.
Likarolo le Mesebetsi e Tlokotsoe ho tloha ho Intel Quartus Prime Standard Edition Version 21.1.1
Ha ho likarolo kapa mesebetsi ea Intel Quartus Prime e theotsoeng ho Intel Quartus Prime Standard Edition Version 21.1.1.
Likarolo le Mesebetsi e Tlositsoe ho tloha ho Intel Quartus Prime Standard Khatiso ea 21.1
Ha ho likarolo kapa mesebetsi ea Intel Quartus Prime e theotsoeng ho Intel Quartus Prime Standard Edition Version 21.1.
Likarolo le Mesebetsi e Tlositsoe ho tloha ho Intel Quartus Prime Standard Khatiso ea 20.1
Ha ho likarolo kapa mesebetsi ea Intel Quartus Prime e theotsoeng ho Intel Quartus Prime Standard Edition Version 20.1.
1.2.2. Likarolo le Mesebetsi e Tlositsoeng
Mesebetsi le likarolo tse thathamisitsoeng karolong ena li tlositsoe ho Intel Quartus Prime Standard Edition Version 22.1std.1 kapa pejana.
Likarolo le Mesebetsi e Tlositsoe ho Intel Quartus Prime Standard Edition Phetolelo ea 22.1std.1
Ha ho na likarolo kapa mesebetsi ea Intel Quartus Prime e tlositsoeng ho Intel Quartus Prime Standard Edition Version 22.1.1.
Likarolo le Mesebetsi e Tlositsoe ho Intel Quartus Prime Standard Edition Phetolelo ea 22.1std
Ha ho na likarolo kapa mesebetsi ea Intel Quartus Prime e tlositsoeng ho Intel Quartus Prime Standard Edition Version 22.1.
Likarolo le Mesebetsi e Tlositsoe ho Intel Quartus Prime Standard Edition Phetolelo ea 21.1.1
Ha ho na likarolo kapa mesebetsi ea Intel Quartus Prime e tlositsoeng ho Intel Quartus Prime Standard Edition Version 21.1.1.
Likarolo le Mesebetsi e Tlositsoe ho Intel Quartus Prime Standard Edition Phetolelo ea 21.1
- E tlositsoe ModelSim * -Intel FPGA Edition le ModelSim-Intel FPGA Starter Edition
Software ena ea papiso e nkeloe sebaka ke Questa * -Intel FPGA Edition le Questa-Intel FPGA Starter Edition ka ho latellana. - Ho tlositsoe tšehetso bakeng sa software ea ketsiso ea 32-bit.
Phetoho ena e tlosa tšehetso bakeng sa lisebelisoa tse latelang tsa papiso:
- Aldec* Active-HDL* (32-bit)
Sebelisa mofuta oa 64-bit oa Aldec Active-HDL kapa sebelisa Aldec Riviera-PRO* ho e-na le hoo.
— Mentor Graphics* ModelSim PE
Sebelisa Siemens* EDA ModelSim SE kapa Siemens EDA Questa Advanced Simulator ho e-na le hoo. - E tlositsoe NicheStack TCP / IP Stack tšehetso.
- Ho tlositsoe tšehetso bakeng sa Cadence* Incisive* Enterprise Simulator (IES).
Likarolo le Mesebetsi e Tlositsoe ho Intel Quartus Prime Standard Edition Phetolelo ea 20.1
Ts'ehetso ea software e latelang e tlositsoe ho Intel Quartus Prime Standard Edition Version 20.1 le hamorao:
- DSP Builder bakeng sa Intel FPGAs
- Intel FPGA SDK bakeng sa OpenCL™ (*)
- Intel FPGA RTE bakeng sa OpenCL
- Intel High-Level Synthesis (HLS) Compiler
(*) OpenCL le logo ya OpenCL ke matshwao a kgwebo a Apple Inc. a sebediswang ka tumello ya Khronos Group™
1.3. Tšehetso ea Sistimi ea Ts'ebetso
Lintlha mabapi le ts'ehetso ea sistimi ea ts'ebetso bakeng sa Intel Quartus Prime Design Suite e fumaneha leqepheng la Operating System Support ea Intel FPGA. websebaka.
Liphetoho tsa Ts'ebetso ea Ts'ebetso ho Intel Quartus Prime Standard Edition Phetolelo ea 22.1std.1
Ha ho na liphetoho tsa tšehetso ea sistimi ea ts'ebetso ho Intel Quartus Prime Standard Edition Version 22.1std.1.
Liphetoho tsa Ts'ebetso ea Ts'ebetso ho Intel Quartus Prime Standard Edition Phetolelo ea 22.1std
Ts'ehetso ea lits'ebetso tse latelang e theohile ho tloha ho Intel Quartus Prime Standard Edition Version 22.1:
- CentOS* Linux 8.2
- Windows Server* 2012
- Windows Server 2016
- Windows* 10 Version 1607
Tsamaisa ea hau Windows 10 ho kenya Windows 10 Version 1809 kapa hamorao.
Ts'ehetso ea lisebelisoa tsena tsa ts'ebetso e ka tlosoa tokollong e tlang.
Intel Quartus Prime Standard Edition Version 22.1 e tlositse tšehetso bakeng sa lits'ebetso tse latelang:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Liphetoho tsa Ts'ebetso ea Ts'ebetso ho Intel Quartus Prime Standard Edition Phetolelo ea 21.1.1
Ha ho na liphetoho tsa ts'ehetso ea sistimi ea ts'ebetso ho Intel Quartus Prime Standard Edition Version 21.1.1.
Liphetoho tsa Ts'ebetso ea Ts'ebetso ho Intel Quartus Prime Standard Edition Phetolelo ea 21.1
Intel Quartus Prime Standard Edition Version 21.1 e ekelitse tšehetso bakeng sa lits'ebetso tse latelang:
- CentOS Linux 8.2 e ntse e tšehetsoa ke Intel Quartus Prime Standard Edition Version 22.1
- Red Hat* Enterprise Linux 8.2 e ntse e tšehetsoa ke Intel Quartus Prime Standard Edition Version 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
Ts'ehetso ea lits'ebetso tse latelang e theohile ho tloha ho Intel Quartus Prime Standard Edition Version 21.1. Ts'ehetso ea lisebelisoa tsena tsa ts'ebetso e ka tlosoa tokollong e tlang:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Version 21.1 e tlositse tšehetso bakeng sa lits'ebetso tse latelang:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Lintlha Tse Amanang
Tšehetso ea Sistimi ea Ts'ebetso
1.4. Disk Space le Litlhahiso tsa Memori
Ho kenya software ea Intel Quartus Prime Standard Edition ka botlalo ho hloka ho fihla ho 40 GB ea sebaka sa disk se fumanehang.
Hlophisa sistimi ea hau ho fana ka memori e eketsehileng e lekanang le RAM e khothaletsoang e hlokahalang ho sebetsa moralo oa hau. Memori ena e tlatselletsang e sebetsa habeli memori e sebetsang e fumanehang ho sebetsa moralo oa hau.
Hlokomela:
Peak virtual memory e ka feta likhothaletso tsena. Litlhahiso tsena li ipapisitse le palo ea mohopolo oa 'mele o hlokahalang ho fihlela nako ea ho sebetsa ka har'a 10% ea e fihletsoeng ho hardware e nang le palo e sa feleng ea RAM.
Lethathamo la 1.
Litlhoko tsa memori bakeng sa ho sebetsa Meetso ea Arria®
Litlhoko tsena lia tšoana bakeng sa ho kenya Windows le Linux ka bobeli.
Lelapa | Sesebelisoa | RAM e khothalelitsoeng ea 'mele |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Lethathamo la 2.
Litlhoko tsa memori bakeng sa ho sebetsa Meralo ea Cyclone®
Litlhoko tsena lia tšoana bakeng sa ho kenya Windows le Linux ka bobeli.
Lelapa | Sesebelisoa | RAM e khothalelitsoeng ea 'mele |
Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Leholiotsoana V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Leholiotsoana IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Leholiotsoana IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Lethathamo la 3.
Litlhoko tsa memori bakeng sa ho sebetsa MAX Designs
Litlhoko tsena lia tšoana bakeng sa ho kenya Windows le Linux ka bobeli.
Lelapa | Sesebelisoa | RAM e khothalelitsoeng ea 'mele |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Tsohle | 512 MB |
MAX II | Tsohle | 512 MB |
Lethathamo la 4.
Litlhoko tsa memori bakeng sa ho sebetsa Stratix®Designs
Litlhoko tsena lia tšoana bakeng sa ho kenya Windows le Linux ka bobeli.
Lelapa | Sesebelisoa | RAM e khothalelitsoeng ea 'mele |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Tšehetso ea Sesebelisoa le Boemo ba Pin-Out
Lisebelisoa tsohle tsa tlhahiso hajoale li na le pokello e felletseng, papiso, tlhahlobo ea nako, le ts'ehetso ea mananeo.
1.5.1. Liphetoho ho Tšehetso ea Lisebelisoa
1.6. Mohlala oa Nako, Mohlala oa Matla, le Boemo ba Sesebelisoa
Lethathamo la 5.
Mohlala oa Nako, Mohlala oa Matla, le Boemo ba Sesebelisoa sa Intel Arria 10 Devices
Lelapa la Sesebelisoa | Sesebelisoa | Boemo ba Mohlala oa Nako | Boemo ba Mohlala oa Matla | Boemo ba Sesebelisoa |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Qetellong - 16.1 (3)(4) | Qetellong - 17.0 | Qetellong - 17.0 |
10AX048, 10AS048 | Qetellong - 16.0.2 (4) | Qetellong - 17.0 | Qetellong - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Qetellong - 16.0.1 (4) | Qetellong - 16.0.1 | Qetellong - 16.0.1 | |
10AX115, 10AT115 | Qetellong - 16.0 (4) | Qetellong - 16.0 | Qetellong - 16.0 |
(3) Lisebelisoa tse nang le lebelo la -1 li ile tsa phetheloa ho Intel Quartus Prime software version 17.0
(4) Lisebelisoa tsohle tsa boemo ba sesole li ile tsa phethoa ka Intel Quartus Prime software version 18.0.1.
Lethathamo la 6.
Mohlala oa Nako, Mohlala oa Matla, le Boemo ba Sesebelisoa sa Intel Cyclone 10 Devices
Lelapa la Sesebelisoa | Sesebelisoa | Boemo ba Mohlala oa Nako | Boemo ba Mohlala oa Matla | Boemo ba Sesebelisoa |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Qetellong - 17.0 | Qetellong - 17.1 | Qetellong - 17.1 |
Lethathamo la 7.
Mohlala oa Nako, Mohlala oa Matla, le Boemo ba Sesebelisoa sa Intel MAX 10 Devices
Lelapa la Sesebelisoa | Sesebelisoa | Boemo ba Mohlala oa Nako | Boemo ba Mohlala oa Matla | Boemo ba Sesebelisoa |
Intel MAX 10 | 10M02, 10M04, 10M08 | Qetellong - 15.1 (5) | Qetellong - 15.1 | Qetellong - 15.1 |
10M16, 10M25, 10M40, 10M50 | Qetellong - 15.1.2 | Qetellong - 15.1 | Qetellong - 15.1 |
Mofuta oa hajoale oa software ea Intel Quartus Prime e boetse e kenyelletsa mehlala ea ho qetela ea nako le matla bakeng sa Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. SoC, MAX II, MAX II Z, MAX V, Stratix IV, le malapa a lisebelisoa tsa Stratix V. Mefuta ea nako bakeng sa malapa ana a lisebelisoa e bile ea ho qetela ho Intel Quartus Prime software version 11.1 kapa pejana.
1.7. Mefuta ea IBIS
Lethathamo la 8. Boemo ba Mohlala oa IBIS bakeng sa Software ea Intel Quartus Prime Standard Edition Phatlalatso ea Version 22.1std
Ho qala ka Intel Quartus Prime Standard Edition software version 16.0, malapa a lisebelisoa a na le maemo a mohlala a IBIS ao e ka bang Advance, Preliminary, kapa Final.
Lelapa la Sesebelisoa | Boemo ba Mohlala oa IBIS |
Intel Arria 10 | Qetellong - 16.1.2 |
Arria V | E amanang le ts'ebetso ea sesebelisoa sa PHY - 14.0 |
Arria II GX | E amanang le ts'ebetso ea sesebelisoa sa PHY - 11.1 |
Arria II GZ | E amanang le ts'ebetso ea sesebelisoa sa PHY - 11.1 |
Intel Cyclone 10 LP | Qetellong - 17.0 |
Leholiotsoana V | E amanang le ts'ebetso ea sesebelisoa sa PHY - 14.0 |
Leholiotsoana IV E | E amanang le ts'ebetso ea sesebelisoa sa PHY - 11.1 |
Leholiotsoana IV GX | E amanang le ts'ebetso ea sesebelisoa sa PHY - 11.1 |
Intel MAX 10 | Qetellong - 16.0 |
MAX V | E amanang le ts'ebetso ea sesebelisoa sa PHY - 11.1 |
Stratix V | E amanang le ts'ebetso ea sesebelisoa sa PHY - 13.0 SP1 |
Stratix IV | E amanang le ts'ebetso ea sesebelisoa sa PHY - 11.1 |
Mefuta e ntlafalitsoeng ea IBIS e fumaneha inthaneteng ho Mefuta ea IBIS ea Intel FPGA Devices web leqephe. Leqephe lena le ntlafatsoa ha mefuta ea IBIS ea lisebelisoa e ntse e fumaneha kapa e nchafatsoa.
(5) Maemo a mohlala oa nako bakeng sa likarolo tsa kereiti ea lebelo la MAX 10 A6 li lula e le Selelekela.
1.8. Litaba tsa Sehokelo sa EDA
Lethathamo la 9.
Lisebelisoa tsa Synthesis tse Tšehetsang Intel Quartus Prime Standard Edition Tokollo ea Software Version 22.1std
Lisebelisoa tsa Synthesis | Phetolelo |
Siemens EDA Precision* | Liphetolelo tsa Siemens EDA Precision tse tšehetsang software ea Intel Quartus Prime hangata li lokolloa ka mor'a ho lokolloa ha Intel Quartus Prime software. Ikopanye le Siemens EDA bakeng sa mefuta ea Siemens EDA Precision e tšehetsang Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Synopsy* Synplify*, Synplify Pro*, le Synplify Premier | Liphetolelo tsa Synopsys Synplify, Synplify Pro, le Synplify Premier tse tšehetsang software ea Intel Quartus Prime hangata li lokolloa kamora ho lokolloa ha Intel Quartus Prime software. Ikopanye le Synopsys bakeng sa mefuta ea Synopsys Synplify, Synplify Pro, le Synplify Premier e tšehetsang Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Lethathamo la 10.
Lisebelisoa tsa Ketsiso tse Tšehetsang Khatiso e Tloaelehileng ea Intel Quartus Prime Phatlalatso ea Software Version 22.1std
Lisebelisoa tse latelang tsa ketsiso li fana ka RTL le ketsiso e sebetsang ea boemo ba heke. Ke lisebelisoa tsa ketsiso tsa 64-bit feela tse tšehetsoeng.
Lisebelisoa tsa Ketsiso | Phetolelo |
Aldec Active-HDL | 13.0 (Windows feela) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* Parallel Logic Simulation | 21.09.003 (Linux* feela) |
Khatiso ea Questa-Intel FPGA | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* le VCS MX | P-2019.06-SP2-5 (Linux feela) |
Khatiso ea Questa-Intel FPGA e hloka mofuta oa daemon ea laesense ea FlexLM 11.16.4.0 (kapa hamorao). U ka fumana daemon ea laesense ho FlexLM License Daemons bakeng sa Intel FPGA Software. web leqephe.
U ka fumana Intel FPGA Edition ea lisebelisoa tsa papiso ho Setsi sa Khoasolla bakeng sa FPGAs.
Tšehetso ea Sistimi ea Ts'ebetso bakeng sa Khatiso ea Questa-Intel FPGA 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Lintlha Tse Amanang
- Intel Quartus Prime Standard Edition Design Software bakeng sa Linux
- Intel Quartus Prime Standard Edition Design Software bakeng sa Windows
- Intel Quartus Prime Lite Edition Design Software bakeng sa Linux
- Intel Quartus Prime Lite Edition Design Software bakeng sa Windows
1.9. Netefatso ea Antivirus
Software ea Intel Quartus Prime e netefalitsoe hore ha e na vaerase ka software e latelang:
Antivirus Verification Software bakeng sa Intel Quartus Prime Standard Edition Phetolelo ea 22.1std.1
McAfee VirusScan Command Line bakeng sa Linux64 Version: 7.0.0.477
Mofuta oa AV Engine: 6300.9389 bakeng sa Linux64.
Dat set version: 10629 e thehiloe Feb 22 2023
Antivirus Verification Software bakeng sa Intel Quartus Prime Standard Edition Phetolelo ea 22.1std
McAfee VirusScan Command Line bakeng sa Linux64 Version: 7.0.0.477
Mofuta oa AV Engine: 6300.9389 bakeng sa Linux64.
Dat set version: 10505 e thehiloe Oct 19 2022
1.10. Mathata a Software a Rarollotsoe
Ha ho likopo tsa litšebeletso tsa bareki tse ileng tsa rarolloa ka tsela e 'ngoe ho Intel Quartus Prime Standard Edition Version 22.1std.1.
Likopo tse latelang tsa litšebeletso tsa bareki li ile tsa lokisoa kapa tsa rarolloa ka tsela e 'ngoe ho Intel Quartus Prime Standard Edition Version 22.1std:
Lethathamo la 11.
Mathata a Rarollotsoeng ho Intel Quartus Prime Standard Edition Version 22.1std
Linomoro tsa Nyeoe ea Tšehetso ea Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Lisebelisoa tsa Software li Kenyellelitsoe Phatlalatsong ena
Intel Quartus Prime Standard Edition Version 22.1std.1 e na le lipache tse latelang bakeng sa mefuta e fetileng ea software ea Intel Quartus Prime Standard Edition:
Lethathamo la 12.
Lisebelisoa tsa Software li kenyelelitsoe ho Intel Quartus Prime Standard Edition Version 22.1std.1
Software Software | Patch | Nomoro ea Kopo ea Tšebeletso ea Bareki |
Intel Quartus Prime Version 22.1 | 0.01st | – |
Intel Quartus Prime Version 21.1 | 0.14st | 00741067 |
Intel Quartus Prime Standard Edition Version 22.1std e na le lipache tse latelang bakeng sa mefuta e fetileng ea software ea Intel Quartus Prime Standard Edition:
Lethathamo la 13. Lisebelisoa tsa Software li kenyellelitsoe ho Intel Quartus Prime Standard Edition Version 22.1std
Software Software | Patch | Nomoro ea Kopo ea Tšebeletso ea Bareki |
Intel Quartus Prime Version 21.1 | 0.10st | – |
Intel Quartus Prime Version 21.1 | 0.08st | 00693884 |
Intel Quartus Prime Version 21.1 | 0.07st | 00501636 |
Intel Quartus Prime Version 21.1 | 0.06st | 00689611 |
Intel Quartus Prime Version 21.1 | 0.04stdp | – |
Intel Quartus Prime Version 21.1 | 0.03st | – |
Intel Quartus Prime Version 21.1 | 0.02st | – |
Intel Quartus Prime Version 20.1.1 | 1.09st | 00702107 |
Intel Quartus Prime Version 20.1 | 0.14st | 00702107 |
Intel Quartus Prime Version 18.1.1 | 1.13st | – |
Intel Quartus Prime Version 18.1.1 | 1.12st | – |
Intel Quartus Prime Version 18.1.1 | 1.09st | – |
Intel Quartus Prime Version 18.1 | 0.23st | 00698210 |
Intel Quartus Prime Version 18.1 | 0.21st | 00669646 |
Intel Quartus Prime Version 18.1 | 0.20st | 00689611 |
1.12. Litaba tsa morao-rao tse tsebahalang tsa Intel Quartus Prime Software
Lintlha tse mabapi le litaba tse tsebahalang tse amang Intel Quartus Prime Standard Edition Version 22.1std li fumaneha ho Intel FPGA Knowledge Base.
Bakeng sa tlhaiso-leseling ea morao-rao mabapi le litaba tse amang Intel Quartus Prime Standard Edition Version 22.1std, review sengoloa sa Intel FPGA Knowledge Base se sebetsang ho Intel Quartus Prime Standard Edition Version 22.1std.
Lethathamo la 14.
Mathata a Bohlokoa a Tsejoang a Amang Intel Quartus Prime Standard Edition Version 22.1std
Tlhaloso | Mokhoa oa ho lokisa |
Litsamaisong tsa Microsoft* Windows, SDI II Intel FPGA IP design example generation e hloleha ka molaetsa o latelang oa phoso: Phoso: E hlolehile ho hlahisa mohlalaample design example_design to:: \sdi_ii_0_mohlample_design |
Bakeng sa lintlha le boteng ba tokiso, sheba ho Hobaneng ha moralo oa SDI II oa Intel FPGA IP e le exampna moloko o hloleha ha o sebelisa Intel Quartus Prime Software bakeng sa Windows? ho Intel FPGA Knowledge Base. |
Litsamaisong tsa Microsoft Windows, phoso e latelang e etsahala ha ho etsoa Intel Arria 10 EMIF Example Moralo oa papiso: Phoso: emif_0: Phoso e etsahetse ha ho etsoa papiso ea mohlalaample moralo. Sheba make_sim_design_errors.log bakeng sa lintlha. Phoso: E hlolehile ho hlahisa mohlalaample moralo ho:ample directory ea moralo> Hlahisa Example Design: e phethiloe ka liphoso |
U ka hlokomoloha melaetsa ena ea temoso ka mokhoa o sireletsehileng. Ketsiso file lisebelisoa tsa software ea simulation ea Siemens EDA Questa le Aldec Riviera-PRO lia hlahisoa 'me li na le moralo o nepahetseng. files ho tsamaisa ketsiso ka katleho. Bakeng sa lintlha tse ling le boteng ba tokiso, sheba ho Hobaneng ha Intel Arria 10 EMIF Example Design Generation Fail ha u sebelisa Intel Quartus Prime Standard Edition Software Version 22.1 bakeng sa Windows? ho Intel FPGA Knowledge Base. |
Ha o sebelisa mokhoa oa Intel Arria 10 EMIF IP Skip Calibration, ketsiso ea Intel Arria 10 EMIF IP e nang le software ea simulation ea Siemens EDA Questa (Siemens EDA Questa Advanced Simulator kapa Questa-Intel FPGA Edition) e ka fanyeha. |
Sebelisa Abstract PHY bakeng sa khetho ea ketsiso e potlakileng ho thibela ho leketla. Bakeng sa lintlha tse ling le boteng ba tokiso, sheba ho Hobaneng ha Simulation ea Intel Arria 10 EMIF IP ho li-simulator tsa Mentor e leketla ha o sebelisa Intel Quartus Prime Standard Edition Software version 22.1 ho Intel FPGA Knowledge Base. |
U ka fumana tlhaiso-leseling e tsebahalang bakeng sa liphetolelo tse fetileng tsa software ea Quartus Prime ho Intel FPGA Knowledge Base. web leqephe.
Tlhahisoleseding ka litaba tse tsebahalang tsa software tse amang liphetolelo tse fetileng tsa software ea Quartus II e fumaneha ho Intel Quartus Prime le Quartus II Software Support. web leqephe.
Lintlha tse mabapi le litaba tse amang Intel FPGA IP Library li fumaneha ho lintlha tsa tokollo bakeng sa IP ka 'ngoe. U ka fumana lintlha tsa tokollo ea IP ho Intel FPGA Documentation Index web leqephe.
Lintlha Tse Amanang
- Intel FPGA Tsebo Base
- Intel Quartus Prime le Quartus II Software Support
- Intel FPGAs le Lintlha tsa Phatlalatso ea Lisebelisoa tse Programmable
1.13. Intel Quartus Prime Standard Edition Software le Sesebelisoa Litlhaloso tsa Litlhahiso tsa Tšehetso
Bakeng sa liphetolelo tsa morao-rao le tse fetileng tsa lintlha tsena tsa tokollo, sheba ho Intel Quartus Prime Standard Edition Software le Lintlha tsa Release Support. Haeba software e so thathamisitsoe, lintlha tsa tokollo tsa mofuta o fetileng oa software lia sebetsa.
1.14. Ho lokolloa ha Software ea Intel Quartus Prime Standard Edition Version 22.1std Document Revision History
Tokomane Version | Intel Quartus Prime Version | Liphetoho |
2023.03.21 | 22.1std.1 | • E ntlafalitsoe bakeng sa Version 22.1std.1 • Nomoro ea phetolelo e nepahetseng bakeng sa Version 22.1std. |
2022.11.07 | 22.1st | • Mathata a morao-rao a Tsejoang a Software. |
2022.10.31 | 22.1st | • Khatiso ea pele. |
Intel Quartus Prime Standard Edition: Version 22.1std Software le Device Support Release Notes
Online Version
Romella Maikutlo
ID: 683593
RN-01080-22.1std
Phetolelo: 2023.03.21
Litokomane / Lisebelisoa
![]() |
Intel Quartus Prime Standard Edition [pdf] Bukana ea Mosebelisi Khatiso e tloaelehileng ea Quartus, Prime Standard Edition, Khatiso e Tloaelehileng |