Intel® Quartus® Prime Standard Edition
Útgáfa 22.1std Útgáfuskýringar fyrir hugbúnað og tækjastuðning
Uppfært fyrir Intel® Quartus® Prime Design Suite: 22.1std.1
Notendahandbók
Intel® Quartus® Prime Standard Edition útgáfa 22.1std. Hugbúnaðar- og tækjastuðningur
Þetta skjal veitir nýjar upplýsingar um Intel® Quartus® Prime Standard Edition útgáfur 22.1std og 22.1std.1.
Fyrir frekari upplýsingar um þessa hugbúnaðarútgáfu, sjá Intel Quartus Prime Standard Edition README file á eftirfarandi stað: /quartus/readme.txt
Fyrir upplýsingar um stýrikerfisstuðning, vísa til eftirfarandi web síða: Stuðningur við Intel FPGA stýrikerfi.
Tengdar upplýsingar
- Intel Quartus Prime Pro Edition útgáfuskýringar á hugbúnaði og tækjastuðningi
- Intel Quartus Prime Standard Edition hönnunarhugbúnaður fyrir Linux
- Intel Quartus Prime Standard Edition hönnunarhugbúnaður fyrir Windows
- Intel Quartus Prime Lite Edition hönnunarhugbúnaður fyrir Linux
- Intel Quartus Prime Lite Edition hönnunarhugbúnaður fyrir Windows
- Intel FPGA hugbúnaðaruppsetning og leyfisveiting
1.1. Nýir eiginleikar og endurbætur
Intel Quartus Prime Standard Edition hugbúnaðarútgáfa 22.1std og útgáfa 22.1std.1 innihalda virkni- og öryggisuppfærslur. Haltu hugbúnaðinum þínum uppfærðum og fylgdu leiðbeiningunum tæknilegar ráðleggingar sem hjálpa til við að bæta öryggi Intel Quartus Prime uppsetningar þinnar.
Intel Quartus Prime Standard Edition hugbúnaðarútgáfa 22.1std inniheldur eftirfarandi nýja eiginleika og endurbætur:
- Bætt við stuðningi við Nios® V/m örgjörva.
- Fyrir Intel MAX® 10 tæki, bætt við 1.8V LVDS stuðning.
Villuleiðréttingar
Intel Quartus Prime Standard Edition hugbúnaðarútgáfa 22.1std og útgáfa 22.1std.1 innihalda einnig villuleiðréttingar. Afturview Hugbúnaðarvandamál leyst á blaðsíðu 13 og hugbúnaðarplástrar sem eru innifalin í þessari útgáfu á síðu 13 til að sjá hvort þessi útgáfa inniheldur lagfæringar fyrir eða leysir á annan hátt einhverjar beiðnir viðskiptavina þinna (Intel Premier Support).
1.2. Breytingar á hegðun hugbúnaðar
Þessi hluti skráir tilvik þar sem hegðun og sjálfgefna stillingum Intel Quartus Prime Standard Edition hugbúnaðarins hefur verið breytt frá fyrri útgáfum af Intel Quartus Prime Standard Edition hugbúnaðinum.
Sjá Intel Quartus Prime sjálfgefnar stillingar File (.qdf), /quartus/bin/assignment_defaults.qdf, fyrir lista yfir allar sjálfgefnar úthlutunarstillingar fyrir nýjustu útgáfuna af Intel Quartus Prime hugbúnaðinum.
1.2.1. Úreltir eiginleikar og aðgerðir
Aðgerðirnar og eiginleikarnir sem taldir eru upp í þessum hluta hafa verið úreltir en ekki fjarlægðir úr Intel Quartus Prime Standard Edition útgáfu 22.1std.1 eða eldri.
Flyttu tólin þín og ferla til að nota endurnýjun eða aðra eiginleika og aðgerðir áður en úreltir eiginleikar og aðgerðir eru fjarlægðar.
Eiginleikar og aðgerðir úreltar frá og með Intel Quartus Prime Standard Útgáfa útgáfa 22.1std.1
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Standard Edition útgáfu 22.1.1.
Eiginleikar og aðgerðir úreltar frá og með Intel Quartus Prime Standard Útgáfa útgáfa 22.1std
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Standard Edition útgáfu 22.1.
Eiginleikar og aðgerðir úrelt frá og með Intel Quartus Prime Standard Edition útgáfu 21.1.1
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Standard Edition útgáfu 21.1.1.
Eiginleikar og aðgerðir úreltar frá og með Intel Quartus Prime Standard Útgáfa útgáfa 21.1
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Standard Edition útgáfu 21.1.
Eiginleikar og aðgerðir úreltar frá og með Intel Quartus Prime Standard Útgáfa útgáfa 20.1
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Standard Edition útgáfu 20.1.
1.2.2. Fjarlægðir eiginleikar og aðgerðir
Aðgerðirnar og eiginleikarnir sem taldir eru upp í þessum hluta hafa verið fjarlægðir úr Intel Quartus Prime Standard Edition útgáfu 22.1std.1 eða eldri.
Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Standard Edition Útgáfa 22.1std.1
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið fjarlægðar úr Intel Quartus Prime Standard Edition útgáfu 22.1.1.
Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Standard Edition Útgáfa 22.1std
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið fjarlægðar úr Intel Quartus Prime Standard Edition útgáfu 22.1.
Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Standard Edition Útgáfa 21.1.1
Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið fjarlægðar úr Intel Quartus Prime Standard Edition útgáfu 21.1.1.
Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Standard Edition Útgáfa 21.1
- Fjarlægði ModelSim*-Intel FPGA Edition og ModelSim-Intel FPGA Starter Edition
Þessum uppgerðahugbúnaði hefur verið skipt út fyrir Questa*-Intel FPGA Edition og Questa-Intel FPGA Starter Edition í sömu röð. - Fjarlægði stuðning fyrir 32-bita uppgerð hugbúnaðar.
Þessi breyting fjarlægir stuðning fyrir eftirfarandi uppgerð verkfæri:
— Aldec* Active-HDL* (32-bita)
Notaðu 64 bita útgáfu af Aldec Active-HDL eða notaðu Aldec Riviera-PRO* í staðinn.
— Mentor Grafík* ModelSim PE
Notaðu Siemens* EDA ModelSim SE eða Siemens EDA Questa Advanced Simulator í staðinn. - Fjarlægði NicheStack TCP/IP Stack stuðning.
- Fjarlægði stuðning fyrir Cadence* Incisive* Enterprise Simulator (IES).
Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Standard Edition Útgáfa 20.1
Stuðningur við eftirfarandi hugbúnað hefur verið fjarlægður úr Intel Quartus Prime Standard Edition útgáfu 20.1 og nýrri:
- DSP Builder fyrir Intel FPGA
- Intel FPGA SDK fyrir OpenCL™ (*)
- Intel FPGA RTE fyrir OpenCL
- Intel High-Level Synthesis (HLS) þýðanda
(*) OpenCL og OpenCL lógóið eru vörumerki Apple Inc. notuð með leyfi Khronos Group™
1.3. Stuðningur við stýrikerfi
Upplýsingar um stýrikerfisstuðning fyrir Intel Quartus Prime Design Suite eru fáanlegar á Stýrikerfisstuðningssíðu Intel FPGA websíða.
Stuðningskerfisbreytingar í Intel Quartus Prime Standard Edition Útgáfa 22.1std.1
Það eru engar breytingar á stýrikerfisstuðningi í Intel Quartus Prime Standard Edition útgáfu 22.1std.1.
Stuðningskerfisbreytingar í Intel Quartus Prime Standard Edition Útgáfa 22.1std
Stuðningur við eftirfarandi stýrikerfi er úrelt frá og með Intel Quartus Prime Standard Edition útgáfu 22.1:
- CentOS* Linux 8.2
- Windows Server* 2012
- Windows Server 2016
- Windows* 10 útgáfa 1607
Flyttu Windows 10 uppsetninguna þína yfir í Windows 10 útgáfu 1809 eða nýrri.
Stuðningur við þessi stýrikerfi gæti verið fjarlægður í framtíðarútgáfu.
Intel Quartus Prime Standard Edition útgáfa 22.1 fjarlægði stuðning fyrir eftirfarandi stýrikerfi:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Stuðningskerfisbreytingar í Intel Quartus Prime Standard Edition Útgáfa 21.1.1
Það eru engar breytingar á stýrikerfisstuðningi í Intel Quartus Prime Standard Edition útgáfu 21.1.1.
Stuðningskerfisbreytingar í Intel Quartus Prime Standard Edition Útgáfa 21.1
Intel Quartus Prime Standard Edition útgáfa 21.1 bætti við stuðningi við eftirfarandi stýrikerfi:
- CentOS Linux 8.2 er áfram studd af Intel Quartus Prime Standard Edition útgáfu 22.1
- Red Hat* Enterprise Linux 8.2 er áfram studd af Intel Quartus Prime Standard Edition útgáfu 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
Stuðningur við eftirfarandi stýrikerfi er úrelt frá og með Intel Quartus Prime Standard Edition útgáfu 21.1. Stuðningur við þessi stýrikerfi gæti verið fjarlægður í framtíðarútgáfu:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition útgáfa 21.1 fjarlægði stuðning fyrir eftirfarandi stýrikerfi:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Tengdar upplýsingar
Stuðningur við stýrikerfi
1.4. Ráðleggingar um diskpláss og minni
Full uppsetning á Intel Quartus Prime Standard Edition hugbúnaðinum krefst allt að 40 GB af lausu plássi.
Stilltu kerfið þitt til að veita viðbótar sýndarminni sem jafngildir ráðlögðu líkamlegu vinnsluminni sem þarf til að vinna úr hönnun þinni. Þetta auka sýndarminni tvöfaldar í raun heildarminni sem er tiltækt til að vinna úr hönnun þinni.
Athugið:
Hámarks sýndarminni gæti farið yfir þessar ráðleggingar. Þessar ráðleggingar eru byggðar á því magni af líkamlegu minni sem þarf til að ná keyrslutíma innan 10% af því sem næst á vélbúnaði með óendanlega mikið af vinnsluminni.
Tafla 1.
Minniskröfur til að vinna úr Arria® hönnun
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Tafla 2.
Minni kröfur fyrir vinnslu Cyclone® hönnun
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Hvirfilbylur V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Hvirfilbylur IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Hvirfilbylur IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Tafla 3.
Minni kröfur fyrir vinnslu MAX hönnunar
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Allt | 512 MB |
MAXII | Allt | 512 MB |
Tafla 4.
Minni kröfur fyrir vinnslu Stratix® Designs
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Stuðningur við tækjabúnað og stöðu pinna
Öll framleiðslutæki eru nú með fulla samantekt, uppgerð, tímagreiningu og forritunarstuðning.
1.5.1. Breytingar á tækjastuðningi
1.6. Tímagerðarlíkan, afllíkan og staða tækis
Tafla 5.
Tímagerðarlíkan, kraftlíkan og tækjastaða fyrir Intel Arria 10 tæki
Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Úrslitaleikur – 16.1 (3)(4) | Úrslitaleikur – 17.0 | Úrslitaleikur – 17.0 |
10AX048, 10AS048 | Úrslitaleikur – 16.0.2 (4) | Úrslitaleikur – 17.0 | Úrslitaleikur – 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Úrslitaleikur – 16.0.1 (4) | Úrslitaleikur – 16.0.1 | Úrslitaleikur – 16.0.1 | |
10AX115, 10AT115 | Úrslitaleikur – 16.0 (4) | Úrslitaleikur – 16.0 | Úrslitaleikur – 16.0 |
(3) Tæki með -1 hraðaeinkunn voru endanleg í Intel Quartus Prime hugbúnaðarútgáfu 17.0
(4) Öll tæki í hernaðarflokki voru fullgerð í Intel Quartus Prime hugbúnaðarútgáfu 18.0.1.
Tafla 6.
Tímagerðarlíkan, afllíkan og tækjastaða fyrir Intel Cyclone 10 tæki
Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Úrslitaleikur – 17.0 | Úrslitaleikur – 17.1 | Úrslitaleikur – 17.1 |
Tafla 7.
Tímagerðarlíkan, kraftlíkan og tækjastaða fyrir Intel MAX 10 tæki
Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
Intel MAX 10 | 10M02, 10M04, 10M08 | Úrslitaleikur – 15.1 (5) | Úrslitaleikur – 15.1 | Úrslitaleikur – 15.1 |
10M16, 10M25, 10M40, 10M50 | Úrslitaleikur – 15.1.2 | Úrslitaleikur – 15.1 | Úrslitaleikur – 15.1 |
Núverandi útgáfa af Intel Quartus Prime hugbúnaðinum inniheldur einnig endanlega tímasetningu og afllíkön fyrir Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix IV og Stratix V tækjafjölskyldur. Tímasetningarlíkön fyrir þessar tækjafjölskyldur urðu endanlegar í Intel Quartus Prime hugbúnaðarútgáfum 11.1 eða eldri.
1.7. IBIS módel
Tafla 8. IBIS líkanstaða fyrir Intel Quartus Prime Standard Edition hugbúnaðinn Útgáfa 22.1std
Frá og með Intel Quartus Prime Standard Edition hugbúnaðarútgáfu 16.0, hafa tækjafjölskyldur IBIS líkanastöður sem eru annaðhvort fyrirfram, bráðabirgða- eða loka.
Tækjafjölskylda | Staða IBIS líkans |
Intel Arria 10 | Úrslitaleikur – 16.1.2 |
Arria V | Fylgni við notkun PHY tækis - 14.0 |
Arria II GX | Fylgni við notkun PHY tækis - 11.1 |
Arria II GZ | Fylgni við notkun PHY tækis - 11.1 |
Intel Cyclone 10 LP | Úrslitaleikur – 17.0 |
Hvirfilbylur V | Fylgni við notkun PHY tækis - 14.0 |
Hvirfilbylur IV E | Fylgni við notkun PHY tækis - 11.1 |
Hvirfilbylur IV GX | Fylgni við notkun PHY tækis - 11.1 |
Intel MAX 10 | Úrslitaleikur – 16.0 |
MAX V | Fylgni við notkun PHY tækis - 11.1 |
Stratix V | Fylgni við notkun PHY tækis - 13.0 SP1 |
Stratix IV | Fylgni við notkun PHY tækis - 11.1 |
Uppfærðar IBIS gerðir eru fáanlegar á netinu á IBIS líkönum fyrir Intel FPGA tæki web síðu. Þessi síða er uppfærð eftir því sem IBIS gerðir fyrir tæki verða fáanlegar eða eru uppfærðar.
(5) Staða tímasetningargerða fyrir MAX 10 A6 hraðahluti eru áfram sem bráðabirgðatölur.
1.8. EDA tengi upplýsingar
Tafla 9.
Myndunarverkfæri sem styðja Intel Quartus Prime Standard Edition hugbúnaðarútgáfu 22.1std
Myndunarverkfæri | Útgáfa |
Siemens EDA Precision* | Siemens EDA Precision útgáfur sem styðja Intel Quartus Prime hugbúnaðinn eru venjulega gefnar út eftir útgáfu Intel Quartus Prime hugbúnaðarins. Hafðu samband við Siemens EDA fyrir útgáfur af Siemens EDA Precision sem styðja Intel Quartus Prime Standard Edition hugbúnaðarútgáfu 22.1std. |
Synopsys* Synplify*, Synplify Pro* og Synplify Premier | Synopsys Synplify, Synplify Pro og Synplify Premier útgáfur sem styðja Intel Quartus Prime hugbúnaðinn eru venjulega gefnar út eftir útgáfu Intel Quartus Prime hugbúnaðarins. Hafðu samband við Synopsys fyrir útgáfur af Synopsys Synplify, Synplify Pro og Synplify Premier sem styðja Intel Quartus Prime Standard Edition hugbúnaðarútgáfu 22.1std. |
Tafla 10.
Hermirverkfæri sem styðja Intel Quartus Prime Standard Edition Hugbúnaðarútgáfa 22.1std
Eftirfarandi uppgerð verkfæri veita RTL og hagnýtur hlið-stigi uppgerð. Aðeins 64 bita uppgerð verkfæri eru studd.
Uppgerð verkfæri | Útgáfa |
Aldec Active-HDL | 13.0 (aðeins Windows) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* Parallel Logic Simulation | 21.09.003 (aðeins Linux*) |
Questa-Intel FPGA útgáfa | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* og VCS MX | P-2019.06-SP2-5 (aðeins Linux) |
Questa-Intel FPGA Edition krefst FlexLM leyfispúkunnar útgáfu 11.16.4.0 (eða nýrri). Þú getur fengið leyfispúkann frá FlexLM License Daemons fyrir Intel FPGA hugbúnað web síðu.
Þú getur fengið Intel FPGA útgáfu af hermiverkfærum frá niðurhalsmiðstöðinni fyrir FPGA.
Stuðningur við stýrikerfi fyrir Questa-Intel FPGA útgáfu útgáfu 2021.2
- Red Hat Enterprise Linux 7 (64-bita)
- Red Hat Enterprise Linux 8 (64-bita)
- SUSE Linux Enterprise Server 12 (64-bita)
- Windows 10 (64-bita)
Tengdar upplýsingar
- Intel Quartus Prime Standard Edition hönnunarhugbúnaður fyrir Linux
- Intel Quartus Prime Standard Edition hönnunarhugbúnaður fyrir Windows
- Intel Quartus Prime Lite Edition hönnunarhugbúnaður fyrir Linux
- Intel Quartus Prime Lite Edition hönnunarhugbúnaður fyrir Windows
1.9. Veiruvarnar staðfesting
Intel Quartus Prime hugbúnaðurinn hefur verið staðfestur víruslaus með eftirfarandi hugbúnaði:
Veiruvarnarstaðfestingarhugbúnaður fyrir Intel Quartus Prime Standard Edition Útgáfa 22.1std.1
McAfee VirusScan stjórnlína fyrir Linux64 Útgáfa: 7.0.0.477
AV Engine útgáfa: 6300.9389 fyrir Linux64.
Uppsett útgáfa: 10629 búin til 22. febrúar 2023
Veiruvarnarstaðfestingarhugbúnaður fyrir Intel Quartus Prime Standard Edition Útgáfa 22.1std
McAfee VirusScan stjórnlína fyrir Linux64 Útgáfa: 7.0.0.477
AV Engine útgáfa: 6300.9389 fyrir Linux64.
Uppsett útgáfa: 10505 búin til 19. október 2022
1.10. Hugbúnaðarvandamál leyst
Engar þjónustubeiðnir voru lagfærðar eða leystar á annan hátt í Intel Quartus Prime Standard Edition útgáfu 22.1std.1.
Eftirfarandi þjónustubeiðnir voru lagfærðar eða leystar á annan hátt í Intel Quartus Prime Standard Edition útgáfu 22.1std:
Tafla 11.
Vandamál leyst í Intel Quartus Prime Standard Edition útgáfu 22.1std
Intel Premier stuðningsmálsnúmer | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Hugbúnaðarplástrar innifalinn í þessari útgáfu
Intel Quartus Prime Standard Edition útgáfa 22.1std.1 inniheldur eftirfarandi plástra fyrir fyrri útgáfur af Intel Quartus Prime Standard Edition hugbúnaði:
Tafla 12.
Hugbúnaðarplástrar sem fylgja með Intel Quartus Prime Standard Edition útgáfu 22.1
Hugbúnaðarútgáfa | Plástur | Beiðninúmer viðskiptavinarþjónustu |
Intel Quartus Prime útgáfa 22.1 | 0.01 | – |
Intel Quartus Prime útgáfa 21.1 | 0.14 | 00741067 |
Intel Quartus Prime Standard Edition útgáfa 22.1std inniheldur eftirfarandi plástra fyrir fyrri útgáfur af Intel Quartus Prime Standard Edition hugbúnaði:
Tafla 13. Hugbúnaðarplástrar sem fylgja með Intel Quartus Prime Standard Edition útgáfu 22.1std
Hugbúnaðarútgáfa | Plástur | Beiðninúmer viðskiptavinarþjónustu |
Intel Quartus Prime útgáfa 21.1 | 0.10 | – |
Intel Quartus Prime útgáfa 21.1 | 0.08 | 00693884 |
Intel Quartus Prime útgáfa 21.1 | 0.07 | 00501636 |
Intel Quartus Prime útgáfa 21.1 | 0.06 | 00689611 |
Intel Quartus Prime útgáfa 21.1 | 0.04 stdp | – |
Intel Quartus Prime útgáfa 21.1 | 0.03 | – |
Intel Quartus Prime útgáfa 21.1 | 0.02 | – |
Intel Quartus Prime útgáfa 20.1.1 | 1.09 | 00702107 |
Intel Quartus Prime útgáfa 20.1 | 0.14 | 00702107 |
Intel Quartus Prime útgáfa 18.1.1 | 1.13 | – |
Intel Quartus Prime útgáfa 18.1.1 | 1.12 | – |
Intel Quartus Prime útgáfa 18.1.1 | 1.09 | – |
Intel Quartus Prime útgáfa 18.1 | 0.23 | 00698210 |
Intel Quartus Prime útgáfa 18.1 | 0.21 | 00669646 |
Intel Quartus Prime útgáfa 18.1 | 0.20 | 00689611 |
1.12. Nýjustu þekktu Intel Quartus Prime hugbúnaðarmálin
Upplýsingar um þekkt vandamál sem hafa áhrif á Intel Quartus Prime Standard Edition útgáfu 22.1std eru fáanlegar í Intel FPGA Knowledge Base.
Fyrir nýjustu upplýsingar um vandamál sem hafa áhrif á Intel Quartus Prime Standard Edition Version 22.1std, review Intel FPGA Knowledge Base greinarnar sem eiga við Intel Quartus Prime Standard Edition útgáfu 22.1std.
Tafla 14.
Mikilvæg þekkt vandamál sem hafa áhrif á Intel Quartus Prime Standard Edition útgáfu 22.1std
Lýsing | Lausn |
Á Microsoft* Windows kerfum, SDI II Intel FPGA IP hönnun tdample kynslóð mistekst með eftirfarandi villuboðum: Villa: Mistókst að búa til tdample hönnun example_design til:: \sdi_ii_0_example_hönnun |
Fyrir frekari upplýsingar og tiltæka lagfæringu, sjá Hvers vegna er SDI II Intel FPGA IP hönnun tdampLe kynslóð mistakast þegar Intel Quartus Prime hugbúnaðurinn er notaður fyrir Windows? í Intel FPGA Knowledge Base. |
Í Microsoft Windows kerfum kemur eftirfarandi villa upp þegar búið er til Intel Arria 10 EMIF Example Hönnun fyrir uppgerð: Villa: emif_0: Villa kom upp við að búa til uppgerð tdample hönnun. Sjá make_sim_design_errors.log fyrir frekari upplýsingar. Villa: Mistókst að búa til tdample hönnun til:amphönnunarskrá> Búðu til tdample Hönnun: lokið með villum |
Þú getur örugglega hunsað þessi viðvörunarskilaboð. Uppgerð file sett fyrir Siemens EDA Questa og Aldec Riviera-PRO hermihugbúnað eru búin til og innihalda viðeigandi hönnun files til að keyra uppgerðina með góðum árangri. Fyrir frekari upplýsingar og framboð á lagfæringu, sjá Af hverju gerir Intel Arria 10 EMIF ExampLe Design Generation Fail þegar Intel Quartus Prime Standard Edition hugbúnaðarútgáfa 22.1 er notuð fyrir Windows? í Intel FPGA Knowledge Base. |
Þegar þú notar Intel Arria 10 EMIF IP Skip Calibration ham, eftirlíkingu af Intel Arria 10 EMIF IP með Siemens EDA Questa hermihugbúnaði (Siemens EDA Questa Advanced Simulator eða Questa-Intel FPGA Edition) geta hangið. |
Notaðu Abstract PHY fyrir hraðvirka uppgerð eftirlíkingu til að koma í veg fyrir hengingu. Fyrir frekari upplýsingar og framboð á lagfæringu, sjá Af hverju hangir uppgerð Intel Arria 10 EMIF IP í Mentor hermum þegar Intel Quartus Prime Standard Edition hugbúnaðarútgáfa 22.1 er notuð í Intel FPGA Knowledge Base. |
Þú getur fundið þekktar upplýsingar um vandamál fyrir fyrri útgáfur af Quartus Prime hugbúnaðinum á Intel FPGA Knowledge Base web síðu.
Upplýsingar um þekkt hugbúnaðarvandamál sem hafa áhrif á fyrri útgáfur af Quartus II hugbúnaðinum eru fáanlegar á Intel Quartus Prime og Quartus II Software Support web síðu.
Upplýsingar um vandamál sem hafa áhrif á Intel FPGA IP bókasafnið eru fáanlegar í útgáfuskýringum fyrir hverja IP. Þú getur fundið IP útgáfuskýrslur á Intel FPGA Documentation Index web síðu.
Tengdar upplýsingar
- Intel FPGA þekkingargrunnur
- Intel Quartus Prime og Quartus II hugbúnaðarstuðningur
- Útgáfuskýringar frá Intel FPGA og forritanlegum tækjum
1.13. Intel Quartus Prime Standard Edition hugbúnaður og tæki Stuðningur við útgáfuskýrslur
Fyrir nýjustu og fyrri útgáfur þessara útgáfuskýringa, sjá Intel Quartus Prime Standard Edition Software and Device Support Release Notes. Ef hugbúnaðarútgáfa er ekki á listanum gilda útgáfuskýringar fyrir fyrri hugbúnaðarútgáfu.
1.14. Intel Quartus Prime Standard Edition hugbúnaðarútgáfa Útgáfa 22.1std Endurskoðunarsaga skjala
Skjalaútgáfa | Intel Quartus Prime útgáfa | Breytingar |
2023.03.21 | 22.1 | • Uppfært fyrir útgáfu 22.1std.1 • Leiðrétt útgáfunúmer fyrir útgáfu 22.1std. |
2022.11.07 | 22.1 | • Uppfært nýjustu þekktu hugbúnaðarmálin. |
2022.10.31 | 22.1 | • Upphafleg útgáfa. |
Intel Quartus Prime Standard Edition: Útgáfa 22.1std útgáfuskýringar á hugbúnaði og tækjastuðningi
Netútgáfa
Sendu athugasemdir
ID: 683593
RN-01080-22.1std
Útgáfa: 2023.03.21
Skjöl / auðlindir
![]() |
intel Quartus Prime Standard Edition [pdfNotendahandbók Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition |