Intel® Quartus® Prime Standard Edition
Tionndadh 22.1std Notaichean fuasglaidh Taic Bathar-bog is Innealan
Ùraichte airson Intel® Quartus® Prime Design Suite: 22.1std.1
Stiùireadh Cleachdaiche
Notaichean fuasglaidh taic bathar-bog is inneal Intel® Quartus® Prime Standard Edition Tionndadh 22.1std
Tha an sgrìobhainn seo a’ toirt seachad fiosrachadh mu dheireadh mu Intel® Quartus® Prime Standard Edition Tionndadh 22.1std agus 22.1std.1.
Airson fiosrachadh a bharrachd mun fhoillseachadh bathar-bog seo, thoir sùil air an Intel Quartus Prime Standard Edition README file anns an àite a leanas: /quartus/readme.txt
Airson fiosrachadh mu thaic siostam-obrachaidh, thoir sùil air na leanas web duilleag: Taic siostam-obrachaidh Intel FPGA.
Fiosrachadh Co-cheangailte
- Notaichean fuasglaidh bathar-bog is taic inneal Intel Quartus Prime Pro Edition
- Bathar-bog dealbhaidh Intel Quartus Prime Standard Edition airson Linux
- Bathar-bog dealbhaidh Intel Quartus Prime Standard Edition airson Windows
- Bathar-bog dealbhaidh Intel Quartus Prime Lite airson Linux
- Bathar-bog dealbhaidh Intel Quartus Prime Lite airson Windows
- Stàladh agus Ceadachadh Bathar-bog Intel FPGA
1.1. Feartan ùra agus leasachaidhean
Tha bathar-bog Intel Quartus Prime Standard Edition Tionndadh 22.1std agus Tionndadh 22.1std.1 a’ toirt a-steach ùrachaidhean gnìomh agus tèarainteachd. Cùm am bathar-bog agad ùraichte agus lean am faidhle molaidhean teicnigeach a chuidicheas le bhith ag adhartachadh tèarainteachd an stàlaidh Intel Quartus Prime agad.
Tha Intel Quartus Prime Standard Edition Software Version 22.1std a’ toirt a-steach na feartan agus na leasachaidhean ùra a leanas:
- Taic a bharrachd airson pròiseasar Nios® V / m.
- Airson innealan Intel MAX® 10, chuir taic 1.8V LVDS ris.
Ceartachaidhean Bug
Tha bathar-bog Intel Quartus Prime Standard Edition Version 22.1std agus Version 22.1std.1 cuideachd a’ toirt a-steach rèiteachadh bug. Tha athview Cùisean Bathar-bog air am Fuasgladh air duilleag 13 agus Pasganan Bathar-bog air an gabhail a-steach san Fhoillseachadh seo air duilleag 13 feuch a bheil fuasglaidhean san dreach seo airson, no ma dh’ fhuasglas e ann an dòigh eile, gin de na h-iarrtasan seirbheis teachdaiche agad (Taic Intel Premier).
1.2. Atharraichean air giùlan bathar-bog
Tha an roinn seo a’ clàradh shuidheachaidhean far an deach giùlan agus roghainnean bunaiteach bathar-bog Intel Quartus Prime Standard Edition atharrachadh bho chaidh fhoillseachadh na bu thràithe de bhathar-bog Intel Quartus Prime Standard Edition.
Thoir sùil air na roghainnean bunaiteach bunaiteach aig Intel Quartus File (.qdf), /quartus/bin/assignment_defaults.qdf, airson liosta de na roghainnean sònrachaidh bunaiteach airson an tionndadh as ùire de bhathar-bog Intel Quartus Prime.
1.2.1. Feartan agus Gnìomhan air an ìsleachadh
Chaidh na gnìomhan agus na feartan a tha air an liostadh san roinn seo a mholadh ach cha deach an toirt air falbh bho Intel Quartus Prime Standard Edition Tionndadh 22.1std.1 no nas tràithe.
Imrich na h-innealan agus na pròiseasan agad gus na feartan ùra no eile a chleachdadh mus tèid na feartan agus na gnìomhan nach deach a mholadh a thoirt air falbh.
Feartan agus Gnìomhan air an ìsleachadh mar Intel Quartus Prime Standard Deasachadh Tionndadh 22.1std.1
Cha deach feartan no gnìomhan Intel Quartus Prime a mholadh ann an Intel Quartus Prime Standard Edition Version 22.1.1.
Feartan agus Gnìomhan air an ìsleachadh mar Intel Quartus Prime Standard Deasachadh Tionndadh 22.1std
Cha deach feartan no gnìomhan Intel Quartus Prime a mholadh ann an Intel Quartus Prime Standard Edition Version 22.1.
Feartan agus Gnìomhan air an ìsleachadh mar Intel Quartus Prime Standard Edition Tionndadh 21.1.1
Cha deach feartan no gnìomhan Intel Quartus Prime a mholadh ann an Intel Quartus Prime Standard Edition Version 21.1.1.
Feartan agus Gnìomhan air an ìsleachadh mar Intel Quartus Prime Standard Deasachadh Tionndadh 21.1
Cha deach feartan no gnìomhan Intel Quartus Prime a mholadh ann an Intel Quartus Prime Standard Edition Version 21.1.
Feartan agus Gnìomhan air an ìsleachadh mar Intel Quartus Prime Standard Deasachadh Tionndadh 20.1
Cha deach feartan no gnìomhan Intel Quartus Prime a mholadh ann an Intel Quartus Prime Standard Edition Version 20.1.
1.2.2. Feartan agus gnìomhan air an toirt air falbh
Chaidh na gnìomhan agus na feartan a tha air an liostadh san roinn seo a thoirt air falbh bho Intel Quartus Prime Standard Edition Version 22.1std.1 no nas tràithe.
Feartan agus gnìomhan air an toirt air falbh bho Intel Quartus Prime Standard Edition Tionndadh 22.1std.1
Cha deach feartan no gnìomhan Intel Quartus Prime a thoirt air falbh bho Intel Quartus Prime Standard Edition Version 22.1.1.
Feartan agus gnìomhan air an toirt air falbh bho Intel Quartus Prime Standard Edition Tionndadh 22.1std
Cha deach feartan no gnìomhan Intel Quartus Prime a thoirt air falbh bho Intel Quartus Prime Standard Edition Version 22.1.
Feartan agus gnìomhan air an toirt air falbh bho Intel Quartus Prime Standard Edition Tionndadh 21.1.1
Cha deach feartan no gnìomhan Intel Quartus Prime a thoirt air falbh bho Intel Quartus Prime Standard Edition Version 21.1.1.
Feartan agus gnìomhan air an toirt air falbh bho Intel Quartus Prime Standard Edition Tionndadh 21.1
- Air a thoirt air falbh ModelSim * -Intel FPGA Edition agus ModelSim-Intel FPGA Starter Edition
Chaidh Questa * -Intel FPGA Edition agus Questa-Intel FPGA Starter Edition a chuir an àite a’ bhathar-bog atharrais seo. - Thoir air falbh taic airson bathar-bog atharrais 32-bit.
Bheir an t-atharrachadh seo air falbh taic airson na h-innealan atharrais a leanas:
- Aldec * Active-HDL* (32-bit)
Cleachd dreach 64-bit de Aldec Active-HDL no cleachd Aldec Riviera-PRO * na àite.
- Mentor Graphics * ModelSim PE
Cleachd Siemens * EDA ModelSim SE no Siemens EDA Questa Advanced Simulator na àite. - Taic NicheStack TCP/IP Stack air a thoirt air falbh.
- Thoir air falbh taic airson Cadence * Incisive * Enterprise Simulator (IES).
Feartan agus gnìomhan air an toirt air falbh bho Intel Quartus Prime Standard Edition Tionndadh 20.1
Chaidh taic airson a’ bhathar-bog a leanas a thoirt air falbh bho Intel Quartus Prime Standard Edition Version 20.1 agus nas fhaide air adhart:
- Neach-togail DSP airson FPGAn Intel
- Intel FPGA SDK airson OpenCL ™ (*)
- Intel FPGA RTE airson OpenCL
- Compiler Synthesis Àrd-ìre Intel (HLS).
(*) Tha OpenCL agus suaicheantas OpenCL nan comharran-malairt aig Apple Inc. air an cleachdadh le cead bhon Khronos Group™
1.3. Taic siostam-obrachaidh
Tha fiosrachadh mu thaic siostam obrachaidh airson an Intel Quartus Prime Design Suite ri fhaighinn air duilleag Taic Siostam Obrachaidh an Intel FPGA weblàrach.
Atharrachaidhean taic siostam obrachaidh ann an Intel Quartus Prime Standard Edition Tionndadh 22.1std.1
Chan eil atharrachaidhean taic siostam obrachaidh ann an Intel Quartus Prime Standard Edition Version 22.1std.1.
Atharrachaidhean taic siostam obrachaidh ann an Intel Quartus Prime Standard Edition Tionndadh 22.1std
Tha taic airson na siostaman obrachaidh a leanas air a mholadh mar Intel Quartus Prime Standard Edition Version 22.1:
- CentOS * Linux 8.2
- Windows Server* 2012
- Windows server 2016
- Windows * 10 Tionndadh 1607
Imrich do Windows 10 stàladh gu Windows 10 Tionndadh 1809 no nas fhaide air adhart.
Dh’ fhaodadh taic airson na siostaman obrachaidh sin a bhith air a thoirt air falbh ann am brath san àm ri teachd.
Thug Intel Quartus Prime Standard Edition Version 22.1 air falbh taic airson na siostaman obrachaidh a leanas:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat * Enterprise Linux * 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Atharrachaidhean taic siostam obrachaidh ann an Intel Quartus Prime Standard Edition Tionndadh 21.1.1
Chan eil atharrachaidhean taic siostam obrachaidh ann an Intel Quartus Prime Standard Edition Version 21.1.1.
Atharrachaidhean taic siostam obrachaidh ann an Intel Quartus Prime Standard Edition Tionndadh 21.1
Chuir Intel Quartus Prime Standard Edition Version 21.1 taic ris na siostaman obrachaidh a leanas:
- Tha CentOS Linux 8.2 fhathast a’ faighinn taic bho Intel Quartus Prime Standard Edition Tionndadh 22.1
- Tha Red Hat * Enterprise Linux 8.2 fhathast a’ faighinn taic bho Intel Quartus Prime Standard Edition Tionndadh 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE * Linux Enterprise Server 15
- Ubuntu * Linux 20 LTS
- Windows server 2019
Tha taic airson na siostaman obrachaidh a leanas air a mholadh mar Intel Quartus Prime Standard Edition Version 21.1. Dh’ fhaodadh taic airson na siostaman obrachaidh sin a bhith air a thoirt air falbh ann am brath san àm ri teachd:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Thug Intel Quartus Prime Standard Edition Version 21.1 air falbh taic airson na siostaman obrachaidh a leanas:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Fiosrachadh Co-cheangailte
Taic siostam-obrachaidh
1.4. Àite diosc agus molaidhean cuimhne
Feumaidh làn stàladh de bhathar-bog Intel Quartus Prime Standard Edition suas ri 40 GB de dh’ àite diosc a tha ri fhaighinn.
Dèan rèiteachadh air an t-siostam agad gus cuimhne brìgheil a bharrachd a thoirt seachad a tha co-ionann ris an RAM corporra a thathar a’ moladh a tha riatanach gus do dhealbhadh a phròiseasadh. Bidh an cuimhne brìgheil a bharrachd seo gu h-èifeachdach a’ dùblachadh a’ chuimhne èifeachdach iomlan a tha ri fhaighinn gus do dhealbhadh a phròiseasadh.
Thoir an aire:
Dh’ fhaodadh gum biodh cuimhne fhìor-mholaidh nas àirde na na molaidhean sin. Tha na molaidhean sin stèidhichte air na tha de chuimhne corporra a dhìth gus ùine ruith a choileanadh taobh a-staigh 10% den sin a chaidh a choileanadh air bathar-cruaidh le tomhas neo-chrìochnach de RAM.
Clàr 1 .
Riatanasan cuimhne airson a bhith a’ làimhseachadh dhealbhaidhean Arria®
Tha na riatanasan sin mar an ceudna airson an dà chuid ionadan Windows agus Linux.
Teaghlach | Inneal | RAM corporra air a mholadh |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10AS 48, 10AX048 | 28 GB | |
10 AX032, 10AS032 | 24 GB | |
10 AX027, 10AS027 | 22 GB | |
10 AX022, 10AS022 | 20 GB | |
10 AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5 AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5 AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Clàr 2 .
Riatanasan cuimhne airson a bhith a’ làimhseachadh dhealbhaidhean Cyclone®
Tha na riatanasan sin mar an ceudna airson an dà chuid ionadan Windows agus Linux.
Teaghlach | Inneal | RAM corporra air a mholadh |
Intel Cyclone® 10 LP | 10 CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Seiclon V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Cearcall IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Cyclone IV E. | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Clàr 3 .
Riatanasan cuimhne airson a bhith a’ làimhseachadh dhealbhaidhean MAX
Tha na riatanasan sin mar an ceudna airson an dà chuid ionadan Windows agus Linux.
Teaghlach | Inneal | RAM corporra air a mholadh |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Uile | 512 MB |
MAS II | Uile | 512 MB |
Clàr 4 .
Riatanasan cuimhne airson a bhith a’ làimhseachadh dealbhadh Stratix®
Tha na riatanasan sin mar an ceudna airson an dà chuid ionadan Windows agus Linux.
Teaghlach | Inneal | RAM corporra air a mholadh |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Srath IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Taic inneal agus Inbhe Pin-Out
Tha làn chruinneachadh, atharrais, mion-sgrùdadh ùine agus taic prògramaidh aig a h-uile inneal riochdachaidh an-dràsta.
1.5.1. Atharraichean ann an Taic Innealan
1.6. Modail Ùine, Modail Cumhachd, agus Inbhe Inneal
Clàr 5 .
Modail Ùine, Modail Cumhachd, agus Inbhe Inneal airson Innealan Intel Arria 10
Teaghlach inneal | Inneal | Inbhe Modail Ùine | Inbhe Modail Cumhachd | Inbhe inneal |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Deireannach - 16.1 (3)(4) | Deireannach - 17.0 | Deireannach - 17.0 |
10 AX048, 10AS048 | Deireannach - 16.0.2 (4) | Deireannach - 17.0 | Deireannach - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Deireannach - 16.0.1 (4) | Deireannach - 16.0.1 | Deireannach - 16.0.1 | |
10 AX115, 10AT115 | Deireannach - 16.0 (4) | Deireannach - 16.0 | Deireannach - 16.0 |
(3) Chaidh innealan le ìre astar -1 a thoirt gu crìch ann an dreach bathar-bog Intel Quartus Prime 17.0
(4) Chaidh a h-uile inneal ìre armachd a thoirt gu crìch ann an dreach bathar-bog Intel Quartus Prime 18.0.1.
Clàr 6 .
Modail Ùine, Modail Cumhachd, agus Inbhe Inneal airson Innealan Intel Cyclone 10
Teaghlach inneal | Inneal | Inbhe Modail Ùine | Inbhe Modail Cumhachd | Inbhe inneal |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Deireannach - 17.0 | Deireannach - 17.1 | Deireannach - 17.1 |
Clàr 7 .
Modail Ùine, Modail Cumhachd, agus Inbhe Inneal airson Innealan Intel MAX 10
Teaghlach inneal | Inneal | Inbhe Modail Ùine | Inbhe Modail Cumhachd | Inbhe inneal |
Intel MAX 10 | 10M02, 10M04, 10M08 | Deireannach - 15.1 (5) | Deireannach - 15.1 | Deireannach - 15.1 |
10M16, 10M25, 10M40, 10M50 | Deireannach - 15.1.2 | Deireannach - 15.1 | Deireannach - 15.1 |
Tha an dreach gnàthach de bhathar-bog Intel Quartus Prime cuideachd a’ toirt a-steach amannan deireannach agus modalan cumhachd airson an Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. SoC, MAX II, MAX II Z, MAX V, Stratix IV, agus teaghlaichean inneal Stratix V. Thàinig modalan tìm airson na teaghlaichean innealan sin gu bhith deireannach ann an dreachan bathar-bog Intel Quartus Prime 11.1 no nas tràithe.
1.7. Modailean IBIS
Clàr 8 . Inbhe Modail IBIS airson Bathar-bog Intel Quartus Prime Standard Edition Tionndadh Tionndadh 22.1std
A’ tòiseachadh ann an dreach bathar-bog Intel Quartus Prime Standard Edition 16.0, tha inbhean modail IBIS aig teaghlaichean innealan a tha an dàrna cuid Ro-làimh, Tòiseachaidh, no Deireannach.
Teaghlach inneal | Inbhe Modail IBIS |
Intel Arria 10 | Deireannach - 16.1.2 |
Arria V | Co-cheangailte ri obrachadh inneal PHY - 14.0 |
Arria II GX | Co-cheangailte ri obrachadh inneal PHY - 11.1 |
Arria II GZ | Co-cheangailte ri obrachadh inneal PHY - 11.1 |
Intel Cyclone 10 LP | Deireannach - 17.0 |
Seiclon V | Co-cheangailte ri obrachadh inneal PHY - 14.0 |
Cyclone IV E. | Co-cheangailte ri obrachadh inneal PHY - 11.1 |
Cearcall IV GX | Co-cheangailte ri obrachadh inneal PHY - 11.1 |
Intel MAX 10 | Deireannach - 16.0 |
MAX V | Co-cheangailte ri obrachadh inneal PHY - 11.1 |
Stratix V | Co-cheangailte ri obrachadh inneal PHY - 13.0 SP1 |
Srath IV | Co-cheangailte ri obrachadh inneal PHY - 11.1 |
Tha modalan IBIS ùraichte rim faighinn air-loidhne air na Modalan IBIS airson Innealan Intel FPGA web duilleag. Tha an duilleag seo air ùrachadh mar a bhios modalan IBIS airson innealan rim faighinn no gan ùrachadh.
(5) Tha inbhean modail tìm airson pàirtean ìre astar MAX 10 A6 fhathast mar thoiseach tòiseachaidh.
1.8. Fiosrachadh Eadar-aghaidh EDA
Clàr 9 .
Innealan Synthesis a 'toirt taic do dh' fhuasgladh bathar-bog Intel Quartus Prime Standard Edition Tionndadh 22.1std
Innealan Synthesis | Tionndadh |
Siemens EDA Precision * | Mar as trice bidh dreachan Siemens EDA Precision a bheir taic do bhathar-bog Intel Quartus Prime air an leigeil ma sgaoil às deidh am bathar-bog Intel Quartus Prime a leigeil ma sgaoil. Cuir fios gu Siemens EDA airson dreachan de Siemens EDA Precision a bheir taic do Intel Quartus Prime Standard Edition Release Software Version 22.1std. |
Synopsys * Synplify*, Synplify Pro*, agus Synplify Premier | Mar as trice bidh dreachan Synopsys Synplify, Synplify Pro, agus Synplify Premier a bheir taic do bhathar-bog Intel Quartus Prime air an leigeil ma sgaoil às deidh am bathar-bog Intel Quartus Prime a leigeil ma sgaoil. Cuir fios gu Synopsys airson dreachan de Synopsys Synplify, Synplify Pro, agus Synplify Premier a bheir taic do Intel Quartus Prime Standard Edition Release Software Version 22.1std. |
Clàr 10 .
Innealan Samhlachaidh A’ toirt taic do Intel Quartus Prime Standard Edition Tionndadh Bathar-bog 22.1std
Tha na h-innealan atharrais a leanas a’ toirt seachad RTL agus atharrais ìre geata gnìomh. Chan eil ach innealan atharrais 64-bit a’ faighinn taic.
Innealan Simulation | Tionndadh |
Aldec Gnìomhach-HDL | 13.0 (Windows a-mhàin) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium * Samhlachadh loidsig Co-shìnte | 21.09.003 (Linux* a-mhàin) |
Deasachadh Questa-Intel FPGA | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* agus VCS MX | P-2019.06-SP2-5 (Linux a-mhàin) |
Feumaidh Questa-Intel FPGA Edition dreach deamhan ceadachaidh FlexLM 11.16.4.0 (no nas fhaide air adhart). Gheibh thu an daemon ceadachd bho na Daemons Cead FlexLM airson Bathar-bog Intel FPGA web duilleag.
Gheibh thu Intel FPGA Edition de dh’ innealan atharrais bhon Ionad Luchdaich sìos airson FPGAn.
Taic siostam obrachaidh airson dreach Questa-Intel FPGA Edition 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- Freiceadan Iomairt SUSE Linux 12 (64-bit)
- Windows 10 (64-bit)
Fiosrachadh Co-cheangailte
- Bathar-bog dealbhaidh Intel Quartus Prime Standard Edition airson Linux
- Bathar-bog dealbhaidh Intel Quartus Prime Standard Edition airson Windows
- Bathar-bog dealbhaidh Intel Quartus Prime Lite airson Linux
- Bathar-bog dealbhaidh Intel Quartus Prime Lite airson Windows
1.9. Antivirus dearbhadh
Chaidh am bathar-bog Intel Quartus Prime a dhearbhadh gun bhìoras leis a’ bhathar-bog a leanas:
Bathar-bog dearbhaidh antivirus airson Intel Quartus Prime Standard Edition Tionndadh 22.1std.1
Loidhne-àithne McAfee VirusScan airson Linux64 Tionndadh: 7.0.0.477
Tionndadh einnsean AV: 6300.9389 airson Linux64.
Tionndadh seata dàta: 10629 air a chruthachadh 22 Gearran 2023
Bathar-bog dearbhaidh antivirus airson Intel Quartus Prime Standard Edition Tionndadh 22.1std
Loidhne-àithne McAfee VirusScan airson Linux64 Tionndadh: 7.0.0.477
Tionndadh einnsean AV: 6300.9389 airson Linux64.
Tionndadh seata dàta: 10505 air a chruthachadh Dàmhair 19 2022
1.10. Cùisean Bathar-bog air am Fuasgladh
Cha deach iarrtasan seirbheis teachdaiche sam bith a rèiteach no a dh’ fhuasgladh a chaochladh ann an Intel Quartus Prime Standard Edition Version 22.1std.1.
Chaidh na h-iarrtasan seirbheis teachdaiche a leanas a shocrachadh no am fuasgladh ann an Intel Quartus Prime Standard Edition Version 22.1std:
Clàr 11 .
Cùisean air am Fuasgladh anns an Intel Quartus Prime Standard Edition Version 22.1std
Àireamhan cùis taic Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Paidhrichean bathar-bog air an gabhail a-steach san fhoillseachadh seo
Tha na pìosan a leanas ann an Intel Quartus Prime Standard Edition Version 22.1std.1 airson dreachan roimhe de bhathar-bog Intel Quartus Prime Standard Edition:
Clàr 12 .
Pasganan bathar-bog air an toirt a-steach do Intel Quartus Prime Standard Edition 22.1std.1
Tionndadh bathar-bog | Patch | Àireamh Iarrtas Seirbheis Luchd-cleachdaidh |
Intel Quartus Prime Tionndadh 22.1 | 0.01sg | – |
Intel Quartus Prime Tionndadh 21.1 | 0.14sg | 00741067 |
Ann an Intel Quartus Prime Standard Edition Version 22.1std tha na pìosan a leanas airson dreachan roimhe de bhathar-bog Intel Quartus Prime Standard Edition:
Clàr 13 . Patches Bathar-bog air a ghabhail a-steach ann an Intel Quartus Prime Standard Edition Version 22.1std
Tionndadh bathar-bog | Patch | Àireamh Iarrtas Seirbheis Luchd-cleachdaidh |
Intel Quartus Prime Tionndadh 21.1 | 0.10sg | – |
Intel Quartus Prime Tionndadh 21.1 | 0.08sg | 00693884 |
Intel Quartus Prime Tionndadh 21.1 | 0.07sg | 00501636 |
Intel Quartus Prime Tionndadh 21.1 | 0.06sg | 00689611 |
Intel Quartus Prime Tionndadh 21.1 | 0.04 stdp | – |
Intel Quartus Prime Tionndadh 21.1 | 0.03sg | – |
Intel Quartus Prime Tionndadh 21.1 | 0.02sg | – |
Intel Quartus Prime Tionndadh 20.1.1 | 1.09sg | 00702107 |
Intel Quartus Prime Tionndadh 20.1 | 0.14sg | 00702107 |
Intel Quartus Prime Tionndadh 18.1.1 | 1.13sg | – |
Intel Quartus Prime Tionndadh 18.1.1 | 1.12sg | – |
Intel Quartus Prime Tionndadh 18.1.1 | 1.09sg | – |
Intel Quartus Prime Tionndadh 18.1 | 0.23sg | 00698210 |
Intel Quartus Prime Tionndadh 18.1 | 0.21sg | 00669646 |
Intel Quartus Prime Tionndadh 18.1 | 0.20sg | 00689611 |
1.12. Na cùisean bathar-bog Intel Quartus Prime as ùire a tha aithnichte
Tha fiosrachadh mu chùisean aithnichte a bheir buaidh air Intel Quartus Prime Standard Edition Version 22.1std ri fhaighinn ann am Bunait Eòlas Intel FPGA.
Airson an fhiosrachadh as ùire mu chùisean a bheir buaidh air Intel Quartus Prime Standard Edition Version 22.1std, review na h-artaigilean Bunait Eòlas Intel FPGA a tha a’ buntainn ri Intel Quartus Prime Standard Edition Version 22.1std.
Clàr 14 .
Cùisean aithnichte cudromach a bheir buaidh air Intel Quartus Prime Standard Edition Version 22.1std
Tuairisgeul | Obraich mun cuairt |
Air siostaman Microsoft * Windows, dealbhadh SDI II Intel FPGA IP example ginealach a 'fàilligeadh leis an teachdaireachd mearachd a leanas: Mearachd: Dh'fhàillig gineadh example dealbhadh example_design gu :: \sdi_ii_0_example_dealbhadh |
Airson mion-fhiosrachadh agus na tha ri fhaighinn de shocrachadh, thoir sùil air Carson a tha dealbhadh SDI II Intel FPGA IP example ginealach a ’fàilligeadh nuair a bhios tu a’ cleachdadh bathar-bog Intel Quartus Prime airson Windows? ann am Bunait Eòlas Intel FPGA. |
Air siostaman Microsoft Windows, tha a’ mhearachd a leanas a’ tachairt nuair a thathar a’ gineadh Intel Arria 10 EMIF Example Dealbhadh airson atharrais: Mearachd: emif_0: Thachair mearachd nuair a bhathar a' cruthachadh an atharrais example dealbhadh. Faic make_sim_design_errors.log airson mion-fhiosrachadh. Mearachd: Dh'fhàillig gineadh example dealbhadh gu:ample eòlaire dealbhaidh> Generate Example Dealbhadh: crìochnaichte le mearachdan |
Faodaidh tu na teachdaireachdan rabhaidh sin a leigeil seachad gu sàbhailte. Samhlachadh file tha seataichean airson bathar-bog atharrais Siemens EDA Questa agus Aldec Riviera-PRO air an gineadh agus tha an dealbhadh iomchaidh ann files gus an atharrais a ruith gu soirbheachail. Airson tuilleadh fiosrachaidh agus na tha ri fhaighinn de shocrachadh, thoir sùil air Carson a tha an Intel Arria 10 EMIF Example Design Generation Fail nuair a bhios tu a’ cleachdadh an Intel Quartus Prime Standard Edition Software Version 22.1 airson Windows? ann am Bunait Eòlas Intel FPGA. |
Nuair a chleachdas tu modh Calibration Skip Intel Arria 10 EMIF IP, atharrais air Intel Arria 10 EMIF IP le bathar-bog atharrais Siemens EDA Questa (Siemens EDA Questa Faodaidh Advanced Simulator no Questa-Intel FPGA Edition) crochadh. |
Cleachd an Abstract PHY airson roghainn atharrais atharrais luath gus casg a chuir air a’ chrochadh. Airson tuilleadh fiosrachaidh agus na tha ri fhaighinn de shocrachadh, thoir sùil air Carson a tha Simulation de Intel Arria 10 EMIF IP ann an simuladairean Mentor a ’crochadh nuair a bhios tu a’ cleachdadh bathar-bog Intel Quartus Prime Standard Edition dreach 22.1 ann am Bunait Eòlas Intel FPGA. |
Gheibh thu fiosrachadh cùise aithnichte airson dreachan roimhe seo de bhathar-bog Quartus Prime air Ionad Fiosrachaidh Intel FPGA web duilleag.
Tha fiosrachadh mu chùisean bathar-bog aithnichte a bheir buaidh air dreachan roimhe de bhathar-bog Quartus II ri fhaighinn air Taic Bathar-bog Intel Quartus Prime agus Quartus II web duilleag.
Tha fiosrachadh mu chùisean a tha a’ toirt buaidh air Leabharlann IP Intel FPGA ri fhaighinn anns na notaichean fuasglaidh airson gach IP. Gheibh thu na notaichean fuasglaidh IP air Clàr-innse Sgrìobhainnean Intel FPGA web duilleag.
Fiosrachadh Co-cheangailte
- Bunait Eòlas Intel FPGA
- Taic Bathar-bog Intel Quartus Prime agus Quartus II
- Notaichean fuasglaidh Intel FPGAn agus innealan prògramaichte
1.13. Bathar-bog agus inneal Intel Quartus Prime Standard Edition Cuir taic ri Tasglann Notaichean Foillseachaidh
Airson na dreachan as ùire agus roimhe seo de na notaichean fuasglaidh seo, thoir sùil air Notaichean Fuasglaidh Bathar-bog Intel Quartus Prime Standard Edition agus Taic Innealan. Mura h-eil dreach bathar-bog air a liostadh, bidh na notaichean fuasglaidh airson an dreach bathar-bog a bh’ ann roimhe a’ buntainn.
1.14. Sgaoileadh bathar-bog Intel Quartus Prime Standard Edition Tionndadh 22.1std Eachdraidh Ath-sgrùdadh Sgrìobhainn
Tionndadh Sgrìobhainn | Intel Quartus Prìomh Tionndadh | Atharrachaidhean |
2023.03.21 | 22.1std.1 | • Ùraichte airson Tionndadh 22.1std.1 • Àireamh tionndaidh ceart airson Tionndadh 22.1std. |
2022.11.07 | 22.1sg | • Cùisean Bathar-bog as ùire air an Ùrachadh. |
2022.10.31 | 22.1sg | • Sgaoileadh tùsail. |
Intel Quartus Prime Standard Edition: Tionndadh 22.1std Notaichean fuasglaidh Taic Bathar-bog is Innealan
Tionndadh air-loidhne
Cuir fios air ais
Àireamh a' Chlàir: 683593
RN-01080-22.1std
Tionndadh: 2023.03.21
Sgrìobhainnean/Goireasan
![]() |
Intel Quartus Prìomh Standard Edition [pdfStiùireadh Cleachdaiche Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition |