Intel® Quartus® Prime Standard Edition
Toleo la 22.1 Madokezo ya Kutolewa kwa Programu na Usaidizi wa Kifaa
Imesasishwa kwa Intel® Quartus® Prime Design Suite: 22.1std.1
Mwongozo wa Mtumiaji
Intel® Quartus® Prime Standard Edition Toleo la 22.1 Madokezo ya Utoaji ya Programu na Usaidizi wa Kifaa
Hati hii inatoa maelezo ya marehemu kuhusu Intel® Quartus® Prime Standard Edition Toleo la 22.1std na 22.1std.1.
Kwa maelezo zaidi kuhusu toleo hili la programu, rejelea Toleo la Kawaida la Intel Quartus Prime README file katika eneo lifuatalo: /quartus/readme.txt
Kwa habari kuhusu usaidizi wa mfumo wa uendeshaji, rejelea zifuatazo web ukurasa: Usaidizi wa Mfumo wa Uendeshaji wa Intel FPGA.
Habari Zinazohusiana
- Programu ya Intel Quartus Prime Pro Toleo la Programu na Vidokezo vya Utoaji vya Usaidizi wa Kifaa
- Intel Quartus Prime Standard Edition Design Programu ya Linux
- Intel Quartus Prime Standard Edition Design Programu ya Windows
- Intel Quartus Prime Lite Edition Design Programu ya Linux
- Intel Quartus Prime Lite Edition Design Programu ya Windows
- Ufungaji na Utoaji Leseni wa Programu ya Intel FPGA
1.1. Vipengele Vipya na Viboreshaji
Intel Quartus Prime Standard Edition Software Toleo la 22.1std na Toleo la 22.1std.1 linajumuisha masasisho ya utendaji na usalama. Sasisha programu yako na ufuate mapendekezo ya kiufundi ambayo husaidia kuboresha usalama wa usakinishaji wako wa Intel Quartus Prime.
Toleo la 22.1std la Intel Quartus Prime Standard Software linajumuisha vipengele vipya na viboreshaji vifuatavyo:
- Usaidizi umeongezwa kwa kichakataji cha Nios® V/m.
- Kwa vifaa vya Intel MAX® 10, msaada wa LVDS wa 1.8V umeongezwa.
Marekebisho ya Hitilafu
Intel Quartus Prime Standard Edition Software Toleo la 22.1std na Toleo la 22.1std.1 pia linajumuisha marekebisho ya hitilafu. Review Masuala ya Programu Yametatuliwa kwenye ukurasa wa 13 na Viraka vya Programu Zilizojumuishwa katika Toleo hili kwenye ukurasa wa 13 ili kuona kama toleo hili lina marekebisho au la kusuluhisha ombi lako lolote la huduma kwa wateja (Intel Premier Support).
1.2. Mabadiliko ya Tabia ya Programu
Sehemu hii huandika matukio ambayo tabia na mipangilio chaguomsingi ya programu ya Intel Quartus Prime Standard Edition imebadilishwa kutoka matoleo ya awali ya programu ya Intel Quartus Prime Standard Edition.
Rejelea Mipangilio Chaguomsingi ya Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, kwa orodha ya mipangilio yote chaguo-msingi ya mgawo wa toleo jipya zaidi la programu ya Intel Quartus Prime.
1.2.1. Vipengele na Utendaji Zilizoacha kutumika
Vipengele na vipengele vilivyoorodheshwa katika sehemu hii vimeacha kutumika lakini havijaondolewa kwenye Toleo la 22.1std.1 la Intel Quartus Prime Standard Edition au la mapema zaidi.
Hamisha zana na michakato yako ili kutumia vibadala au vipengele vingine na utendakazi kabla ya vipengele na utendakazi vilivyoacha kutumika kuondolewa.
Vipengele na Utendakazi Vimeacha kutumika kama vile Intel Quartus Prime Standard Toleo la 22.1std.1
Hakuna vipengele au utendakazi vya Intel Quartus Prime ambavyo vimeacha kutumika katika Toleo la 22.1.1 la Intel Quartus Prime Standard Edition.
Vipengele na Utendakazi Vimeacha kutumika kama vile Intel Quartus Prime Standard Toleo la 22.1std
Hakuna vipengele au utendakazi vya Intel Quartus Prime ambavyo vimeacha kutumika katika Toleo la 22.1 la Intel Quartus Prime Standard Edition.
Vipengele na Majukumu Vimeacha kutumika kama toleo la 21.1.1 la Intel Quartus Prime Standard
Hakuna vipengele au utendakazi vya Intel Quartus Prime ambavyo vimeacha kutumika katika Toleo la 21.1.1 la Intel Quartus Prime Standard Edition.
Vipengele na Utendakazi Vimeacha kutumika kama vile Intel Quartus Prime Standard Toleo la Toleo la 21.1
Hakuna vipengele au utendakazi vya Intel Quartus Prime ambavyo vimeacha kutumika katika Toleo la 21.1 la Intel Quartus Prime Standard Edition.
Vipengele na Utendakazi Vimeacha kutumika kama vile Intel Quartus Prime Standard Toleo la Toleo la 20.1
Hakuna vipengele au utendakazi vya Intel Quartus Prime ambavyo vimeacha kutumika katika Toleo la 20.1 la Intel Quartus Prime Standard Edition.
1.2.2. Vipengee na Kazi Zilizoondolewa
Vipengele na vipengele vilivyoorodheshwa katika sehemu hii vimeondolewa kwenye Toleo la Kawaida la Intel Quartus Prime Standard Version 22.1std.1 au la awali.
Vipengele na Kazi Zimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 22.1std.1
Hakuna vipengele au vitendakazi vya Intel Quartus Prime ambavyo vimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 22.1.1.
Vipengele na Kazi Zimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 22.1
Hakuna vipengele au vitendakazi vya Intel Quartus Prime ambavyo vimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 22.1.
Vipengele na Kazi Zimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 21.1.1
Hakuna vipengele au vitendakazi vya Intel Quartus Prime ambavyo vimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 21.1.1.
Vipengele na Kazi Zimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 21.1
- Imeondolewa ModelSim* -Toleo la Intel FPGA na Toleo la Kuanzisha la ModelSim-Intel FPGA
Programu hii ya uigaji imebadilishwa na Questa*-Intel FPGA Edition na Questa-Intel FPGA Starter Edition mtawalia. - Imeondoa usaidizi wa programu ya uigaji wa biti 32.
Mabadiliko haya huondoa usaidizi wa zana zifuatazo za uigaji:
— Aldec* Active-HDL* (32-bit)
Tumia toleo la 64-bit la Aldec Active-HDL au utumie Aldec Riviera-PRO* badala yake.
— Mentor Graphics* ModelSim PE
Tumia Siemens* EDA ModelSim SE au Siemens EDA Questa Advanced Simulator badala yake. - Imeondoa usaidizi wa Rafu wa NicheStack TCP/IP.
- Imeondoa uwezo wa kutumia Cadence* Incisive* Enterprise Simulator (IES).
Vipengele na Kazi Zimeondolewa kwenye Toleo la Kawaida la Intel Quartus Toleo la 20.1
Usaidizi wa programu zifuatazo umeondolewa kutoka kwa Toleo la Kawaida la Intel Quartus Prime 20.1 na baadaye:
- Mjenzi wa DSP kwa Intel FPGAs
- Intel FPGA SDK ya OpenCL™ (*)
- Intel FPGA RTE ya OpenCL
- Kikusanyaji cha Usanisi wa Kiwango cha Juu cha Intel (HLS).
(*) OpenCL na nembo ya OpenCL ni alama za biashara za Apple Inc. zinazotumiwa kwa idhini ya Khronos Group™
1.3. Usaidizi wa Mfumo wa Uendeshaji
Taarifa kuhusu usaidizi wa mfumo wa uendeshaji kwa Intel Quartus Prime Design Suite inapatikana kwenye ukurasa wa Usaidizi wa Mfumo wa Uendeshaji wa Intel FPGA. webtovuti.
Usaidizi wa Mfumo wa Uendeshaji Mabadiliko katika Toleo la Kawaida la Intel Quartus Toleo la 22.1std.1
Hakuna mabadiliko ya usaidizi wa mfumo wa uendeshaji katika Toleo la 22.1std.1 la Intel Quartus Prime Standard Edition.
Usaidizi wa Mfumo wa Uendeshaji Mabadiliko katika Toleo la Kawaida la Intel Quartus Toleo la 22.1
Usaidizi wa mifumo ifuatayo ya uendeshaji umeacha kutumika kama toleo la 22.1 la Intel Quartus Prime Standard Edition:
- CentOS* Linux 8.2
- Seva ya Windows* 2012
- Windows Server 2016
- Windows* 10 Toleo la 1607
Hamisha usakinishaji wako wa Windows 10 hadi Windows 10 Toleo la 1809 au la baadaye.
Usaidizi wa mifumo hii ya uendeshaji inaweza kuondolewa katika toleo la baadaye.
Intel Quartus Prime Standard Edition Toleo la 22.1 liliondoa usaidizi kwa mifumo ifuatayo ya uendeshaji:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Usaidizi wa Mfumo wa Uendeshaji Mabadiliko katika Toleo la Kawaida la Intel Quartus Toleo la 21.1.1
Hakuna mabadiliko ya usaidizi wa mfumo wa uendeshaji katika Toleo la 21.1.1 la Intel Quartus Prime Standard Edition.
Usaidizi wa Mfumo wa Uendeshaji Mabadiliko katika Toleo la Kawaida la Intel Quartus Toleo la 21.1
Toleo la 21.1 la Intel Quartus Prime Standard liliongeza usaidizi kwa mifumo ifuatayo ya uendeshaji:
- CentOS Linux 8.2 inasalia kutumika na Intel Quartus Prime Standard Edition Toleo la 22.1
- Red Hat* Enterprise Linux 8.2 bado inatumika na Intel Quartus Prime Standard Edition Toleo la 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
Usaidizi wa mifumo ifuatayo ya uendeshaji umeacha kutumika kama toleo la 21.1 la Intel Quartus Prime Standard Edition. Usaidizi wa mifumo hii ya uendeshaji unaweza kuondolewa katika toleo la baadaye:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Toleo la 21.1 liliondoa usaidizi kwa mifumo ifuatayo ya uendeshaji:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Habari Zinazohusiana
Usaidizi wa Mfumo wa Uendeshaji
1.4. Nafasi ya Disk na Mapendekezo ya Kumbukumbu
Usakinishaji kamili wa programu ya Intel Quartus Prime Standard Edition unahitaji hadi GB 40 ya nafasi inayopatikana ya diski.
Sanidi mfumo wako ili kutoa kumbukumbu pepe ya ziada sawa na RAM halisi inayopendekezwa ambayo inahitajika ili kuchakata muundo wako. Kumbukumbu hii pepe ya ziada huongeza maradufu jumla ya kumbukumbu bora inayopatikana ili kuchakata muundo wako.
Kumbuka:
Kilele cha kumbukumbu pepe kinaweza kuzidi mapendekezo haya. Mapendekezo haya yanatokana na kiasi cha kumbukumbu inayohitajika ili kufikia muda wa utekelezaji ndani ya 10% ya ile inayopatikana kwenye maunzi yenye RAM isiyo na kikomo.
Jedwali 1.
Mahitaji ya Kumbukumbu kwa ajili ya Kuchakata Miundo ya Arria®
Mahitaji haya ni sawa kwa usakinishaji wa Windows na Linux.
Familia | Kifaa | RAM ya Kimwili Iliyopendekezwa |
Intel Arria® 10 | 10AT115, 10AX115 | GB 48 |
10AT090, 10AX090 | GB 44 | |
10AS066, 10AX066 | GB 32 | |
10AS057, 10AX057 | GB 30 | |
10ASO48, 10AX048 | GB 28 | |
10AX032, 10AS032 | GB 24 | |
10AX027, 10AS027 | GB 22 | |
10AX022, 10AS022 | GB 20 | |
10AX016, 10AS016 | GB 18 | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | GB 16 |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | GB 12 | |
5AGXA7, 5AGTC7 | GB 10 | |
5AGTC3, 5AGXA3, 5AGXA5 | GB 8 | |
5AGXA1 | GB 6 | |
Arria V GZ | 5AGZE7 | GB 16 |
5AGZE3, 5AGZE5 | GB 12 | |
5AGZE1 | GB 8 | |
Arria II GX | EP2AGX260 | GB 6 |
EP2AGX95, EP2AGX125, EP2AGX190 | GB 4 | |
EP2AGX65 | GB 2 | |
EP2AGX45 | GB 1.5 | |
Arria II GZ | EP2AGZ350 | GB 8 |
EP2AGZ300 | GB 6 | |
EP2AGZ225 | GB 4 |
Jedwali 2.
Mahitaji ya Kumbukumbu kwa ajili ya Kuchakata Miundo ya Cyclone®
Mahitaji haya ni sawa kwa usakinishaji wa Windows na Linux.
Familia | Kifaa | RAM ya Kimwili Iliyopendekezwa |
Intel Cyclone® 10 LP | 10CL120 | GB 1.5 |
10CL080, 10CL055 | GB 1 | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Kimbunga V | 5CEA9, 5CGTD9, 5CGXC9 | GB 8 |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | GB 6 | |
Kimbunga IV GX | EP4CGX110, EP4CGX150 | GB 2 |
EP4CGX50, EP4CGX75 | GB 1.5 | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Kimbunga IV E | EP4CE115 | GB 1.5 |
EP4CE55, EP4CE75 | GB 1 | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Jedwali 3.
Mahitaji ya Kumbukumbu kwa ajili ya Kuchakata Miundo MAX
Mahitaji haya ni sawa kwa usakinishaji wa Windows na Linux.
Familia | Kifaa | RAM ya Kimwili Iliyopendekezwa |
Intel MAX 10 | 10M50 | GB 2 |
10M16 | GB 2 | |
10M25 | GB 2 | |
10M40 | GB 2 | |
10M04, 10M08 | GB 1 | |
10M02 | 512 MB | |
MAX V | Wote | 512 MB |
MAX II | Wote | 512 MB |
Jedwali 4.
Mahitaji ya Kumbukumbu kwa ajili ya Kuchakata Stratix®Designs
Mahitaji haya ni sawa kwa usakinishaji wa Windows na Linux.
Familia | Kifaa | RAM ya Kimwili Iliyopendekezwa |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | GB 28 |
5SGXA9, 5SEE9 | GB 24 | |
5SGTC7, 5SGXA7, 5SGSD8 | GB 20 | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | GB 16 | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | GB 12 | |
5SGSD3 | GB 8 | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | GB 12 |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | GB 8 | |
EP4SGX290 | GB 6 | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | GB 4 | |
EP4SGX70 | GB 2 |
1.5. Usaidizi wa Kifaa na Hali ya Pin-Out
Vifaa vyote vya uzalishaji kwa sasa vina mkusanyiko kamili, uigaji, uchanganuzi wa wakati na usaidizi wa upangaji.
1.5.1. Mabadiliko katika Usaidizi wa Kifaa
1.6. Muundo wa Muda, Muundo wa Nguvu na Hali ya Kifaa
Jedwali 5.
Muundo wa Muda, Muundo wa Nguvu, na Hali ya Kifaa cha Vifaa vya Intel Arria 10
Kifaa cha Familia | Kifaa | Hali ya Mfano wa Muda | Hali ya Mfano wa Nguvu | Hali ya Kifaa |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Mwisho - 16.1 (3)(4) | Mwisho - 17.0 | Mwisho - 17.0 |
10AX048, 10AS048 | Mwisho - 16.0.2 (4) | Mwisho - 17.0 | Mwisho - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Mwisho - 16.0.1 (4) | Mwisho - 16.0.1 | Mwisho - 16.0.1 | |
10AX115, 10AT115 | Mwisho - 16.0 (4) | Mwisho - 16.0 | Mwisho - 16.0 |
(3) Vifaa vilivyo na daraja la kasi -1 vilikamilishwa katika toleo la 17.0 la programu ya Intel Quartus Prime
(4) Vifaa vyote vya daraja la kijeshi vilikamilishwa katika toleo la programu ya Intel Quartus Prime 18.0.1.
Jedwali 6.
Muundo wa Muda, Muundo wa Nguvu, na Hali ya Kifaa cha Vifaa vya Intel Cyclone 10
Kifaa cha Familia | Kifaa | Hali ya Mfano wa Muda | Hali ya Mfano wa Nguvu | Hali ya Kifaa |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Mwisho - 17.0 | Mwisho - 17.1 | Mwisho - 17.1 |
Jedwali 7.
Muundo wa Muda, Muundo wa Nguvu, na Hali ya Kifaa cha Vifaa vya Intel MAX 10
Kifaa cha Familia | Kifaa | Hali ya Mfano wa Muda | Hali ya Mfano wa Nguvu | Hali ya Kifaa |
Intel MAX 10 | 10M02, 10M04, 10M08 | Mwisho - 15.1 (5) | Mwisho - 15.1 | Mwisho - 15.1 |
10M16, 10M25, 10M40, 10M50 | Mwisho - 15.1.2 | Mwisho - 15.1 | Mwisho - 15.1 |
Toleo la sasa la programu ya Intel Quartus Prime pia inajumuisha mifano ya mwisho ya muda na nguvu kwa Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix VIV na kifaa cha Stratix VIV. Miundo ya muda ya familia za vifaa hivi ikawa ya mwisho katika matoleo ya programu ya Intel Quartus Prime 11.1 au mapema zaidi.
1.7. Mifano ya IBIS
Jedwali 8. Hali ya Mfano wa IBIS kwa Programu ya Toleo la Kawaida la Intel Quartus Prime Toleo la 22.1 la Kutolewa
Kuanzia toleo la 16.0 la programu ya Intel Quartus Prime Standard Edition XNUMX, familia za vifaa zina hali za muundo wa IBIS ambazo ni Advance, Preliminary, au Final.
Kifaa cha Familia | Hali ya Mfano wa IBIS |
Intel Arria 10 | Mwisho - 16.1.2 |
Arria V | Inayohusiana na uendeshaji wa kifaa cha PHY - 14.0 |
Arria II GX | Inayohusiana na uendeshaji wa kifaa cha PHY - 11.1 |
Arria II GZ | Inayohusiana na uendeshaji wa kifaa cha PHY - 11.1 |
Intel Cyclone 10 LP | Mwisho - 17.0 |
Kimbunga V | Inayohusiana na uendeshaji wa kifaa cha PHY - 14.0 |
Kimbunga IV E | Inayohusiana na uendeshaji wa kifaa cha PHY - 11.1 |
Kimbunga IV GX | Inayohusiana na uendeshaji wa kifaa cha PHY - 11.1 |
Intel MAX 10 | Mwisho - 16.0 |
MAX V | Inayohusiana na uendeshaji wa kifaa cha PHY - 11.1 |
Stratix V | Inahusiana na uendeshaji wa kifaa cha PHY - 13.0 SP1 |
Stratix IV | Inayohusiana na uendeshaji wa kifaa cha PHY - 11.1 |
Miundo iliyosasishwa ya IBIS inapatikana mtandaoni kwenye Miundo ya IBIS ya Vifaa vya Intel FPGA web ukurasa. Ukurasa huu unasasishwa kadri miundo ya IBIS ya vifaa inavyopatikana au kusasishwa.
(5) Hali za muundo wa muda wa sehemu za daraja la kasi ya MAX 10 A6 zinasalia kuwa za Awali.
1.8. Habari za Kiolesura cha EDA
Jedwali 9.
Zana za Awali Zinazosaidia Toleo la Toleo la Kiwango la Intel Quartus Prime Standard la 22.1std
Zana za Usanisi | Toleo |
Siemens EDA Precision* | Matoleo ya Siemens EDA Precision ambayo yanaauni programu ya Intel Quartus Prime kwa kawaida hutolewa baada ya kutolewa kwa programu ya Intel Quartus Prime. Wasiliana na Siemens EDA kwa matoleo ya Siemens EDA Precision ambayo yanaauni Toleo la Toleo la Kawaida la Intel Quartus Prime Edition Toleo la 22.1std. |
Muhtasari* Synplify*, Synplify Pro*, na Synplify Premier | Matoleo ya Synopsy Synplify, Synplify Pro na Synplify Premier ambayo yanaauni programu ya Intel Quartus Prime hutolewa baada ya kutolewa kwa programu ya Intel Quartus Prime. Wasiliana na Synopsys kwa matoleo ya Synopsys Synplify, Synplify Pro na Synplify Premier ambayo yanaauni Toleo la 22.1 la Toleo la Toleo la Kawaida la Intel Quartus Prime. |
Jedwali 10.
Zana za Kuiga Zinazosaidia Toleo la Kawaida la Intel Quartus Toleo la 22.1 la Toleo la Programu
Zana zifuatazo za uigaji hutoa RTL na uigaji wa kiwango cha lango unaofanya kazi. Zana za kuiga za biti 64 pekee ndizo zinazotumika.
Zana za Kuiga | Toleo |
Aldec Active-HDL | 13.0 (Windows pekee) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* Uigaji wa Mantiki Sambamba | 21.09.003 (Linux* pekee) |
Toleo la Questa-Intel FPGA | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsy VCS* na VCS MX | P-2019.06-SP2-5 (Linux pekee) |
Toleo la Questa-Intel FPGA linahitaji toleo la daemon la leseni la FlexLM 11.16.4.0 (au la baadaye). Unaweza kupata daemon ya leseni kutoka kwa Daemons ya Leseni ya FlexLM kwa Programu ya Intel FPGA web ukurasa.
Unaweza kupata Toleo la Intel FPGA la zana za uigaji kutoka kwa Kituo cha Upakuaji cha FPGAs.
Usaidizi wa Mfumo wa Uendeshaji wa Toleo la Questa-Intel FPGA Toleo la 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Habari Zinazohusiana
- Intel Quartus Prime Standard Edition Design Programu ya Linux
- Intel Quartus Prime Standard Edition Design Programu ya Windows
- Intel Quartus Prime Lite Edition Design Programu ya Linux
- Intel Quartus Prime Lite Edition Design Programu ya Windows
1.9. Uthibitishaji wa Antivirus
Programu ya Intel Quartus Prime imethibitishwa kuwa haina virusi na programu ifuatayo:
Programu ya Uthibitishaji wa Kingavirusi ya Toleo la Kawaida la Intel Quartus Toleo la 22.1std.1
Mstari wa Amri ya McAfee VirusScan kwa Toleo la Linux64: 7.0.0.477
Toleo la Injini ya AV: 6300.9389 kwa Linux64.
Toleo la kuweka tarehe: 10629 iliyoundwa Februari 22 2023
Programu ya Uthibitishaji wa Kingavirusi ya Toleo la Kawaida la Intel Quartus Toleo la 22.1
Mstari wa Amri ya McAfee VirusScan kwa Toleo la Linux64: 7.0.0.477
Toleo la Injini ya AV: 6300.9389 kwa Linux64.
Toleo la kuweka tarehe: 10505 liliundwa Oktoba 19 2022
1.10. Masuala ya Programu Yametatuliwa
Hakuna maombi ya huduma kwa wateja ambayo yalisuluhishwa vinginevyo katika Toleo la 22.1std.1 la Intel Quartus Prime.
Maombi yafuatayo ya huduma kwa wateja yalirekebishwa au kutatuliwa vinginevyo katika Toleo la 22.1std la Intel Quartus Prime:
Jedwali 11.
Masuala Yametatuliwa katika Toleo la 22.1std la Intel Quartus Prime
Nambari za Kesi za Msaada wa Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Viraka vya Programu Zilizojumuishwa katika Toleo hili
Toleo la 22.1std.1 la Intel Quartus Prime Standard Edition lina viraka vifuatavyo kwa matoleo ya awali ya programu ya Intel Quartus Prime Standard Edition:
Jedwali 12.
Viraka vya Programu vilivyojumuishwa katika Toleo la Toleo la Kawaida la Intel Quartus 22.1st.1
Toleo la Programu | Kiraka | Nambari ya Ombi la Huduma kwa Wateja |
Toleo kuu la Intel Quartus 22.1 | 0.01st | – |
Toleo kuu la Intel Quartus 21.1 | 0.14st | 00741067 |
Toleo la 22.1 la Intel Quartus Prime Standard Edition lina viraka vifuatavyo kwa matoleo ya awali ya programu ya Intel Quartus Prime Standard Edition:
Jedwali 13. Viraka vya Programu vilivyojumuishwa katika Toleo la 22.1std la Intel Quartus Prime
Toleo la Programu | Kiraka | Nambari ya Ombi la Huduma kwa Wateja |
Toleo kuu la Intel Quartus 21.1 | 0.10st | – |
Toleo kuu la Intel Quartus 21.1 | 0.08st | 00693884 |
Toleo kuu la Intel Quartus 21.1 | 0.07st | 00501636 |
Toleo kuu la Intel Quartus 21.1 | 0.06st | 00689611 |
Toleo kuu la Intel Quartus 21.1 | 0.04stdp | – |
Toleo kuu la Intel Quartus 21.1 | 0.03st | – |
Toleo kuu la Intel Quartus 21.1 | 0.02st | – |
Toleo kuu la Intel Quartus 20.1.1 | 1.09st | 00702107 |
Toleo kuu la Intel Quartus 20.1 | 0.14st | 00702107 |
Toleo kuu la Intel Quartus 18.1.1 | 1.13st | – |
Toleo kuu la Intel Quartus 18.1.1 | 1.12st | – |
Toleo kuu la Intel Quartus 18.1.1 | 1.09st | – |
Toleo kuu la Intel Quartus 18.1 | 0.23st | 00698210 |
Toleo kuu la Intel Quartus 18.1 | 0.21st | 00669646 |
Toleo kuu la Intel Quartus 18.1 | 0.20st | 00689611 |
1.12. Masuala ya Hivi Punde ya Intel Quartus Prime Software
Maelezo kuhusu masuala yanayojulikana yanayoathiri Toleo la 22.1std la Intel Quartus Prime Standard inapatikana katika Intel FPGA Knowledge Base.
Kwa maelezo ya hivi punde kuhusu masuala yanayoathiri Toleo la 22.1std la Intel Quartus Prime, review makala ya Intel FPGA Knowledge Base ambayo inatumika kwa Intel Quartus Prime Standard Edition Toleo la 22.1std.
Jedwali 14.
Masuala Muhimu Yanayojulikana Yanayoathiri Toleo La Kawaida la Intel Quartus Prime 22.1std
Maelezo | Suluhu |
Kwenye mifumo ya Microsoft* Windows, muundo wa IP wa SDI II Intel FPGA zamaniample generation inashindwa na ujumbe ufuatao wa makosa: Hitilafu: Imeshindwa kutengeneza exampna kubuni example_design kwa:: \sdi_ii_0_mfample_design |
Kwa maelezo na upatikanaji wa kurekebisha, rejelea Kwa nini muundo wa IP wa SDI II Intel FPGA ni wa zamaniampje kizazi kinashindwa wakati wa kutumia Intel Quartus Prime Software kwa Windows? katika Msingi wa Maarifa wa Intel FPGA. |
Kwenye mifumo ya Microsoft Windows, hitilafu ifuatayo hutokea wakati wa kuzalisha Intel Arria 10 EMIF ExampUbunifu wa kuiga: Hitilafu: emif_0: Hitilafu imetokea wakati wa kuzalisha mfano wa simulationampna kubuni. Tazama make_sim_design_errors.log kwa maelezo. Hitilafu: Imeshindwa kutengeneza exampna kubuni kwa:ampsaraka ya muundo> Tengeneza Example Design: imekamilika na makosa |
Unaweza kupuuza ujumbe huu wa onyo kwa usalama. Uigaji file seti za Siemens EDA Questa na programu ya simulizi ya Aldec Riviera-PRO imetolewa na ina muundo unaofaa. files kuendesha simulation kwa mafanikio. Kwa maelezo zaidi na upatikanaji wa kurekebisha, rejelea Kwa nini Intel Arria 10 EMIF ExampJe, Kizazi cha Usanifu Kimeshindwa unapotumia Toleo la 22.1 la Programu ya Toleo la Kawaida la Intel Quartus kwa Windows? katika Msingi wa Maarifa wa Intel FPGA. |
Unapotumia modi ya Urekebishaji wa Kuruka kwa IP ya Intel Arria 10 EMIF, uigaji wa Intel Arria 10 EMIF IP na programu ya simulizi ya Siemens EDA Questa (Siemens EDA Questa Kifanisi cha Kina au Toleo la Questa-Intel FPGA) kinaweza kuning'inia. |
Tumia Abstract PHY kwa chaguo la uigaji wa haraka ili kuzuia hang. Kwa maelezo zaidi na upatikanaji wa kurekebisha, rejelea Kwa nini Uigaji wa Intel Arria 10 EMIF IP katika simulators za Mentor hutegemea wakati wa kutumia Intel Quartus Prime Standard Edition Software toleo la 22.1 katika Msingi wa Maarifa wa Intel FPGA. |
Unaweza kupata maelezo ya suala yanayojulikana kwa matoleo ya awali ya programu ya Quartus Prime kwenye Msingi wa Maarifa wa Intel FPGA. web ukurasa.
Maelezo kuhusu masuala ya programu yanayojulikana ambayo yanaathiri matoleo ya awali ya programu ya Quartus II yanapatikana kwenye Usaidizi wa Programu wa Intel Quartus Prime na Quartus II. web ukurasa.
Taarifa kuhusu masuala yanayoathiri Maktaba ya IP ya Intel FPGA inapatikana katika maelezo ya toleo kwa kila IP. Unaweza kupata maelezo ya kutolewa kwa IP kwenye Kielezo cha Hati cha Intel FPGA web ukurasa.
Habari Zinazohusiana
- Msingi wa Maarifa wa Intel FPGA
- Msaada wa Programu ya Intel Quartus Prime na Quartus II
- Intel FPGAs na Vidokezo vya Kutolewa vya Vifaa Vinavyoweza Kupangwa
1.13. Programu na Kifaa cha Intel Quartus Prime Standard Edition Kumbukumbu za Madokezo ya Kutolewa kwa Msaada
Kwa matoleo ya hivi punde na ya awali ya madokezo haya ya toleo, rejelea Programu ya Toleo la Kawaida la Intel Quartus Prime na Madokezo ya Matoleo ya Usaidizi wa Kifaa. Ikiwa toleo la programu halijaorodheshwa, vidokezo vya toleo la toleo la awali la programu hutumika.
1.14. Utoaji wa Programu ya Toleo la Kawaida la Intel Quartus Historia ya Marekebisho ya Hati ya Toleo la 22.1
Toleo la Hati | Toleo kuu la Intel Quartus | Mabadiliko |
2023.03.21 | 22.1st.1 | • Imesasishwa kwa Toleo la 22.1std.1 • Nambari ya toleo iliyosahihishwa ya Toleo la 22.1std. |
2022.11.07 | 22.1st | • Masuala ya Hivi Punde ya Programu Yanayojulikana. |
2022.10.31 | 22.1st | • Kutolewa kwanza. |
Toleo la Kawaida la Intel Quartus: Toleo la 22.1std la Programu na Vidokezo vya Kutolewa vya Usaidizi wa Kifaa
Toleo la mtandaoni
Tuma Maoni
Kitambulisho: 683593
RN-01080-22.1std
Toleo: 2023.03.21
Nyaraka / Rasilimali
![]() |
Intel Quartus Prime Standard Edition [pdf] Mwongozo wa Mtumiaji Toleo la Kawaida la Quartus, Toleo la Kawaida la Prime, Toleo la Kawaida |