Intel® Quartus® Prime Standard Edition
Version 22.1std Software and Device Support Release Notes
Fa'afou mo le Intel® Quartus® Prime Design Suite: 22.1std.1
Fa'aoga Taiala
Intel® Quartus® Prime Standard Edition Version 22.1std Software and Device Support Release Notes
O lenei pepa o lo'o maua ai fa'amatalaga tuai e uiga i le Intel® Quartus® Prime Standard Edition Version 22.1std ma le 22.1std.1.
Mo faʻamatalaga faʻaopoopo e uiga i lenei faʻasalalauga faʻapipiʻi, tagai ile Intel Quartus Prime Standard Edition README file i le nofoaga nei: /quartus/readme.txt
Mo faʻamatalaga e uiga i le faʻaogaina o le polokalama lagolago, tagai i mea nei web itulau: Intel FPGA Operating System Support.
Fa'amatalaga Fa'atatau
- Intel Quartus Prime Pro Edition Software and Device Support Release Notes
- Intel Quartus Prime Standard Edition Design Software mo Linux
- Intel Quartus Prime Standard Edition Design Software mo Pupuni
- Intel Quartus Prime Lite Edition Design Software mo Linux
- Intel Quartus Prime Lite Edition Design Software mo Pupuni
- Intel FPGA Polokalama Fa'apipi'i ma Laisene
1.1. Vaega Fou ma Fa'aleleia
Intel Quartus Prime Standard Edition Software Version 22.1std ma le Version 22.1std.1 e aofia ai faʻafouga faʻaleleia ma le saogalemu. Tausia lau polokalama faʻaonaponei ma mulimuli i le fautuaga fa'apitoa e fesoasoani e faʻaleleia le saogalemu o lau faʻapipiʻi Intel Quartus Prime.
Intel Quartus Prime Standard Edition Software Version 22.1std e aofia ai foliga fou ma faʻaleleia:
- Fa'aopoopo le lagolago mo le Nios® V/m processor.
- Mo masini Intel MAX® 10, fa'aopoopoina le lagolago 1.8V LVDS.
Fa'atonuga o Bug
Intel Quartus Prime Standard Edition Software Version 22.1std ma le Version 22.1std.1 e aofia ai foʻi le faʻaleleia o pusa. Review Fa'afitauli Fa'akomepiuta ua Fo'ia i le itulau 13 ma Polokalama Fa'apipi'i o lo'o aofia i lenei Fa'asalalauga i le itulau e 13 e va'ai pe o iai i lenei fa'atonuga ni fa'afouga mo pe fo'ia so'o se mana'oga o au tagata fa'atau (Intel Premier Support).
1.2. Suiga i Amioga Polokalama
O lenei vaega o lo'o fa'amauina ai tulaga na suia ai le amio ma le fa'aogaina o le polokalama Intel Quartus Prime Standard Edition mai fa'asalalauga muamua o le polokalama Intel Quartus Prime Standard Edition.
Va'ai ile Intel Quartus Prime Default Settings File (.qdf), /quartus/bin/assignment_defaults.qdf, mo se lisi o faʻatonuga uma e le mafai ona faʻatulagaina mo le lomiga lata mai o le polokalama Intel Quartus Prime.
1.2.1. Vaega ma Galuega Fa'ate'aina
O galuega ma foliga o loʻo lisiina i lenei vaega ua faʻaumatia ae leʻi aveesea mai le Intel Quartus Prime Standard Edition Version 22.1std.1 poʻo muamua.
Fa'asolo au mea faigaluega ma fa'agaioiga e fa'aoga ai le suitulaga po'o isi vaega ma galuega a'o le'i aveesea vaega ma galuega fa'aletonu.
Vaega ma Galuega Fa'ate'aina e pei ole Intel Quartus Prime Standard Lomiga Version 22.1std.1
E leai se Intel Quartus Prime foliga po'o ni galuega ua fa'ate'aina ile Intel Quartus Prime Standard Edition Version 22.1.1.
Vaega ma Galuega Fa'ate'aina e pei ole Intel Quartus Prime Standard Lomiga Version 22.1std
E leai se Intel Quartus Prime foliga po'o ni galuega ua fa'ate'aina ile Intel Quartus Prime Standard Edition Version 22.1.
Vaega ma Galuega Fa'ate'aina e pei ole Intel Quartus Prime Standard Edition Version 21.1.1
E leai se Intel Quartus Prime foliga po'o ni galuega ua fa'ate'aina ile Intel Quartus Prime Standard Edition Version 21.1.1.
Vaega ma Galuega Fa'ate'aina e pei ole Intel Quartus Prime Standard Lomiga Version 21.1
E leai se Intel Quartus Prime foliga po'o ni galuega ua fa'ate'aina ile Intel Quartus Prime Standard Edition Version 21.1.
Vaega ma Galuega Fa'ate'aina e pei ole Intel Quartus Prime Standard Lomiga Version 20.1
E leai se Intel Quartus Prime foliga po'o ni galuega ua fa'ate'aina ile Intel Quartus Prime Standard Edition Version 20.1.
1.2.2. Aveese Vaega ma Galuega
O galuega ma foliga o loʻo lisiina i lenei vaega ua aveese mai le Intel Quartus Prime Standard Edition Version 22.1std.1 poʻo muamua.
Vaega ma Galuega Aveesea mai le Intel Quartus Prime Standard Edition Fa'aliliuga 22.1std.1
Leai se Intel Quartus Prime foliga po'o galuega ua aveesea mai le Intel Quartus Prime Standard Edition Version 22.1.1.
Vaega ma Galuega Aveesea mai le Intel Quartus Prime Standard Edition Fa'aliliuga 22.1std
Leai se Intel Quartus Prime foliga po'o galuega ua aveesea mai le Intel Quartus Prime Standard Edition Version 22.1.
Vaega ma Galuega Aveesea mai le Intel Quartus Prime Standard Edition Fa'aliliuga 21.1.1
Leai se Intel Quartus Prime foliga po'o galuega ua aveesea mai le Intel Quartus Prime Standard Edition Version 21.1.1.
Vaega ma Galuega Aveesea mai le Intel Quartus Prime Standard Edition Fa'aliliuga 21.1
- Aveese le ModelSim*-Intel FPGA Edition ma le ModelSim-Intel FPGA Starter Edition
O lenei polokalame simulation ua suia e Questa*-Intel FPGA Edition ma Questa-Intel FPGA Starter Edition. - Aveese le lagolago mo 32-bit simulation software.
O lenei suiga e aveese ai le lagolago mo meafaigaluega faʻataʻitaʻi nei:
— Aldec* Active-HDL* (32-bit)
Fa'aaoga se 64-bit version o Aldec Active-HDL pe fa'aoga Aldec Riviera-PRO* nai lo.
— Mentor Graphics* ModelSim PE
Fa'aaoga le Siemens* EDA ModelSim SE po'o le Siemens EDA Questa Advanced Simulator. - Aveese le lagolago a NicheStack TCP/IP Stack.
- Aveese le lagolago mo Cadence* Incisive* Enterprise Simulator (IES).
Vaega ma Galuega Aveesea mai le Intel Quartus Prime Standard Edition Fa'aliliuga 20.1
Lagolago mo le polokalame lea ua aveese mai le Intel Quartus Prime Standard Edition Version 20.1 ma mulimuli ane:
- DSP Faufale mo Intel FPGAs
- Intel FPGA SDK mo OpenCL™ (*)
- Intel FPGA RTE mo OpenCL
- Intel High-Level Synthesis (HLS) Compiler
(*) O le OpenCL ma le OpenCL logo o fa'ailoga tau fefa'ataua'iga a Apple Inc. fa'aaogaina i le fa'atagaga a le Khronos Group™.
1.3. Lagolago System System
O fa'amatalaga e uiga i le fa'aogaina o le polokalama lagolago mo le Intel Quartus Prime Design Suite o lo'o maua i le Operating System Support page o le Intel FPGA. webnofoaga.
Suiga Lagolago Faagaioiga ile Intel Quartus Prime Standard Edition Fa'aliliuga 22.1std.1
E leai ni suiga e lagolago ai faiga fa'aoga ile Intel Quartus Prime Standard Edition Version 22.1std.1.
Suiga Lagolago Faagaioiga ile Intel Quartus Prime Standard Edition Fa'aliliuga 22.1std
O le lagolago mo faiga fa'aoga nei ua fa'ate'aina e pei o le Intel Quartus Prime Standard Edition Version 22.1:
- CentOS* Linux 8.2
- Windows Server* 2012
- Windows Server 2016
- Pupuni* 10 Version 1607
Fa'asolo lau Windows 10 fa'apipi'i ile Windows 10 Version 1809 po'o mulimuli ane.
E mafai ona aveese le lagolago mo nei faiga fa'aoga i se fa'asalalauga i le lumana'i.
Intel Quartus Prime Standard Edition Version 22.1 na aveese le lagolago mo faiga fa'aoga nei:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Suiga Lagolago Faagaioiga ile Intel Quartus Prime Standard Edition Fa'aliliuga 21.1.1
E leai ni suiga e lagolago ai faiga fa'aoga ile Intel Quartus Prime Standard Edition Version 21.1.1.
Suiga Lagolago Faagaioiga ile Intel Quartus Prime Standard Edition Fa'aliliuga 21.1
Intel Quartus Prime Standard Edition Version 21.1 fa'aopoopoina le lagolago mo faiga fa'aoga nei:
- CentOS Linux 8.2 o lo'o lagolagoina pea e le Intel Quartus Prime Standard Edition Version 22.1
- Red Hat* Enterprise Linux 8.2 o lo'o lagolagoina pea e le Intel Quartus Prime Standard Edition Version 22.1
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
Lagolago mo faiga fa'agaioiga nei ua fa'ate'aina e pei o le Intel Quartus Prime Standard Edition Version 21.1. E ono aveesea le lagolago mo nei faiga fa'aoga i se fa'asalalauga i le lumana'i:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Version 21.1 na aveese le lagolago mo faiga fa'aoga nei:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Fa'amatalaga Fa'atatau
Lagolago System System
1.4. Avanoa Disk ma Fautuaga Manatu
O le fa'apipi'iina atoa o le polokalama Intel Quartus Prime Standard Edition e mana'omia le o'o atu i le 40 GB o avanoa tisiki avanoa.
Fa'atulaga lau faiga e tu'uina atu ai fa'amatalaga fa'aopoopo e tutusa ma le RAM fa'aletino fautuaina e mana'omia e fa'agasolo ai lau mamanu. O lenei fa'aopoopo fa'amanatu fa'akomepiuta e fa'aluaina lelei le aofa'i o manatua lelei e avanoa e fa'agasolo ai lau mamanu.
Fa'aaliga:
Ole maualuga ole manatua ole mafaufau e ono sili atu i nei fautuaga. O nei fautuaga e faʻavae i luga o le aofaʻi o mafaufauga faʻaletino e manaʻomia e ausia ai le taʻavale i totonu o le 10% o mea na maua i luga o meafaigaluega ma se aofaiga e le gata o le RAM.
Laulau 1.
Manaoga Manaomia mo le Fa'agaioiina o Arria® Designs
O nei manaʻoga e tutusa mo Windows ma Linux faʻapipiʻi.
Aiga | Meafaigaluega | Fautuaina RAM Faaletino |
Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Aria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Aria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Aria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Laulau 2.
Manaoga Manaomia mo le Fa'agaioiina o Fuafuaga a le Cyclone®
O nei manaʻoga e tutusa mo Windows ma Linux faʻapipiʻi.
Aiga | Meafaigaluega | Fautuaina RAM Faaletino |
Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Afā V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Afa IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Afā IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Laulau 3.
Manaoga Manaomia mo le Fa'agaioiina MAX Designs
O nei manaʻoga e tutusa mo Windows ma Linux faʻapipiʻi.
Aiga | Meafaigaluega | Fautuaina RAM Faaletino |
Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | O mea uma | 512 MB |
MAX II | O mea uma | 512 MB |
Laulau 4.
Manaoga Manaomia mo le Fa'agaioiina o Stratix®Designs
O nei manaʻoga e tutusa mo Windows ma Linux faʻapipiʻi.
Aiga | Meafaigaluega | Fautuaina RAM Faaletino |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Lagolago masini ma Tulaga Pin-Ofo
O masini gaosiga uma o loʻo i ai i le taimi nei le tuʻufaʻatasia atoatoa, faʻataʻitaʻiga, suʻesuʻega taimi, ma le polokalame lagolago.
1.5.1. Suiga i le Device Support
1.6. Fa'ata'ita'iga Taimi, Fa'ata'ita'iga Malosi, ma Tulaga Fa'atonu
Laulau 5.
Fa'ata'ita'iga Taimi, Fa'ata'ita'iga Malosi, ma Tulaga Fa'atonu mo Intel Arria 10 Masini
Aiga masini | Meafaigaluega | Tulaga Fa'ata'ita'iga Taimi | Malosiaga Fa'ata'ita'iga Tulaga | Tulaga o le masini |
Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Mulimuli – 16.1 (3)(4) | Mulimuli – 17.0 | Mulimuli – 17.0 |
10AX048, 10AS048 | Mulimuli – 16.0.2 (4) | Mulimuli – 17.0 | Mulimuli – 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Mulimuli – 16.0.1 (4) | Mulimuli – 16.0.1 | Mulimuli – 16.0.1 | |
10AX115, 10AT115 | Mulimuli – 16.0 (4) | Mulimuli – 16.0 | Mulimuli – 16.0 |
(3) O masini ma le -1 saosaoa togi na fa'amae'aina ile Intel Quartus Prime software version 17.0
(4) O masini uma a le militeri sa fa'amae'aina ile Intel Quartus Prime software version 18.0.1.
Laulau 6.
Fa'ata'ita'iga Taimi, Fa'ata'ita'iga Malosiaga, ma Tulaga Fa'atonu mo masini Intel Cyclone 10
Aiga masini | Meafaigaluega | Tulaga Fa'ata'ita'iga Taimi | Malosiaga Fa'ata'ita'iga Tulaga | Tulaga o le masini |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Mulimuli – 17.0 | Mulimuli – 17.1 | Mulimuli – 17.1 |
Laulau 7.
Fa'ata'ita'iga Taimi, Fa'ata'ita'iga Malosi, ma Tulaga Fa'atonu mo Intel MAX 10 Masini
Aiga masini | Meafaigaluega | Tulaga Fa'ata'ita'iga Taimi | Malosiaga Fa'ata'ita'iga Tulaga | Tulaga o le masini |
Intel MAX 10 | 10M02, 10M04, 10M08 | Mulimuli – 15.1 (5) | Mulimuli – 15.1 | Mulimuli – 15.1 |
10M16, 10M25, 10M40, 10M50 | Mulimuli – 15.1.2 | Mulimuli – 15.1 | Mulimuli – 15.1 |
O le lomiga o lo'o i ai nei o le Intel Quartus Prime software e aofia ai fo'i taimi mulimuli ma fa'ata'ita'iga malosi mo Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Afa IV E, Afa IV GX, Afa V, Afa V. SoC, MAX II, MAX II Z, MAX V, Stratix IV, ma Stratix V aiga masini. O fa'ata'ita'iga taimi mo nei aiga masini na fa'amautu i le Intel Quartus Prime software versions 11.1 po'o muamua atu.
1.7. IBIS Fa'ata'ita'iga
Laulau 8. Tulaga Fa'ata'ita'iga IBIS mo le Intel Quartus Prime Standard Edition Software Fa'asa'oloto Version 22.1std
Amata ile Intel Quartus Prime Standard Edition software version 16.0, o aiga masini e iai tulaga fa'ata'ita'iga IBIS e a'o le Advance, Preliminary, po'o le Final.
Aiga masini | Tulaga Fa'ata'ita'iga IBIS |
Intel Arria 10 | Mulimuli – 16.1.2 |
Aria V | Fa'atasi ma le fa'aogaina o masini PHY - 14.0 |
Aria II GX | Fa'atasi ma le fa'aogaina o masini PHY - 11.1 |
Aria II GZ | Fa'atasi ma le fa'aogaina o masini PHY - 11.1 |
Intel Cyclone 10 LP | Mulimuli – 17.0 |
Afā V | Fa'atasi ma le fa'aogaina o masini PHY - 14.0 |
Afā IV E | Fa'atasi ma le fa'aogaina o masini PHY - 11.1 |
Afa IV GX | Fa'atasi ma le fa'aogaina o masini PHY - 11.1 |
Intel MAX 10 | Mulimuli – 16.0 |
MAX V | Fa'atasi ma le fa'aogaina o masini PHY - 11.1 |
Stratix V | Faʻatasi ma le faʻaogaina o masini PHY - 13.0 SP1 |
Stratix IV | Fa'atasi ma le fa'aogaina o masini PHY - 11.1 |
Fa'afou fa'ata'ita'iga IBIS o lo'o maua ile initaneti ile IBIS Models mo Intel FPGA Devices web itulau. O lenei itulau e faʻafouina pe a faʻaogaina faʻataʻitaʻiga IBIS mo masini pe faʻafouina.
(5) Tulaga fa'ata'ita'iga mo le MAX 10 A6 vaega ole vasega saosaoa o lo'o tumau pea ile Fa'amuamua.
1.8. EDA Interface Information
Laulau 9.
Meafaigaluega Fa'aopoopo e Lagolagoina le Intel Quartus Prime Standard Edition Software Release Version 22.1std
Meafaigaluega Fa'atasi | Fa'aliliuga |
Siemens EDA sa'o* | Siemens EDA Precision versions e lagolagoina le Intel Quartus Prime software e masani lava ona fa'asa'olotoina pe a mae'a fa'amatu'u mai le polokalama Intel Quartus Prime. Fa'afeso'ota'i Siemens EDA mo fa'aliliuga o le Siemens EDA Precision e lagolagoina le Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Synopsys* Synplify*, Synplify Pro*, ma Synplify Premier | Synopsys Synplify, Synplify Pro, ma Synplify Premier versions e lagolagoina le Intel Quartus Prime software e masani ona fa'amatu'u pe a mae'a le tatalaina o le Intel Quartus Prime software. Fa'afeso'ota'i le Synopsys mo fa'aliliuga o Synopsys Synplify, Synplify Pro, ma Synplify Premier e lagolagoina le Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Laulau 10.
Meafaigaluega Fa'ata'ita'iga e Lagolagoina le Intel Quartus Prime Standard Edition Polokalama Fa'asalalauga Version 22.1std
O mea faigaluega fa'ata'ita'i nei e tu'uina atu ai le RTL ma le fa'atinoga o le faitoto'a fa'atinoga. E na'o le 64-bit simulation mea faigaluega e lagolagoina.
Meafaigaluega fa'atusa | Fa'aliliuga |
Aldec Active-HDL | 13.0 (Na'o Pupuni) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* Fa'ata'ita'iga Fa'atusa | 21.09.003 (Linux* na'o) |
Questa-Intel FPGA lomiga | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
Synopsys VCS* ma VCS MX | P-2019.06-SP2-5 (Na'o Linux) |
Questa-Intel FPGA Edition e manaʻomia le FlexLM laisene daemon version 11.16.4.0 (pe mulimuli ane). E mafai ona e mauaina le daemon laisene mai le FlexLM License Daemons mo Intel FPGA Software web itulau.
E mafai ona e mauaina le Intel FPGA Edition o meafaigaluega faʻataʻitaʻi mai le Nofoaga Autu mo FPGA.
Lagolago Fa'atonuga mo le Questa-Intel FPGA Edition Version 2021.2
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Pupuni 10 (64-bit)
Fa'amatalaga Fa'atatau
- Intel Quartus Prime Standard Edition Design Software mo Linux
- Intel Quartus Prime Standard Edition Design Software mo Pupuni
- Intel Quartus Prime Lite Edition Design Software mo Linux
- Intel Quartus Prime Lite Edition Design Software mo Pupuni
1.9. Antivirus Verification
O le polokalama Intel Quartus Prime ua fa'amaonia le leai o se siama i le polokalama nei:
Polokalama Fa'amaonia Antivirus mo le Intel Quartus Prime Standard Edition Fa'aliliuga 22.1std.1
McAfee VirusScan Command Line mo Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 mo Linux64.
Dat set version: 10629 na faia Feb 22 2023
Polokalama Fa'amaonia Antivirus mo le Intel Quartus Prime Standard Edition Fa'aliliuga 22.1std
McAfee VirusScan Command Line mo Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 mo Linux64.
Dat set version: 10505 na faia Oketopa 19 2022
1.10. Fa'afitauli Fa'atonu
E leai ni talosaga mo auaunaga a tagata faatau na fa'amauina i se isi itu e fo'ia ile Intel Quartus Prime Standard Edition Version 22.1std.1.
O talosaga nei a le aufaipisinisi na fa'amautu pe fa'amalieina ile Intel Quartus Prime Standard Edition Version 22.1std:
Laulau 11.
Fa'afitauli ua Foia i le Intel Quartus Prime Standard Edition Version 22.1std
Numera mataupu lagolago a le Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Polokalama Polokalama Fa'aaofia i lenei Fa'asalalauga
O le Intel Quartus Prime Standard Edition Version 22.1std.1 o loʻo i ai faʻamaufaʻailoga nei mo lomiga muamua o le Intel Quartus Prime Standard Edition software:
Laulau 12.
Polokalama Patches e aofia i le Intel Quartus Prime Standard Edition Version 22.1std.1
Faʻamatalaga o le Polokalama | Fa'ailoga | Numera Talosaga Auaunaga Fa'atau |
Intel Quartus Prime Version 22.1 | 0.01std | – |
Intel Quartus Prime Version 21.1 | 0.14std | 00741067 |
O le Intel Quartus Prime Standard Edition Version 22.1std o lo'o i ai fa'ailoga nei mo lomiga muamua o le Intel Quartus Prime Standard Edition software:
Laulau 13. Polokalama Patches e aofia i le Intel Quartus Prime Standard Edition Version 22.1std
Faʻamatalaga o le Polokalama | Fa'ailoga | Numera Talosaga Auaunaga Fa'atau |
Intel Quartus Prime Version 21.1 | 0.10std | – |
Intel Quartus Prime Version 21.1 | 0.08std | 00693884 |
Intel Quartus Prime Version 21.1 | 0.07std | 00501636 |
Intel Quartus Prime Version 21.1 | 0.06std | 00689611 |
Intel Quartus Prime Version 21.1 | 0.04stdp | – |
Intel Quartus Prime Version 21.1 | 0.03std | – |
Intel Quartus Prime Version 21.1 | 0.02std | – |
Intel Quartus Prime Version 20.1.1 | 1.09std | 00702107 |
Intel Quartus Prime Version 20.1 | 0.14std | 00702107 |
Intel Quartus Prime Version 18.1.1 | 1.13std | – |
Intel Quartus Prime Version 18.1.1 | 1.12std | – |
Intel Quartus Prime Version 18.1.1 | 1.09std | – |
Intel Quartus Prime Version 18.1 | 0.23std | 00698210 |
Intel Quartus Prime Version 18.1 | 0.21std | 00669646 |
Intel Quartus Prime Version 18.1 | 0.20std | 00689611 |
1.12. Fa'amatalaga Mata'utia Intel Quartus Prime Software Fa'amatalaga
O fa'amatalaga e uiga i fa'afitauli iloga e a'afia ai le Intel Quartus Prime Standard Edition Version 22.1std o lo'o maua ile Intel FPGA Knowledge Base.
Mo fa'amatalaga lata mai e uiga i fa'afitauli e a'afia ai le Intel Quartus Prime Standard Edition Version 22.1std, toeview le Intel FPGA Knowledge Base tala e fa'atatau ile Intel Quartus Prime Standard Edition Version 22.1std.
Laulau 14.
Fa'afitauli Taualoa e A'afia ai le Intel Quartus Prime Standard Edition Version 22.1std
Fa'amatalaga | fofo |
I luga ole Microsoft* Windows system, SDI II Intel FPGA IP design exampLe fa'atupuina ua le manuia i le fe'au sese lea: Sese: Ua le mafai ona gaosia example mamanu example_design ia:: \sdi_ii_0_example_design |
Mo fa'amatalaga ma le maua o se fa'aoga, fa'asino ile Aisea ua mamanuina ai le SDI II Intel FPGA IP exampLe augatupulaga e le manuia pe a faʻaaogaina le Intel Quartus Prime Software mo Windows? i le Intel FPGA Knowledge Base. |
I luga o polokalama a Microsoft Windows, o le mea sese lea e tupu pe a fatuina se Intel Arria 10 EMIF Example Design mo faʻataʻitaʻiga: Error: emif_0: Ua tupu se mea sese i le fausiaina o le simulation example mamanu. Va'ai make_sim_design_errors.log mo fa'amatalaga. Sese: Ua le mafai ona gaosia example mamanu ia:ample design directory> Fausia Example Design: faʻamaeʻaina i mea sese |
E mafai ona e le amanaiaina ma le saogalemu nei savali lapatai. Fa'ata'oto file seti mo Siemens EDA Questa ma Aldec Riviera-PRO simulation software ua gaosia ma aofia ai le mamanu talafeagai files ia manuia le fa'ata'ita'iga. Mo nisi faʻamatalaga ma le avanoa o se faʻaoga, tagai ile Aisea e fa'apea ai le Intel Arria 10 EMIF Example Fuafuaga Fuafuaga Fa'aletonu pe a fa'aogaina le Intel Quartus Prime Standard Edition Software Version 22.1 mo Pupuni? i le Intel FPGA Knowledge Base. |
A e faʻaogaina le Intel Arria 10 EMIF IP Skip Calibration mode, faʻataʻitaʻiga ole Intel Arria 10 EMIF IP faʻatasi ma Siemens EDA Questa simulation software (Siemens EDA Questa Advanced Simulator poʻo Questa-Intel FPGA Edition) e mafai ona tautau. |
Fa'aaoga le Abstract PHY mo le fa'ata'ita'iga vave fa'ata'ita'iga filifiliga e taofia ai le tautau. Mo nisi fa'amatalaga ma le maua o se fa'aleleia, tagai ile Aisea e tautau ai le Simulation o Intel Arria 10 EMIF IP i Mentor simulators pe a faʻaaogaina le Intel Quartus Prime Standard Edition Software version 22.1 i le Intel FPGA Knowledge Base. |
E mafai ona e mauaina faʻamatalaga faʻamatalaga faʻamatalaga mo lomiga muamua o le polokalama Quartus Prime ile Intel FPGA Knowledge Base web itulau.
O fa'amatalaga e uiga i fa'afitauli fa'apitoa fa'akomepiuta e a'afia ai lomiga muamua o le polokalama Quartus II o lo'o maua ile Intel Quartus Prime ma Quartus II Software Support. web itulau.
O fa'amatalaga e uiga i fa'afitauli o lo'o a'afia ai le Intel FPGA IP Library o lo'o maua i fa'amatalaga fa'asalalauga mo IP ta'itasi. E mafai ona e mauaina faʻamatalaga faʻasalalauga IP ile Intel FPGA Documentation Index web itulau.
Fa'amatalaga Fa'atatau
- Intel FPGA Knowledge Base
- Intel Quartus Prime ma Quartus II Software Support
- Intel FPGAs ma Polokalama Masini Fa'atuina Fa'amatalaga
1.13. Intel Quartus Prime Standard Edition Software and Device Lagolago Fa'amatalaga Fa'amaumauga Archives
Mo fa'amatalaga lata mai ma muamua o nei fa'amatalaga fa'asalalauga, fa'asino ile Intel Quartus Prime Standard Edition Software and Device Support Release Notes. Afai e le o lisiina se lomiga faakomepiuta, e fa'aoga fa'amatalaga fa'amatu'u mo le fa'asologa muamua o polokalama faakomepiuta.
1.14. Intel Quartus Prime Standard Edition Fa'asalalauga Polokalama Version 22.1std Document Revision History
Fa'amatalaga Fa'amaumauga | Intel Quartus Prime Version | Suiga |
2023.03.21 | 22.1std.1 | • Fa'afouina mo le Version 22.1std.1 • Fa'asa'o le numera o fa'aliliuga mo le Version 22.1std. |
2022.11.07 | 22.1std | • Fa'afouina Fa'amatalaga Fa'amatalaga Fa'akomepiuta Fou. |
2022.10.31 | 22.1std | • Faʻamalolo muamua. |
Intel Quartus Prime Standard Edition: Version 22.1std Software and Device Support Release Notes
Faʻasinomaga Faʻainitaneti
Lauina Manatu
ID: 683593
RN-01080-22.1std
Fa'aliliuga: 2023.03.21
Pepa / Punaoa
![]() |
intel Quartus Prime Standard Edition [pdf] Taiala mo Tagata Fa'aoga Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition |