intel لوگوIntel® Quartus® Prime Standard Edition
نسخو 22.1std سافٽ ويئر ۽ ڊوائيس سپورٽ رليز نوٽس
Intel® Quartus® Prime Design Suite لاءِ اپڊيٽ ڪيو ويو: 22.1std.1
استعمال ڪندڙ ھدايت

Intel® Quartus® Prime Standard Edition Version 22.1std سافٽ ويئر ۽ ڊوائيس سپورٽ رليز نوٽس

هي دستاويز Intel® Quartus® Prime Standard Edition Version 22.1std ۽ 22.1std.1 بابت دير سان بريڪنگ معلومات مهيا ڪري ٿو.
هن سافٽ ويئر جي رليز بابت اضافي معلومات لاءِ، ڏسو Intel Quartus Prime Standard Edition README file ھيٺ ڏنل جڳھ ۾: /quartus/readme.txt
آپريٽنگ سسٽم جي سپورٽ بابت معلومات لاءِ، ھيٺ ڏنل حوالو ڏيو web صفحو: Intel FPGA آپريٽنگ سسٽم سپورٽ.

لاڳاپيل معلومات

  • Intel Quartus Prime Pro Edition سافٽ ويئر ۽ ڊوائيس سپورٽ رليز نوٽس
  • Intel Quartus Prime Standard Edition Design Software for Linux
  • Intel Quartus Prime Standard Edition ڊيزائن سافٽ ويئر ونڊوز لاءِ
  • Intel Quartus Prime Lite Edition ڊيزائن سافٽ ويئر لينڪس لاءِ
  • Intel Quartus Prime Lite Edition ڊيزائن سافٽ ويئر ونڊوز لاءِ
  • Intel FPGA سافٽ ويئر تنصيب ۽ لائسنسنگ

1.1. نيون خاصيتون ۽ واڌايون
Intel Quartus Prime Standard Edition Software Version 22.1std ۽ Version 22.1std.1 ۾ فنڪشنل ۽ سيڪيورٽي اپڊيٽ شامل آهن. پنهنجي سافٽ ويئر کي اپڊيٽ رکو ۽ ان جي پيروي ڪريو ٽيڪنيڪل سفارشون جيڪو توهان جي Intel Quartus Prime تنصيب جي سيڪيورٽي کي بهتر بنائڻ ۾ مدد ڪري ٿو.
Intel Quartus Prime Standard Edition Software Version 22.1std ۾ ھيٺيون نيون خاصيتون ۽ واڌايون شامل آھن:

  • شامل ڪيل سپورٽ Nios® V/m پروسيسر لاءِ.
  • Intel MAX® 10 ڊوائيسز لاءِ، شامل ڪيو ويو 1.8V LVDS سپورٽ.

بگ فڪسس
Intel Quartus Prime Standard Edition Software Version 22.1std ۽ Version 22.1std.1 ۾ به بگ فيڪس شامل آهن. ريview سافٽ ويئر جا مسئلا صفحو 13 تي حل ٿيل آهن ۽ سافٽ ويئر پيچس شامل آهن هن رليز ۾ صفحي 13 تي اهو ڏسڻ لاءِ ته ڇا هي نسخو توهان جي ڪسٽمر سروس (انٽيل پريميئر سپورٽ) جي ڪنهن به درخواست لاءِ حل ڪري ٿو يا ٻي صورت ۾ حل ڪري ٿو.

1.2. سافٽ ويئر جي رويي ۾ تبديليون
هي سيڪشن دستاويزن جا مثال پيش ڪري ٿو جن ۾ Intel Quartus Prime Standard Edition سافٽ ويئر جي رويي ۽ ڊفالٽ سيٽنگن کي تبديل ڪيو ويو آهي Intel Quartus Prime Standard Edition سافٽ ويئر جي اڳوڻي رليز کان.
ڏسو Intel Quartus Prime Default Settings File (.qdf) /quartus/bin/assignment_defaults.qdf، Intel Quartus Prime سافٽ ويئر جي جديد ورزن لاءِ سڀني ڊفالٽ اسائنمينٽ سيٽنگن جي لسٽ لاءِ.

1.2.1. ختم ٿيل خاصيتون ۽ افعال
هن حصي ۾ درج ڪيل افعال ۽ خاصيتون ختم ڪيون ويون آهن پر هٽايو نه ويو آهي Intel Quartus Prime Standard Edition Version 22.1std.1 يا ان کان اڳ.
لڏپلاڻ ڪريو پنھنجي اوزار ۽ عملن کي استعمال ڪرڻ لاءِ متبادل يا متبادل خصوصيتون ۽ افعال استعمال ڪرڻ کان اڳ ختم ٿيل خاصيتون ۽ افعال ختم ڪيا وڃن.
Intel Quartus Prime Standard جي طور تي ختم ٿيل خاصيتون ۽ افعال ايڊيشن ورزن 22.1std.1
Intel Quartus Prime Standard Edition Version 22.1.1 ۾ ڪا به Intel Quartus Prime خاصيتون يا افعال ختم نه ڪيا ويا آهن.
Intel Quartus Prime Standard جي طور تي ختم ٿيل خاصيتون ۽ افعال ايڊيشن ورزن 22.1std
Intel Quartus Prime Standard Edition Version 22.1 ۾ ڪا به Intel Quartus Prime خاصيتون يا افعال ختم نه ڪيا ويا آهن.
Intel Quartus Prime Standard Edition Version 21.1.1 جي طور تي ختم ٿيل خاصيتون ۽ افعال
Intel Quartus Prime Standard Edition Version 21.1.1 ۾ ڪا به Intel Quartus Prime خاصيتون يا افعال ختم نه ڪيا ويا آهن.
Intel Quartus Prime Standard جي طور تي ختم ٿيل خاصيتون ۽ افعال ايڊيشن ورزن 21.1
Intel Quartus Prime Standard Edition Version 21.1 ۾ ڪا به Intel Quartus Prime خاصيتون يا افعال ختم نه ڪيا ويا آهن.
Intel Quartus Prime Standard جي طور تي ختم ٿيل خاصيتون ۽ افعال ايڊيشن ورزن 20.1
Intel Quartus Prime Standard Edition Version 20.1 ۾ ڪا به Intel Quartus Prime خاصيتون يا افعال ختم نه ڪيا ويا آهن.

1.2.2. ختم ٿيل خاصيتون ۽ افعال
ھن حصي ۾ درج ڪيل افعال ۽ خاصيتون Intel Quartus Prime Standard Edition Version 22.1std.1 يا ان کان پھريون ختم ڪيون ويون آھن.
Intel Quartus Prime Standard Edition مان ڪڍيا ويا خاصيتون ۽ افعال نسخو 22.1std.1
Intel Quartus Prime Standard Edition Version 22.1.1 مان ڪي به Intel Quartus Prime خاصيتون يا ڪم نه ڪڍيا ويا آهن.
Intel Quartus Prime Standard Edition مان ڪڍيا ويا خاصيتون ۽ افعال نسخو 22.1std
Intel Quartus Prime Standard Edition Version 22.1 مان ڪي به Intel Quartus Prime خاصيتون يا ڪم نه ڪڍيا ويا آهن.
Intel Quartus Prime Standard Edition مان ڪڍيا ويا خاصيتون ۽ افعال نسخو 21.1.1
Intel Quartus Prime Standard Edition Version 21.1.1 مان ڪي به Intel Quartus Prime خاصيتون يا ڪم نه ڪڍيا ويا آهن.
Intel Quartus Prime Standard Edition مان ڪڍيا ويا خاصيتون ۽ افعال نسخو 21.1

  • هٽايو ويو ModelSim*-Intel FPGA ايڊيشن ۽ ModelSim-Intel FPGA اسٽارٽر ايڊيشن
    هي نقلي سافٽ ويئر ترتيب ڏنو ويو آهي Questa*-Intel FPGA Edition ۽ Questa-Intel FPGA Starter Edition.
  • 32-bit سموليشن سافٽ ويئر لاءِ سپورٽ ختم ڪئي وئي.
    هي تبديلي هيٺين تخليقي اوزارن جي حمايت کي ختم ڪري ٿي:
    - Aldec* Active-HDL* (32-bit)
    Aldec Active-HDL جو 64-bit ورجن استعمال ڪريو يا بدران Aldec Riviera-PRO* استعمال ڪريو.
    - مينٽر گرافڪس * ماڊل سم پي
    استعمال ڪريو Siemens* EDA ModelSim SE يا Siemens EDA Questa Advanced Simulator بدران.
  • هٽايو ويو NicheStack TCP/IP اسٽيڪ سپورٽ.
  • Cadence * Incisive * Enterprise Simulator (IES) لاءِ سپورٽ هٽايو ويو.

Intel Quartus Prime Standard Edition مان ڪڍيا ويا خاصيتون ۽ افعال نسخو 20.1
هيٺ ڏنل سافٽ ويئر جي سپورٽ کي هٽايو ويو آهي Intel Quartus Prime Standard Edition Version 20.1 ۽ بعد ۾:

  • Intel FPGAs لاءِ ڊي ايس پي بلڊر
  • OpenCL™ (*) لاءِ Intel FPGA SDK
  • OpenCL لاءِ Intel FPGA RTE
  • Intel High-level Synthesis (HLS) مرتب ڪندڙ

(*) OpenCL ۽ OpenCL لوگو Apple Inc. جا ٽريڊ مارڪ آھن جيڪي Khronos Group™ جي اجازت سان استعمال ڪيا ويا آھن.

1.3. آپريٽنگ سسٽم جي حمايت
Intel Quartus Prime Design Suite لاءِ آپريٽنگ سسٽم سپورٽ بابت معلومات Intel FPGA جي آپريٽنگ سسٽم سپورٽ پيج تي موجود آهي. webسائيٽ.
Intel Quartus Prime Standard Edition ۾ آپريٽنگ سسٽم سپورٽ تبديليون نسخو 22.1std.1
Intel Quartus Prime Standard Edition Version 22.1std.1 ۾ ڪا به آپريٽنگ سسٽم سپورٽ تبديليون نه آهن.
Intel Quartus Prime Standard Edition ۾ آپريٽنگ سسٽم سپورٽ تبديليون نسخو 22.1std
هيٺ ڏنل آپريٽنگ سسٽم لاءِ سپورٽ انٽيل ڪوارٽس پرائم معياري ايڊيشن ورزن 22.1 جي طور تي ختم ڪئي وئي آهي:

  • CentOS* لينڪس 8.2
  • ونڊوز سرور * 2012
  • ونڊوز سرور 2016
  • ونڊوز * 10 ورزن 1607

پنھنجي Windows 10 انسٽاليشن کي Windows 10 ورجن 1809 يا بعد ۾ منتقل ڪريو.
انهن آپريٽنگ سسٽم لاءِ سپورٽ مستقبل جي رليز ۾ ختم ٿي سگهي ٿي.
Intel Quartus Prime Standard Edition Version 22.1 هيٺ ڏنل آپريٽنگ سسٽم لاءِ سپورٽ هٽائي ڇڏيو:

  • CentOS لينڪس 7.5
  • CentOS Linux 8.0 (1)
  • CentOS Linux 8.1 (1)
  • ريڊ هٽ * انٽرپرائز لينڪس * 7
  • Red Hat Enterprise Linux 8.0 (2)
  • Red Hat Enterprise Linux 8.1 (2)

Intel Quartus Prime Standard Edition ۾ آپريٽنگ سسٽم سپورٽ تبديليون نسخو 21.1.1
Intel Quartus Prime Standard Edition Version 21.1.1 ۾ ڪا به آپريٽنگ سسٽم سپورٽ تبديليون نه آهن.
Intel Quartus Prime Standard Edition ۾ آپريٽنگ سسٽم سپورٽ تبديليون نسخو 21.1
Intel Quartus Prime Standard Edition Version 21.1 هيٺين آپريٽنگ سسٽم لاءِ سپورٽ شامل ڪيو:

  1. CentOS لينڪس 8.2 جي حمايت ڪئي وئي آهي Intel Quartus Prime Standard Edition Version 22.1
  2. Red Hat* انٽرپرائز لينڪس 8.2 انٽيل ڪوارٽس پرائم اسٽرينڊ ايڊيشن ورزن 22.1 پاران سپورٽ ڪري ٿو
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* لينڪس انٽرپرائز سرور 15
  • Ubuntu* Linux 20 LTS
  • ونڊوز سرور 2019

هيٺين آپريٽنگ سسٽم لاءِ سپورٽ انٽيل ڪوارٽس پرائم معياري ايڊيشن ورزن 21.1 جي طور تي ختم ڪئي وئي آهي. انهن آپريٽنگ سسٽم لاءِ سپورٽ مستقبل جي رليز ۾ ختم ٿي سگهي ٿي:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition Version 21.1 هيٺ ڏنل آپريٽنگ سسٽم لاءِ سپورٽ هٽائي ڇڏيو:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

لاڳاپيل معلومات
آپريٽنگ سسٽم جي حمايت

1.4. ڊسڪ اسپيس ۽ ميموري سفارشون
Intel Quartus Prime Standard Edition سافٽ ويئر جي مڪمل تنصيب لاءِ 40 GB تائين موجود ڊسڪ اسپيس جي ضرورت آهي.
پنھنجي سسٽم کي ترتيب ڏيو اضافي ورچوئل ميموري مهيا ڪرڻ لاءِ جيڪا تجويز ڪيل فزيڪل رام جي برابر آھي جيڪا توھان جي ڊيزائن کي پروسيس ڪرڻ لاءِ گھربل آھي. هي اضافي ورچوئل ميموري مؤثر طريقي سان توهان جي ڊيزائن کي پروسيس ڪرڻ لاءِ موجود ڪل موثر ياداشت کي ٻيڻو ڪري ٿي.
نوٽ:
چوٽي مجازي ياداشت شايد انهن سفارشن کان وڌي سگهي ٿي. اهي سفارشون جسماني ميموري جي مقدار تي ٻڌل آهن جيڪي رن ٽائم حاصل ڪرڻ لاءِ گهربل آهن 10٪ اندر جيڪي هارڊويئر تي حاصل ڪيل لامحدود مقدار سان ريم سان.

ٽيبل 1.
آرريا® ڊيزائن جي پروسيسنگ لاءِ ياداشت جي گهرج
اهي گهرجون ونڊوز ۽ لينڪس تنصيب ٻنهي لاءِ ساڳيون آهن.

خانداني ڊوائيس تجويز ڪيل جسماني رام
Intel Arria® 10 10AT115، 10AX115 48 جي بي
10AT090، 10AX090 44 جي بي
10AS066، 10AX066 32 جي بي
10AS057، 10AX057 30 جي بي
10ASO48، 10AX048 28 جي بي
10AX032، 10AS032 24 جي بي
10AX027، 10AS027 22 جي بي
10AX022، 10AS022 20 جي بي
10AX016، 10AS016 18 جي بي
آريا وي 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 جي بي
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 جي بي
5AGXA7، 5AGTC7 10 جي بي
5AGTC3, 5AGXA3, 5AGXA5 8 جي بي
5AGXA1 6 جي بي
آرريا وي جي زيڊ 5AGZE7 16 جي بي
5AGZE3، 5AGZE5 12 جي بي
5AGZE1 8 جي بي
آرريا II GX EP2AGX260 6 جي بي
EP2AGX95, EP2AGX125, EP2AGX190 4 جي بي
EP2AGX65 2 جي بي
EP2AGX45 1.5 جي بي
آرريا II GZ EP2AGZ350 8 جي بي
EP2AGZ300 6 جي بي
EP2AGZ225 4 جي بي

ٽيبل 2.
پروسيسنگ سائکلون® ڊيزائن لاء ميموري گهرجن
اهي گهرجون ونڊوز ۽ لينڪس تنصيب ٻنهي لاءِ ساڳيون آهن.

خانداني ڊوائيس تجويز ڪيل جسماني رام
Intel Cyclone® 10 LP 10CL120 1.5 جي بي
10CL080، 10CL055 1 جي بي
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 ايم بي
سامونڊي طوفان V 5CEA9, 5CGTD9, 5CGXC9 8 جي بي
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 جي بي
سائيڪلون IV GX EP4CGX110، EP4CGX150 2 جي بي
EP4CGX50، EP4CGX75 1.5 جي بي
EP4CGX15, EP4CGX22, EP4CGX30 512 ايم بي
سائيڪلون IV E EP4CE115 1.5 جي بي
EP4CE55، EP4CE75 1 جي بي
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 ايم بي

ٽيبل 3.
MAX ڊيزائن جي پروسيسنگ لاءِ ياداشت جون گهرجون
اهي گهرجون ونڊوز ۽ لينڪس تنصيب ٻنهي لاءِ ساڳيون آهن.

خانداني ڊوائيس تجويز ڪيل جسماني رام
Intel MAX 10 10M50 2 جي بي
10M16 2 جي بي
10M25 2 جي بي
10M40 2 جي بي
10 مئي 04، 10 مئي 08 1 جي بي
10M02 512 ايم بي
MAX V سڀ 512 ايم بي
MAX II سڀ 512 ايم بي

ٽيبل 4.
Stratix®Designs جي پروسيسنگ لاءِ ياداشت جون گهرجون
اهي گهرجون ونڊوز ۽ لينڪس تنصيب ٻنهي لاءِ ساڳيون آهن.

خانداني ڊوائيس تجويز ڪيل جسماني رام
Stratix® V 5SEEB، 5SGXAB، 5SGXB9، 5SGXBB 28 جي بي
5SGXA9، 5SEE9 24 جي بي
5SGTC7, 5SGXA7, 5SGSD8 20 جي بي
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 جي بي
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 جي بي
5SGSD3 8 جي بي
اسٽريٽڪس IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 جي بي
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 جي بي
EP4SGX290 6 جي بي
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 جي بي
EP4SGX70 2 جي بي

1.5. ڊوائيس سپورٽ ۽ پن آئوٽ اسٽيٽس
سڀ پيداوار ڊوائيسز هن وقت مڪمل تاليف، تخليق، وقت جي تجزيو، ۽ پروگرامنگ سپورٽ آهن.
1.5.1. ڊوائيس سپورٽ ۾ تبديليون
1.6. ٽائمنگ ماڊل، پاور ماڊل، ۽ ڊيوائس اسٽيٽس
ٽيبل 5.
Intel Arria 10 ڊوائيسز لاءِ ٽائيمنگ ماڊل، پاور ماڊل، ۽ ڊيوائس اسٽيٽس

ڊوائيس خانداني ڊوائيس ٽائمنگ ماڊل اسٽيٽس پاور ماڊل اسٽيٽس ڊوائيس جي حالت
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 فائنل - 16.1 (3)(4) فائنل - 17.0 فائنل - 17.0
10AX048، 10AS048 فائنل - 16.0.2 (4) فائنل - 17.0 فائنل - 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 فائنل - 16.0.1 (4) فائنل - 16.0.1 فائنل - 16.0.1
10AX115، 10AT115 فائنل - 16.0 (4) فائنل - 16.0 فائنل - 16.0

(3) انٽيل ڪوارٽس پرائم سافٽ ويئر ورزن 1 ۾ -17.0 اسپيڊ گريڊ سان ڊوائيسز کي حتمي شڪل ڏني وئي
(4) Intel Quartus Prime سافٽ ويئر ورزن 18.0.1 ۾ سڀ فوجي گريڊ ڊوائيسز کي حتمي شڪل ڏني وئي.

ٽيبل 6.
ٽائمنگ ماڊل، پاور ماڊل، ۽ انٽيل سائڪلون 10 ڊوائيسز لاءِ ڊيوائس اسٽيٽس

ڊوائيس خانداني ڊوائيس ٽائمنگ ماڊل اسٽيٽس پاور ماڊل اسٽيٽس ڊوائيس جي حالت
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 فائنل - 17.0 فائنل - 17.1 فائنل - 17.1

ٽيبل 7.
Intel MAX 10 ڊوائيسز لاءِ ٽائيمنگ ماڊل، پاور ماڊل، ۽ ڊيوائس اسٽيٽس

ڊوائيس خانداني ڊوائيس ٽائمنگ ماڊل اسٽيٽس پاور ماڊل اسٽيٽس ڊوائيس جي حالت
Intel MAX 10 10M02, 10M04, 10M08 فائنل - 15.1 (5) فائنل - 15.1 فائنل - 15.1
10M16, 10M25, 10M40, 10M50 فائنل - 15.1.2 فائنل - 15.1 فائنل - 15.1

Intel Quartus Prime سافٽ ويئر جي موجوده ورزن ۾ اريريا II GX، Arria II GZ، Arria V، Arria V GZ، Arria V SoC، Cyclone IV E، Cyclone IV GX، Cyclone V، Cyclone V لاءِ فائنل ٽائمنگ ۽ پاور ماڊل پڻ شامل آھن. SoC، MAX II، MAX II Z، MAX V، Stratix IV، ۽ Stratix V ڊوائيس خاندانن. انهن ڊوائيس خاندانن لاءِ وقت جا ماڊل حتمي ٿي ويا Intel Quartus Prime سافٽ ويئر ورزن 11.1 يا اڳ ۾.

1.7. IBIS ماڊلز
ٽيبل 8. Intel Quartus Prime Standard Edition Software لاءِ IBIS ماڊل اسٽيٽس رليز ورجن 22.1std
Intel Quartus Prime Standard Edition سافٽ ويئر ورزن 16.0 ۾ شروع ٿي، ڊوائيس خاندانن وٽ IBIS ماڊل اسٽيٽس آهن جيڪي يا ته ايڊوانس، پرائمري، يا فائنل آهن.

ڊوائيس خانداني IBIS ماڊل اسٽيٽس
Intel Arria 10 فائنل - 16.1.2
آريا وي PHY ڊوائيس آپريشن سان لاڳاپيل - 14.0
آرريا II GX PHY ڊوائيس آپريشن سان لاڳاپيل - 11.1
آرريا II GZ PHY ڊوائيس آپريشن سان لاڳاپيل - 11.1
Intel Cyclone 10 LP فائنل - 17.0
سامونڊي طوفان V PHY ڊوائيس آپريشن سان لاڳاپيل - 14.0
سائيڪلون IV E PHY ڊوائيس آپريشن سان لاڳاپيل - 11.1
سائيڪلون IV GX PHY ڊوائيس آپريشن سان لاڳاپيل - 11.1
Intel MAX 10 فائنل - 16.0
MAX V PHY ڊوائيس آپريشن سان لاڳاپيل - 11.1
اسٽريٽڪس وي PHY ڊوائيس آپريشن سان لاڳاپيل - 13.0 SP1
اسٽريٽڪس IV PHY ڊوائيس آپريشن سان لاڳاپيل - 11.1

اپڊيٽ ٿيل IBIS ماڊلز آن لائن دستياب آھن IBIS ماڊلز لاءِ Intel FPGA ڊوائيسز web صفحو. هي صفحو اپڊيٽ ڪيو ويو آهي جيئن ڊوائيسز لاء IBIS ماڊل دستياب ٿي وڃن يا اپڊيٽ ٿيل آهن.
(5) MAX 10 A6 اسپيڊ گريڊ حصن لاءِ ٽائمنگ ماڊل اسٽيٽس اڳي ئي رھي ٿو.
1.8. EDA انٽرفيس ڄاڻ
ٽيبل 9.
انٽيل ڪوارٽس پرائم اسٽرينڊ ايڊيشن سافٽ ويئر رليز ورزن 22.1std کي سپورٽ ڪندڙ سنٿيسس ٽولز

ترڪيب جا اوزار نسخو
Siemens EDA Precision* Siemens EDA Precision ورجن جيڪي Intel Quartus Prime سافٽ ويئر کي سپورٽ ڪن ٿا عام طور تي Intel Quartus Prime سافٽ ويئر جي ڇڏڻ کان پوءِ جاري ڪيا ويا آھن. Siemens EDA سان رابطو ڪريو Siemens EDA Precision جي نسخن لاءِ جيڪي سپورٽ ڪن ٿا Intel Quartus Prime Standard Edition Software Release Version 22.1std.
Synopsys * Synplify *، Synplify Pro*، ۽ Synplify پريميئر Synopsys Synplify، Synplify Pro، ۽ Synplify پريميئر ورزن جيڪي Intel Quartus Prime سافٽ ويئر کي سپورٽ ڪن ٿا عام طور تي Intel Quartus Prime سافٽ ويئر جي ڇڏڻ کان پوءِ جاري ڪيا ويا آھن. Synopsys Synplify، Synplify Pro، ۽ Synplify پريميئر جي نسخن لاءِ Synopsys سان رابطو ڪريو جيڪي Intel Quartus Prime Standard Edition Software Release Version 22.1std کي سپورٽ ڪن ٿا.

ٽيبل 10.
Simulation Tools Intel Quartus Prime Standard Edition کي سپورٽ ڪري ٿو سافٽ ويئر رليز ورزن 22.1std
هيٺيون تخليقي اوزار RTL ۽ فنڪشنل گيٽ-سطح سموليشن مهيا ڪن ٿا. صرف 64-bit تخليق جا اوزار سپورٽ آھن.

تخليق جا اوزار نسخو
Aldec Active-HDL 13.0 (صرف ونڊوز)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Parallel Logic Simulation 21.09.003 (صرف لينڪس*)
Questa-Intel FPGA ايڊيشن 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Advanced Simulator 2020.4
Synopsys VCS * ۽ VCS MX P-2019.06-SP2-5 (صرف لينڪس)

Questa-Intel FPGA Edition جي ضرورت آهي FlexLM لائسنسنگ ڊيمون ورزن 11.16.4.0 (يا بعد ۾). توهان حاصل ڪري سگهو ٿا لائسنس وارو ڊيمون FlexLM License Daemons کان Intel FPGA سافٽ ويئر لاءِ web صفحو.
توھان حاصل ڪري سگھو ٿا Intel FPGA Edition of Simulation Tools from FPGAs ڊائون لوڊ سينٽر.
Questa-Intel FPGA ايڊيشن ورزن 2021.2 لاءِ آپريٽنگ سسٽم سپورٽ

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE لينڪس انٽرپرائز سرور 12 (64-bit)
  • ونڊوز 10 (64-bit)

لاڳاپيل معلومات

  • Intel Quartus Prime Standard Edition Design Software for Linux
  • Intel Quartus Prime Standard Edition ڊيزائن سافٽ ويئر ونڊوز لاءِ
  • Intel Quartus Prime Lite Edition ڊيزائن سافٽ ويئر لينڪس لاءِ
  • Intel Quartus Prime Lite Edition ڊيزائن سافٽ ويئر ونڊوز لاءِ

1.9. اينٽي وائرس جي تصديق
Intel Quartus Prime سافٽ ويئر جي تصديق ڪئي وئي آهي وائرس مفت هيٺ ڏنل سافٽ ويئر سان.
Intel Quartus Prime Standard Edition لاءِ اينٽي وائرس جي تصديق واري سافٽ ويئر نسخو 22.1std.1
لينڪس 64 ورزن لاءِ ميڪافي وائرس اسڪين ڪمانڊ لائن: 7.0.0.477
AV انجڻ جو نسخو: 6300.9389 Linux64 لاءِ.
ڊيٽ سيٽ ورزن: 10629 ٺاهي وئي 22 فيبروري 2023
Intel Quartus Prime Standard Edition لاءِ اينٽي وائرس جي تصديق واري سافٽ ويئر نسخو 22.1std
لينڪس 64 ورزن لاءِ ميڪافي وائرس اسڪين ڪمانڊ لائن: 7.0.0.477
AV انجڻ جو نسخو: 6300.9389 Linux64 لاءِ.
ڊيٽ سيٽ ورزن: 10505 ٺاهي وئي آڪٽوبر 19 2022

1.10. سافٽ ويئر جا مسئلا حل ڪيا ويا
ڪنهن به ڪسٽمر سروس جي درخواستن کي مقرر نه ڪيو ويو ٻي صورت ۾ حل ڪيو ويو Intel Quartus Prime Standard Edition Version 22.1std.1.
هيٺين ڪسٽمر سروس جون درخواستون مقرر ڪيون ويون يا ٻي صورت ۾ حل ڪيون ويون Intel Quartus Prime Standard Edition Version 22.1std:
ٽيبل 11.
Intel Quartus Prime Standard Edition Version 22.1std ۾ مسئلا حل ڪيا ويا

Intel پريميئر سپورٽ ڪيس نمبر
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. سافٽ ويئر پيچ هن رليز ۾ شامل آهن
Intel Quartus Prime Standard Edition Version 22.1std.1 ۾ Intel Quartus Prime Standard Edition سافٽ ويئر جي پوئين ورزن لاءِ هيٺيان پيچ شامل آهن:
ٽيبل 12.
سافٽ ويئر پيچ شامل آهن Intel Quartus Prime Standard Edition Version ۾ 22.1std.1

سافٽويئر ورشن پيچ ڪسٽمر سروس درخواست نمبر
Intel Quartus Prime Version 22.1 0.01std
Intel Quartus Prime Version 21.1 0.14std 00741067

Intel Quartus Prime Standard Edition Version 22.1std ۾ Intel Quartus Prime Standard Edition سافٽ ويئر جي پوئين ورزن لاءِ هيٺيان پيچ شامل آهن:
ٽيبل 13. Intel Quartus Prime Standard Edition Version 22.1std ۾ شامل سافٽ ويئر پيچ

سافٽويئر ورشن پيچ ڪسٽمر سروس درخواست نمبر
Intel Quartus Prime Version 21.1 0.10std
Intel Quartus Prime Version 21.1 0.08std 00693884
Intel Quartus Prime Version 21.1 0.07std 00501636
Intel Quartus Prime Version 21.1 0.06std 00689611
Intel Quartus Prime Version 21.1 0.04stdp
Intel Quartus Prime Version 21.1 0.03std
Intel Quartus Prime Version 21.1 0.02std
Intel Quartus Prime Version 20.1.1 1.09std 00702107
Intel Quartus Prime Version 20.1 0.14std 00702107
Intel Quartus Prime Version 18.1.1 1.13std
Intel Quartus Prime Version 18.1.1 1.12std
Intel Quartus Prime Version 18.1.1 1.09std
Intel Quartus Prime Version 18.1 0.23std 00698210
Intel Quartus Prime Version 18.1 0.21std 00669646
Intel Quartus Prime Version 18.1 0.20std 00689611

1.12. تازو ڄاتل Intel Quartus Prime Software Issues
ڄاڻايل مسئلن بابت معلومات جيڪي متاثر ڪن ٿيون Intel Quartus Prime Standard Edition Version 22.1std Intel FPGA Knowledge Base ۾ موجود آهي.
مسئلن بابت تازي معلومات لاءِ جيڪي متاثر ڪن ٿا Intel Quartus Prime Standard Edition Version 22.1std، ٻيهرview Intel FPGA نالج بيس آرٽيڪل جيڪي لاڳو ٿين ٿا Intel Quartus Prime Standard Edition Version 22.1std.
ٽيبل 14.
اهم ڄاڻايل مسئلا متاثر ڪن ٿا Intel Quartus Prime Standard Edition Version 22.1std

وصف ڪم ڪار
Microsoft* ونڊوز سسٽم تي، SDI II Intel FPGA IP ڊيزائن اڳوڻيampلي نسل هيٺ ڏنل غلطي پيغام سان ناڪام ٿئي ٿو:
غلطي: اڳ ٺاھڻ ۾ ناڪامampلي ڊيزائن example_design to :: \sdi_ii_0_example_design
تفصيل لاءِ ۽ فڪس جي دستيابي لاءِ، حوالو ڏيو ڇو SDI II Intel FPGA IP ڊيزائن اڳampلي نسل ناڪام ٿيو جڏهن ونڊوز لاءِ Intel Quartus Prime Software استعمال ڪيو؟ Intel FPGA علم جي بنياد ۾.
Microsoft ونڊوز سسٽم تي، هيٺ ڏنل غلطي ٿئي ٿي جڏهن هڪ Intel Arria 10 EMIF Ex ٺاهينديampتخليق لاءِ ڊيزائن:
Error: emif_0: هڪ نقص پيدا ٿي ويو آهي جڏهن تخليق ڪرڻ وقت سموليشن exampلي ڊيزائن. تفصيل لاءِ make_sim_design_errors.log ڏسو.
غلطي: اڳ ٺاھڻ ۾ ناڪامampلي ڊيزائن جي طرف:ampلي ڊيزائن ڊاريڪٽري> ٺاھيو Exampلي ڊيزائن: غلطين سان مڪمل
توھان محفوظ طور تي انھن ڊيڄاريندڙ پيغامن کي نظرانداز ڪري سگھو ٿا. سمجهاڻي file سيمينس EDA Questa ۽ Aldec Riviera-PRO سموليشن سافٽ ويئر لاءِ سيٽ ٺاهيا ويا آهن ۽ لاڳاپيل ڊيزائن تي مشتمل آهن files تخليق کي ڪاميابيءَ سان هلائڻ لاءِ. وڌيڪ تفصيل ۽ فڪس جي دستيابي لاءِ، حوالو ڏيو ڇو ڪندو آهي Intel Arria 10 EMIF Exampلي ڊيزائن جنريشن ناڪام جڏهن استعمال ڪندي Intel Quartus Prime Standard Edition Software Version 22.1 Windows لاءِ؟ Intel FPGA علم جي بنياد ۾.
جڏهن توهان استعمال ڪريو ٿا Intel Arria 10 EMIF IP Skip Calibration mode، Simens EDA Questa Simulation Software سان Intel Arria 10 EMIF IP جو نقل (Siemens EDA Questa
ڳوڙهي سموليٽر يا Questa-Intel FPGA Edition) لڪي سگھي ٿو.
hang کي روڪڻ لاءِ فاسٽ سموليشن سموليشن آپشن لاءِ Abstract PHY استعمال ڪريو.
وڌيڪ تفصيل ۽ فڪس جي دستيابي لاءِ، حوالو ڏيو Intel Arria 10 EMIF IP جو Simulation Mentor simulators ۾ ڇو لڪي ٿو جڏهن Intel Quartus Prime Standard Edition Software ورجن 22.1 استعمال ڪري ٿو Intel FPGA علم جي بنياد ۾.

توهان ڳولي سگهو ٿا معلوم مسئلي جي معلومات لاءِ اڳئين ورزن لاءِ Quartus Prime سافٽ ويئر Intel FPGA Knowledge Base web صفحو.
ڄاڻايل سافٽ ويئر جي مسئلن بابت ڄاڻ جيڪي اثر انداز ڪن ٿيون Quartus II سافٽ ويئر جي پوئين ورزن Intel Quartus Prime ۽ Quartus II سافٽ ويئر سپورٽ تي web صفحو.
Intel FPGA IP لائبريري کي متاثر ڪرڻ وارن مسئلن بابت معلومات هر IP لاءِ رليز نوٽس ۾ موجود آهي. توھان ڳولي سگھوٿا IP ڇڏڻ جا نوٽس Intel FPGA دستاويزي انڊيڪس تي web صفحو.
لاڳاپيل معلومات

  • Intel FPGA علم جو بنياد
  • Intel Quartus Prime ۽ Quartus II سافٽ ويئر سپورٽ
  • Intel FPGAs ۽ Programmable ڊوائيسز ريليز نوٽس

1.13. Intel Quartus Prime Standard Edition سافٽ ويئر ۽ ڊيوائس سپورٽ رليز نوٽس آرڪائيوز
انهن رليز نوٽس جي جديد ۽ پوئين ورزن لاءِ، ڏسو Intel Quartus Prime Standard Edition Software and Device Support Release Notes. جيڪڏهن سافٽ ويئر جو نسخو درج نه ڪيو ويو آهي، اڳوڻي سافٽ ويئر ورزن لاءِ رليز نوٽس لاڳو ٿين ٿا.
1.14. Intel Quartus Prime Standard Edition Software Release نسخو 22.1std دستاويز جي نظرثاني جي تاريخ

دستاويزي نسخو Intel Quartus Prime نسخو تبديليون
2023.03.21 22.1std.1 • ورجن 22.1std.1 لاءِ اپڊيٽ ڪيو ويو
• ورجن 22.1std لاءِ درست ڪيل ورجن نمبر.
2022.11.07 22.1std • تازو ڄاتل سافٽ ويئر جا مسئلا.
2022.10.31 22.1std • شروعاتي جاري.

Intel Quartus Prime Standard Edition: نسخو 22.1std سافٽ ويئر ۽ ڊوائيس سپورٽ رليز نوٽس

intel 25G Ethernet Intel FPGA IP - سمبل 1 آن لائين ورجن
intel 25G Ethernet Intel FPGA IP - سمبل 2 موٽ موڪليو
ID: 683593
RN-01080-22.1std
نسخو: 2023.03.21

دستاويز / وسيلا

Intel Quartus Prime Standard Edition [pdf] استعمال ڪندڙ ھدايت
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

حوالو

تبصرو ڇڏي ڏيو

توهان جو اي ميل پتو شايع نه ڪيو ويندو. گهربل فيلڊ نشان لڳل آهن *