AN 987: סטאַטיק אַפּדייט פּאַרטיייש
רעקאָנפיגוראַטיאָן טוטאָריאַל
סטאַטיק אַפּדייט פּאַרטיייש רעקאָנפיגוראַטיאָן טוטאָריאַל פֿאַר Intel® ™ Agilex F-Series FPGA אנטוויקלונג באָרד
די אַפּלאַקיישאַן טאָן דעמאַנסטרייץ סטאַטיק דערהייַנטיקן פּאַרטיייש ריקאַנפיגיעריישאַן (SUPR) אויף די Intel ® F-Series FPGA אנטוויקלונג באָרד. פּאַרטיייש ריקאַנפיגיעריישאַן (PR) אַלאַוז איר צו ריקאַנפיגיער אַ טייל פון אַן Intel FPGA דינאַמיקאַללי, בשעת די רוען FPGA האלט צו אַרבעטן. PR ימפּלאַמאַנץ קייפל פּערסאָנאַז אין אַ באַזונדער געגנט אין דיין פּלאַן, אָן פּראַל אויף אָפּעראַציע אין געביטן אַרויס דעם געגנט. די מעטאַדאַלאַדזשי גיט די פאלגענדע אַדוואַנטידזשיזtagעס איז אין סיסטעמען אין וואָס קייפל פאַנגקשאַנז צייט-ייַנטיילן די זעלבע FPGA רעסורסן:
- אַלאַוז לויפן-צייט ריקאַנפיגיעריישאַן
- ינקריסאַז פּלאַן סקאַלאַביליטי
- ראַדוסאַז סיסטעם אַראָפּ-צייט
- שטיצט דינאַמיש צייט מולטיפּלעקסינג פאַנגקשאַנז אין דעם פּלאַן
- לאָווערס פּרייַז און מאַכט קאַנסאַמשאַן דורך עפעקטיוו נוצן פון ברעט פּלאַץ
וואָס איז סטאַטיק אַפּדייט פּאַרטיייש רעקאָנפיגוראַטיאָן?
אין בעקאַבאָלעדיק פּר, קיין ענדערונג אין די סטאַטיק געגנט ריקווייערז רעקאָמפּילאַטיאָן פון יעדער פּערסאָנאַ. אָבער, מיט SUPR איר קענען דעפינירן אַ ספּעשאַלייזד געגנט וואָס אַלאַוז ענדערונגען, אָן ריקוויירינג די רעקאָמפּילאַטיאָן פון פּערסאָנאַז. די טעכניק איז נוציק פֿאַר אַ טייל פון אַ פּלאַן אַז איר קען עפשער ווילן צו טוישן פֿאַר ריזיקירן מיטיגיישאַן, אָבער וואָס קיינמאָל ריקווייערז רונטימע ריקאַנפיגיעריישאַן.
1.1. טוטאָריאַל רעקווירעמענץ
דעם טוטאָריאַל ריקווייערז די פאלגענדע:
- יקערדיק פאַמיליעראַטי מיט די Intel Quartus® Prime Pro Edition FPGA ימפּלאַמענטיישאַן לויפן און פּרויעקט files.
- ייַנמאָנטירונג פון Intel Quartus Prime Pro Edition ווערסיע 22.3, מיט Intel Agilex מיטל שטיצן.
- פֿאַר FPGA ימפּלאַמענטיישאַן, אַ JTAG פֿאַרבינדונג מיט די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט אויף דער באַנק.
- אראפקאפיע רעפערענץ פּלאַן Fileס. פֿאַרבונדענע אינפֿאָרמאַציע
- פּאַרטיייש רעקאָנפיגוראַטיאָן באַניצער גייד
- טייל רעקאָנפיגוראַטיאָן טוטאָריאַלז
- פּאַרטיייש רעקאָנפיגוראַטיאָן אָנליין טראַינינג
Intel Corporation. אלע רעכטן רעזערווירט. ינטעל, די ינטעל לאָגאָ און אנדערע ינטעל מאַרקס זענען טריידמאַרקס פון ינטעל קאָרפּאָראַטיאָן אָדער זייַן סאַבסידיעריז. ינטעל וואָראַנטיז פאָרשטעלונג פון זייַן FPGA און סעמיקאַנדאַקטער פּראָדוקטן צו קראַנט ספּעסאַפאַקיישאַנז אין לויט מיט ינטעל ס נאָרמאַל וואָראַנטי, אָבער ריזערווז די רעכט צו מאַכן ענדערונגען צו קיין פּראָדוקטן און באַדינונגס אין קיין צייט אָן באַמערקן. ינטעל אַסומז קיין פֿאַראַנטוואָרטלעכקייט אָדער אַכרייַעס וואָס איז שטייענדיק פֿון די אַפּלאַקיישאַן אָדער נוצן פון קיין אינפֿאָרמאַציע, פּראָדוקט אָדער דינסט דיסקרייבד דאָ, אַחוץ ווי ינטעל איז עקספּרעסלי מסכים צו שרייבן. ינטעל קאַסטאַמערז זענען אַדווייזד צו קריגן די לעצטע ווערסיע פון די מיטל ספּעסאַפאַקיישאַנז איידער זיי פאַרלאָזנ אויף קיין ארויס אינפֿאָרמאַציע און איידער פּלייסינג אָרדערס פֿאַר פּראָדוקטן אָדער באַדינונגס. * אנדערע נעמען און בראַנדז קען זיין קליימד ווי די פאַרמאָג פון אנדערע.
ISO 9001:2015 רעגיסטרירט
1.2. רעפערענץ פּלאַן איבערview
דער רעפֿערענץ פּלאַן באשטייט פון איין, 32-ביסל טאָמבאַנק. אויף די ברעט מדרגה, די פּלאַן קאַנעקץ די זייגער צו אַ 50MHz מקור, און דעמאָלט קאַנעקץ די רעזולטאַט צו פיר לעדס אויף די ברעט. סאַלעקטינג די רעזולטאַט פון די טאָמבאַנק ביטן, אין אַ ספּעציפיש סיקוואַנס, געפֿירט די לעדס צו בלינק מיט אַ ספּעציפיש אָפטקייַט. די Top_Counter מאָדולע איז די SUPR געגנט.
פיגורע 1. פלאַך רעפערענץ פּלאַן
1.3. סטאַטיק דערהייַנטיקן געגנט איבערview
די פאלגענדע פיגור ווייזט די בלאָק דיאַגראַמע פֿאַר אַ PR פּלאַן וואָס כולל אַ SUPR געגנט. בלאָק א איז דער שפּיץ סטאַטיק געגנט. בלאָק ב איז די SUPR געגנט. בלאָק C איז די פּר צעטיילונג.
פיגורע 2. פּר פּלאַן מיט SUPR קאנט
- א שפּיץ סטאַטיק קאנט - כּולל פּלאַן לאָגיק וואָס קען נישט טוישן. טשאַנגינג דעם געגנט ריקווייערז ריקאָמפּילאַטיאָן פון אַלע פֿאַרבונדן פּערסאָנאַז. די סטאַטיק געגנט כולל די חלק פון די פּלאַן וואָס טוט נישט טוישן פֿאַר קיין פּערסאָנאַ. דער געגנט קענען אַרייַננעמען פּעריפעריע און האַרץ מיטל רעסורסן. איר מוזן פאַרשרייַבן אַלע קאָמוניקאַציע צווישן די SUPR און PR פּאַרטישאַנז אין די סטאַטיק געגנט. די פאָדערונג העלפּס צו ענשור טיימינג קלאָוזשער פֿאַר קיין פּערסאָנאַז, מיט רעספּעקט צו די סטאַטיק געגנט.
- B SUPR קאנט - כּולל בלויז האַרץ לאָגיק וואָס קען עפשער טוישן פֿאַר ריזיקירן מיטיגיישאַן, אָבער קיינמאָל ריקווייערז רונטימע ריקאַנפיגיעריישאַן. די SUPR געגנט האט די זעלבע באדערפענישן און ריסטריקשאַנז ווי די פּר צעטיילונג. די SUPR צעטיילונג קענען אַנטהאַלטן בלויז האַרץ רעסורסן. דעריבער, די SUPR צעטיילונג מוזן זיין אַ קינד צעטיילונג פון דער שפּיץ-מדרגה וואָרצל צעטיילונג וואָס כּולל די פּלאַן פּעריפעריע און קלאַקס. טשאַנגינג די SUPR געגנט טראגט אַ SRAM אָבדזשעקט File (.סאָף) וואָס איז קאַמפּאַטאַבאַל מיט אַלע יגזיסטינג רוי ביינערי קאַמפּיילד File (.rbf) files פֿאַר PR צעטיילונג C.
- C PR צעטיילונג - כּולל אַרביטראַריש לאָגיק אַז איר קענען ריפּראָוגראַם ביי רונטימע מיט קיין פּלאַן לאָגיק וואָס איז פּאַסיק און אַטשיווז די קלאָוזשער פון די צייט בעשאַס זאַמלונג.
1.4. אראפקאפיע רעפערענץ פּלאַן Files
דער פּאַרטיייש ריקאַנפיגיעריישאַן טוטאָריאַל איז בנימצא אין די פאלגענדע אָרט: https://github.com/intel/fpga-partial-reconfig
צו אָפּלאָדירן די טוטאָריאַל:
- דריקט קלאָון אָדער אראפקאפיע.
- דריקט אראפקאפיע פאַרשלעסלען. אַנזיפּ די fpga-partial-reconfig-master.zip file.
- נאַוויגירן צו די טוטאָריאַלז / agilex_pcie_devkit_blinking_led_supr סובפאָלדער צו אַקסעס די רעפֿערענץ פּלאַן.
די פלאַך טעקע באשטייט פון די פאלגענדע files:
טיש 1. רעפערענץ פּלאַן Files
File נאָמען | באַשרייַבונג |
אויבן. sv | שפּיץ-מדרגה file מיט די פלאַך ימפּלאַמענטיישאַן פון די פּלאַן. דער מאָדולע ינסטאַנטייץ די בלינקינג_לעד סאַב-צעטיילונג און די שפּיץ_קאָונטער מאָדולע. |
t op_counter . sv | שפּיץ-מדרגה 32-ביסל טאָמבאַנק וואָס קאַנטראָולד געפירט [1] גלייַך. די רעגיסטרירט רעזולטאַט פון די טאָמבאַנק קאָנטראָלס געפירט [0], און אויך מאַכט געפירט [2] און געפירט [3] דורך די בלינקינג_לעד מאָדולע. |
blinking_led. sdc | דיפיינז די טיימינג קאַנסטריינץ פֿאַר די פּרויעקט. |
blinking_led. sv | אין דעם טוטאָריאַל, איר גער דעם מאָדולע אין אַ פאָטער פּר צעטיילונג. דער מאָדולע נעמט די רעגיסטרירט רעזולטאַט פון Top_counter מאָדולע, וואָס קאָנטראָלס געפירט [2] און געפירט [3]. |
blinking_led.qpf | Intel Quartus Prime פּרויעקט file מיט די רשימה פון אַלע ריוויזשאַנז אין די פּרויעקט. |
בלינקינג_לעד. qs f | Intel Quartus Prime סעטטינגס file מיט די אַסיינמאַנץ און סעטטינגס פֿאַר די פּרויעקט. |
באַמערקונג: די supr טעקע כּולל די גאַנץ גאַנג פון fileס איר שאַפֿן מיט דעם אַפּלאַקיישאַן טאָן. דערמאָנען די fileס אין קיין פונט בעשאַס די וואַלקטראָוגה.
1.5. רעפערענץ פּלאַן וואַלקטהראָוגה
די פאלגענדע סטעפּס באַשרייַבן ימפּלאַמענטיישאַן פון SUPR מיט אַ פלאַך פּלאַן:
- שריט 1: באַקומען סטאַרטעד
- שריט 2: שאַפֿן דיזיין פּאַרטישאַנז
- שריט 3: אַלאַקייט פּלייסמאַנט און רוטינג רעגיאָנס
- שריט 4: דעפינירן פּערסאָנאַז
- שריט 5: שאַפֿן רעוויזשאַנז
- שריט 6: צונויפנעמען די באַזע רעוויזיע
- שריט 7: סעטאַפּ פּר ימפּלעמענטאַטיאָן רעוויזשאַנז
- שריט 8: טוישן די SUPR לאָגיק
- שריט 9: פּראָגראַם די באָרד
פיגורע 3. SUPR זאַמלונג פלאָו
1.5.1. שריט 1: באַקומען סטאַרטעד
צו צייכענען די רעפֿערענץ פּלאַן fileס צו דיין אַרבעט סוויווע און צונויפשטעלנ די בלינקינג_לעד פלאַך פּלאַן:
- איידער איר אָנהייבן, אראפקאפיע רעפערענץ פּלאַן Fileס אויף בלאַט 5.
- שאַפֿן די agilex_pcie_devkit_blinking_led_supr וועגווייַזער אין דיין אַרבעט סוויווע.
- נאָכמאַכן די דאַונלאָודיד טוטאָריאַלז / agilex_pcie_devkit_blinking_led / פלאַך סאַב-טעקע צו די agilex_pcie_devkit_blinking_led_supr וועגווייַזער.
- אין די Intel Quartus Prime Pro Edition ווייכווארג, גיט File ➤ עפֿן פּראָיעקט און עפֿענען /flat/blinking_led.qpf.
- צו זאַמלען די באַזע פּלאַן, גיט פּראַסעסינג ➤ אָנהייב קאָמפּילאַטיאָן. די טיימינג אַנאַליזער ריפּאָרץ אָופּאַנד אויטאָמאַטיש ווען די זאַמלונג איז גאַנץ. איר קענט איצט פאַרמאַכן די טיימינג אַנאַליזער.
1.5.2. שריט 2: שאַפֿן דיזיין פּאַרטישאַנז
שאַפֿן פּלאַן פּאַרטישאַנז פֿאַר יעדער געגנט וואָס איר ווילן צו טייל ריקאַנפיגיער. איר קענען מאַכן קיין נומער פון פרייַ פּאַרטישאַנז אָדער פּר מקומות אין דיין פּרויעקט. גיי די סטעפּס צו שאַפֿן פּלאַן פּאַרטישאַנז פֿאַר די u_blinking_led בייַשפּיל ווי די פּר צעטיילונג, און די u_top_counter בייַשפּיל ווי די SUPR געגנט:
- רעכט גיט די u_blinking_led בייַשפּיל אין די Project Navigator און גיט דיזיין צעטיילונג
➤ רעקאָנפיגוראַבלע. א פּלאַן צעטיילונג ייקאַן אויס ווייַטער צו יעדער בייַשפּיל וואָס איז באַשטימט ווי אַ צעטיילונג.
פיגורע 4. קריייטינג דיזיין פּאַרטישאַנז - איבערחזרן שריט 1 צו שאַפֿן אַ צעטיילונג פֿאַר די u_top_counter בייַשפּיל.
- דריקט אַסיינמאַנץ ➤ פּלאַן פּאַרטישאַנז פֿענצטער. די פֿענצטער דיספּלייז אַלע פּלאַן פּאַרטישאַנז אין די פּרויעקט.
פיגורע 5. פּלאַן פּאַרטישאַנז פֿענצטער
- טאָפּל-גיט די בלינקינג_לעד פּאַרטיטיאָן נאָמען צעל צו רענאַמע עס צו pr_partition. סימילאַרלי, רענאַמע די top_counter צעטיילונג צו supr_partition.
אַלטערנאַטיוועלי, אַדינג די פאלגענדע שורות צו blinking_led.qsf קריייץ די פּאַרטישאַנז:
set_instance_assignment -נאָמען PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -נאָמען PARTIAL_RECONFIGURATION_PARTITION אויף \ -צו u_blinking_led -ענטיטי שפּיץ
set_instance_assignment -נאָמען PARTITION supr_partition \ -צו ו_טאָפּ_קאָונטער -ענטיטי שפּיץ
set_instance_assignment -נאָמען PARTIAL_RECONFIGURATION_PARTITION אויף \ -צו ו_טאָפּ_קאָונטער -ענטיטי שפּיץ
1.5.3. שריט 3: אַלאַקייט פּלייסמאַנט און רוטינג רעגיאָנס
פֿאַר יעדער באַזע רעוויזיע אַז איר מאַכן, די קאַמפּיילער ניצט די אַלאַקיישאַן פון PR צעטיילונג געגנט צו שטעלן די קאָראַספּאַנדינג פּערסאָנאַ האַרץ אין די רעזערווירט געגנט. גיי די סטעפּס צו געפֿינען און באַשטימען אַ פּר געגנט אין די מיטל פּלאַן פֿאַר דיין באַזע רעוויזיע:
- אין די Project Navigator Hierarchy קוויטל, רעכט גיט די u_blinking_led בייַשפּיל, און דעמאָלט גיט Logic Lock Region ➤ Create New Logic Lock Region. דער געגנט איז ארויס אין די Logic Lock Regions פֿענצטער.
- ספּעציפיצירן אַ געגנט ברייט פון 5 און הייך פון 5.
- ספּעציפיצירן די פּלייסמאַנט געגנט קאָואָרדאַנאַץ פֿאַר u_blinking_led אין די אָריגין זייַל. דער אָנהייב קאָראַספּאַנדז צו דער נידעריקער-לינקס ווינקל פון דער געגנט. ספּעציפיצירן די אָריגין ווי X166_Y199. דער קאַמפּיילער קאַלקיאַלייץ (X170 Y203) ווי די שפּיץ-רעכט קאָואָרדאַנאַט.
- געבן די רעזערווירט און קאָר-בלויז אָפּציעס פֿאַר דער געגנט.
- טאָפּל גיט די רוטינג קאנט אָפּציע. די Logic Lock Routing Region סעטטינגס דיאַלאָג קעסטל אויס.
- פֿאַר די רוטינג טיפּ, אויסקלייַבן פאַרפעסטיקט מיט יקספּאַנשאַן. דעם אָפּציע אויטאָמאַטיש אַסיינז אַן יקספּאַנשאַן לענג פון איין.
- איבערחזרן די פריערדיקע סטעפּס צו אַלאַקייט די פאלגענדע רעסורסן פֿאַר די u_top_counter צעטיילונג:
• הייך — 5
• ברייט — 5
• אָריגין-X173_Y199
• רוטינג קאנט — פאַרפעסטיקט מיט יקספּאַנשאַן מיט אַ יקספּאַנשאַן לענג פון איין.
• רעזערווירט — אויף
• קאָרע-בלויז—אויף
פיגורע 6. לאָגיק לאַק רעגיאָנס פֿענצטער
באַמערקונג: די רוטינג געגנט מוזן זיין גרעסער ווי די פּלייסמאַנט געגנט, צו צושטעלן עקסטרע בייגיקייט פֿאַר די רוטינג ס פון די קאַמפּיילערtagE, ווען דער קאַמפּיילער רוץ פאַרשידענע פּערסאָנאַז.
- דיין פּלייסמאַנט געגנט מוזן אַרייַננעמען די בלינקינג_לעד לאָגיק. צו אויסקלייַבן די פּלייסמאַנט געגנט דורך לאָוקייטינג די נאָדע אין טשיפּ פּלאַננער, רעכט גיט די u_blinking_led געגנט נאָמען אין די לאָגיק לאַק רעגיאָנס פֿענצטער, און דעמאָלט גיט געפינען נאָדע ➤ געפינען אין טשיפּ פּלאַננער.
- אונטער צעטיילונג רעפּאָרץ, טאָפּל-גיט באריכט דיזיין פּאַרטישאַנז. די טשיפּ פּלאַננער כיילייץ און קאָליר קאָודז די געגנט.
פיגורע 7. טשיפּ פּלאַננער נאָדע אָרט פֿאַר בלינקינג_לעד
אַלטערנאַטיוועלי, אַדינג די פאלגענדע שורות צו blinking_led.qsf קריייץ די מקומות:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -נאָמען PARTIAL_RECONFIGURATION_PARTITION אויף \ -צו u_blinking_led -ענטיטי שפּיץ
set_instance_assignment -נאָמען PARTITION supr_partition -to u_top_counter \ -ענטיטי שפּיץ
set_instance_assignment -נאָמען PARTIAL_RECONFIGURATION_PARTITION אויף -צו \ ו_טאָפּ_קאָונטער -ענטיטי שפּיץ
set_instance_assignment -נאָמען PLACE_REGION "X166 Y199 X170 Y203" -צו \ u_blinking_led
set_instance_assignment -נאָמען RESERVE_PLACE_REGION אויף -צו u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
סעט_ינסטאַנסע_אַסיינמאַנט -נאָמען REGION_NAME פּר_טיילונג -צו ו_בלינקינג_לעד
set_instance_assignment -נאָמען ROUTE_REGION "X165 Y198 X171 Y204" -צו \ u_blinking_led
סעט_ינסטאַנס_אַסיינמאַנט -נאָמען RESERVE_ROUTE_REGION OFF -צו ו_בלינקינג_לעד
set_instance_assignment -נאָמען PLACE_REGION "X173 Y199 X177 Y203" -צו \u_top_counter
set_instance_assignment -נאָמען RESERVE_PLACE_REGION אויף -צו ו_טאָפּ_קאָונטער
set_instance_assignment -נאָמען CORE_ONLY_PLACE_REGION אויף -צו ו_טאָפּ_קאָונטער
set_instance_assignment -נאָמען REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -נאָמען ROUTE_REGION "X172 Y198 X178 Y204" -צו \ ו_טאָפּ_קאָונטער
set_instance_assignment -נאָמען RESERVE_ROUTE_REGION OFF -צו ו_טאָפּ_קאָונטער
1.5.4. שריט 4: דעפינירן פּערסאָנאַז
דער רעפֿערענץ פּלאַן דיפיינז דריי באַזונדער פּערסאָנאַז פֿאַר די איין פּר צעטיילונג, און איין SUPR פּערסאָנאַ פֿאַר די SUPR געגנט. גיי די סטעפּס צו דעפינירן און אַרייַננעמען די פּערסאָנאַז אין דיין פּרויעקט. אויב איר נוצן די Intel Quartus Prime טעקסט עדיטאָר, דיסייבאַל לייג file
צו קראַנט פּרויעקט ווען שפּאָרן די files.
- שאַפֿן נייַע blinking_led_slow.sv, blinking_led_empty.sv און top_counter_fast.sv SystemVerilog files אין דיין אַרבעט וועגווייַזער. באַשטעטיקן אַז blinking_led.sv איז שוין פאָרשטעלן אין די אַרבעט וועגווייַזער.
- אַרייַן די פאלגענדע אינהאַלט פֿאַר די SystemVerilog files:
טיש 2. רעפערענץ פּלאַן פּערסאָנאַס סיסטעם ווערילאָג
File נאָמען באַשרייַבונג קאָד blinking_led_slow. sv לעדס בלינקען סלאָוער צייטסקאַלע 1 פּס / 1 פּס 'default_nettype גאָרניט
module blinking_led_slow // זייגער
אַרייַנשרייַב דראָט זייגער, אַרייַנשרייַב דראָט באַשטעטיק, אַרייַנשרייַב דראָט [31:01 טאָמבאַנק,
// קאָנטראָל סיגנאַלז פֿאַר די לעדס רעזולטאַט דראָט led_two_on,
רעזולטאַט דראָט led_three_on localparam COUNTER_TAP = 27;
רעג led_two_on_r; פוס led_three_on_r; באַשטימען led_two_on = led_two_on_r; באַשטימען led_three_on = led_three_on_r; שטענדיק_פף @(פּאָסעדזש זייגער) אָנהייבן led_two_on_r <= טאָמבאַנק[COUNTER_TAP]; led_three_on_r <= טאָמבאַנק[COUNTER_TAP]; סוף ענדמאָדולblinking_led_empty. sv לעדס בלייבן אויף טיימסקאַלע 1 פּס / 1 פּס 'default_nettype קיין מאָדולע בלינקינג_לעד_עמפּטי(// זייגער אַרייַנשרייַב דראָט זייגער, אַרייַנשרייַב דראָט באַשטעטיק, אַרייַנשרייַב דראָט [31:01 טאָמבאַנק, // קאָנטראָל סיגנאַלז פֿאַר די LEC- רעזולטאַט דראָט led_two_on, רעזולטאַט דראָט led_three_on פארבליבן... File נאָמען באַשרייַבונג קאָד // געפירט איז אַקטיוו נידעריק באַשטימען led_two_on = ל'ידאָ; באַשטימען led_three_on = 11b0; ענדמאָדול top_counter_fast.sv צווייטע SUPR 'טיימסקאַלע 1 פּס / 1 פּס פּערסאָנאַ Thdefault_nettype קיין מאָדולע top_counter_fast // קאָנטראָל סיגנאַלז פֿאַר די לעדס רעזולטאַט דראָט led_one_on, רעזולטאַט דראָט [31:0] ציילן, // זייגער אַרייַנשרייַב דראָט זייגער ) ; לאָקאַל פּאַראַם טאָמבאַנק צאַפּן = 23; רעג [31:0] count_d; באַשטימען ציילן = count_d; באַשטימען led_one_on = אָונט_ד [COUNTER_TAP]; שטענדיק_פף @(פּאָסעדזש זייגער) אָנהייבן count_d <= count_d + 2; סוף .:מאָדולע - דריקט File ➤ היט ווי און היט די .sv files אין די קראַנט פּרויעקט וועגווייַזער.
1.5.5. שריט 5: שאַפֿן רעוויזשאַנז
די פּר פּלאַן לויפן ניצט די פּרויעקט ריוויזשאַנז שטריך אין די Intel Quartus Prime ווייכווארג. דיין ערשט פּלאַן איז די באַזע רעוויזיע, ווו איר דעפינירן די סטאַטיק געגנט באַונדריז און רעקאָנפיגוראַבלע מקומות אויף די FPGA. פֿון דער באַזע רעוויזיע, איר מאַכן נאָך ריוויזשאַנז. די ריוויזשאַנז אַנטהאַלטן די פאַרשידענע ימפּלאַמאַנץ פֿאַר די PR מקומות. אָבער, אַלע PR ימפּלאַמענטיישאַן ריוויזשאַנז נוצן די זעלבע שפּיץ-מדרגה פּלייסמאַנט און רוטינג רעזולטאַטן פון די באַזע רעוויזיע. צו זאַמלען אַ PR פּלאַן, איר שאַפֿן אַ PR ימפּלאַמענטיישאַן רעוויזיע פֿאַר יעדער פּערסאָנאַ. אין אַדישאַן, איר מוזן באַשטימען די רעוויזיע טיפּ פון פּאַרטיייש רעקאָנפיגוראַטיאָן - באַזע אָדער פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן פֿאַר יעדער פון די ריוויזשאַנז. די פאלגענדע טיש ליסטעד די רעוויזיע נאָמען און די רעוויזיע טיפּ פֿאַר יעדער פון די ריוויזשאַנז. די ימפּl_blinking_led_supr_new.qsf רעוויזיע איז די SUPR פּערסאָנאַ ימפּלאַמענטיישאַן.
טיש 3. רעוויזיע נעמען און טייפּס
רעוויזיע נאָמען | רעוויזיע טיפּ |
blinking_led | פּאַרטיייש רעקאָנפיגוראַטיאָן - באַזע |
blinking_led_default | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
blinking_led_slow | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
blinking_led_empty | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
impl_blinking_led_supr_new | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
1.5.5.1. באַשטעטיקן די באַזע רעוויזיע
גיי די סטעפּס צו שטעלן blinking_led ווי די באַזע רעוויזיע:
- דריקט Project ➤ Revisions.
- פֿאַר רעוויזיע טיפּ, סעלעקטירן פּאַרטיייש רעקאָנפיגוראַטיאָן - באַזע.
דער שריט מוסיף די פאלגענדע צו די blinking_led.qsf:
##blinking_led.qsf set_global_assignment -נאָמען REVISION_TYPE PR_BASE
1.5.5.2. קריייטינג ימפּלעמענטאַטיאָן רעוויזשאַנז
גיי די סטעפּס צו שאַפֿן די ימפּלאַמענטיישאַן ריוויזשאַנז:
- אין די רעוויזשאַנז דיאַלאָג קעסטל, טאָפּל גיט < >.
- אין רעוויזיע נאָמען, ספּעציפיצירן blinking_led_default און סעלעקטירן blinking_led פֿאַר באַזירט אויף רעוויזיע.
- פֿאַר די רעוויזיע טיפּ, סעלעקטירן פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן.
- דיסייבאַל די באַשטעטיק ווי קראַנט רעוויזיע אָפּציע.
- איבערחזרן סטעפּס 2 ביז 5 צו שטעלן די רעוויזיע טיפּ פֿאַר די אנדערע ימפּלאַמענטיישאַן ריוויזשאַנז:
רעוויזיע נאָמען | רעוויזיע טיפּ | באזירט אויף Revision |
blinking_led_slow | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן | blinking_led |
blinking_led_empty | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן | blinking_led |
impl_blinking_led_supr_new | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן | blinking_led |
פיגורע 8. קריייטינג ימפּלעמענטאַטיאָן רעוויזשאַנז
יעדער .קספ file איצט כּולל די פאלגענדע אַסיינמאַנט:
set_global_assignment -נאָמען REVISION_TYPE PR_IMPL
סעט_ינסטאַנסע_אַסיינמאַנט -נאָמען ENTITY_REBINDING אָרטהאָלדער -צו ו_טאָפּ_קאָונטער
סעט_ינסטאַנסע_אַסיינמאַנט -נאָמען ENTITY_REBINDING אָרט_האָלדער -צו ו_בלינקינג_לעד
1.5.6. שריט 6: צונויפנעמען די באַזע רעוויזיע
גיי די סטעפּס צו צונויפנעמען די באַזע רעוויזיע און אַרויספירן די סטאַטיק און SUPR מקומות פֿאַר שפּעטער נוצן אין ימפּלאַמענטיישאַן ריוויזשאַנז פֿאַר נייַע פּר פּערסאָנאַז:
- באַשטעטיק בלינקינג_לעד ווי די קראַנט רעוויזיע אויב נישט שוין באַשטימט.
- אין די פּלאַן פּאַרטישאַנז פֿענצטער, גיט די (...) שכייניש צו די ווייַט רעכט זייַל און געבן די פּאָסטן לעצט עקספּאָרט. File זייַל. איר קענען אויך דיסייבאַל אָדער טוישן די סדר פון שפאלטן.
- צו אויטאָמאַטיש אַרויספירן די לעצט מאָמענטבילד פון פּר ימפּלאַמענטיישאַן פּלאַן פּאַרטישאַנז נאָך יעדער זאַמלונג, ספּעציפיצירן די פאלגענדע פֿאַר די פּאָסט לעצט עקספּאָרט File אָפּציעס פֿאַר די וואָרצל און SUPR פּאַרטישאַנז. די .qdb files אַרויספירן צו די פּרויעקט וועגווייַזער דורך פעליקייַט.
• root_partition—blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
פיגורע 9. אַוטאָ עקספּאָרט אין דיזיין פּאַרטישאַנז פֿענצטעראַלטערנאַטיוועלי, די פאלגענדע .qsf אַסיינמאַנץ אַרויספירן די פּאַרטישאַנז אויטאָמאַטיש נאָך יעדער זאַמלונג:
סעט_ינסטאַנסע_אַסיינמאַנט -נאָמען EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | -ענטיטי שפּיץ
set_instance_assignment -נאָמען EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -צו ו_טאָפּ_קאָונטער \ -ענטיטי שפּיץ - צו זאַמלען די בלינקינג_לעד באַזע רעוויזיע, גיט פּראַסעסינג ➤ אָנהייב
זאַמלונג. אַלטערנאַטיוועלי, איר קענען נוצן די פאלגענדע באַפֿעל צו צונויפנעמען דעם רעוויזיע:
quartus_sh –flow compile blinking_led -c blinking_led נאָך מצליח זאַמלונג, די פאלגענדע files דערשייַנען אין די פּרויעקט וועגווייַזער:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. שריט 7: שטעלן אַרויף פּר ימפּלעמענטאַטיאָן רעוויזשאַנז
איר מוזן צוגרייטן די PR ימפּלאַמענטיישאַן ריוויזשאַנז איידער איר קענען דזשענערייט די PR ביטסטרים פֿאַר מיטל פּראָגראַממינג. דעם סעטאַפּ כולל אַדינג די סטאַטיק געגנט .qdb file ווי דער מקור file פֿאַר יעדער ימפּלאַמענטיישאַן רעוויזיע. אין דערצו, איר מוזן ספּעציפיצירן
די קאָראַספּאַנדינג ענטיטי פון די פּר געגנט. גיי די סטעפּס צו שטעלן די PR ימפּלאַמענטיישאַן ריוויזשאַנז:
- צו שטעלן דעם קראַנט רעוויזיע, גיט פּראָיעקט ➤ רעוויזשאַנז, אויסקלייַבן blinking_led_default ווי די רעוויזיע נאָמען, און דעמאָלט גיט שטעלן קראַנט. אַלטערנאַטיוועלי, איר קענען אויסקלייַבן דעם קראַנט רעוויזיע אויף די הויפּט Intel Quartus Prime Toolbar.
- צו באַשטעטיקן די ריכטיק מקור פֿאַר דעם ימפּלאַמענטיישאַן רעוויזיע, גיט פּראָיעקט ➤ לייג / אַראָפּנעמען Fileס אין פּראָיעקט. באַשטעטיקן אַז די blinking_led.sv file ארויס אין די file רשימה.
- צו באַשטעטיקן די ריכטיק מקור file פֿאַר די ימפּלאַמענטיישאַן ריוויזשאַנז, גיט Project ➤ לייג / אַראָפּנעמען files אין פּראָיעקט, און לייגן די פאלגענדע מקור fileס פֿאַר די ימפּלאַמענטיישאַן ריוויזשאַנז. אויב פאָרשטעלן, אַראָפּנעמען blinking_led.sv פון דער רשימה פון פּרויעקט files.
Iימפּלעמענטאַטיאָן רעוויזיע נאָמען מקור File blinking_led_empty blinking_led_empty.sv blinking_led_slow blinking_led_slow.sv - שטעלן blinking_led_default ווי די קראַנט רעוויזיע.
- צו ספּעציפיצירן די .qdb file ווי דער מקור פֿאַר root_partition, גיט אַסיינמאַנץ ➤ פּלאַן פּאַרטישאַנז פֿענצטער. טאָפּל גיט די צעטיילונג דאַטאַבאַסע File צעל און ספּעציפיצירן די blinking_led_static.qdb file.
- סימילאַרלי ספּעציפיצירן blinking_led_supr_partition_final.qdb ווי די צעטיילונג דאַטאַבאַסע File פֿאַר supr_partition.
פיגורע 10.
אַלטערנאַטיוועלי, נוצן די פאלגענדע .qsf אַסיינמאַנץ צו ספּעציפיצירן די .qdb:
set_instance_assignment -נאָמען QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
set_instance_assignment -נאָמען QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -צו ו_טאָפּ_קאָונטער - אין די פּלאַן פּאַרטישאַנז פֿענצטער, גיט די (...) שכייניש צו די ווייַט רעכט זייַל און געבן די ענטיטי רי-ביינדינג זייַל.
-
אין די ענטיטי שייַעך-ביינדינג צעל, ספּעציפיצירן די נייַ ענטיטי נאָמען פֿאַר די פּר צעטיילונג איר זענען טשאַנגינג אין די קראַנט ימפּלאַמענטיישאַן רעוויזיע. פֿאַר די ימפּלאַמענטיישאַן רעוויזיע פון blinking_led_default, די ענטיטי נאָמען איז blinking_led. אין דעם פאַל, איר אָווועררייטינג די u_blinking_led בייַשפּיל פֿון די באַזע רעוויזיע צונויפשטעלנ זיך מיט די נייַע ענטיטי blinking_led. פֿאַר אנדערע ימפּלאַמענטיישאַן ריוויזשאַנז, אָפּשיקן צו די פאלגענדע טיש:
רעוויזיע ענטיטי שייַעך-ביינדינג ווערט blinking_led_slow blinking_led_slow blinking_led_empty blinking_led_empty פיגורע 11. ענטיטי ריבינדינג
אַלטערנאַטיוועלי, איר קענען נוצן די פאלגענדע שורות אין יעדער רעוויזיע .qsf צו שטעלן די אַסיינמאַנץ:
##בלינקינג_לעד_דעפולט.קספ
set_instance_assignment -נאָמען ENTITY_REBINDING blinking_led \ -to u_blinking_led
##בלינקינג_לעד_סלאָו.קספ
set_instance_assignment -נאָמען ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##בלינקינג_לייד_עמפּטי.qsf
set_instance_assignment -נאָמען ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - ויסמעקן די פּלאַץ_האָלדער טעקסט פון די ענטיטי רי-ביינדינג צעל פֿאַר supr_partition.
- צו זאַמלען די פּלאַן, גיט פּראַסעסינג ➤ אָנהייב קאָמפּילאַטיאָן. אַלטערנאַטיוועלי, נוצן די פאלגענדע באַפֿעל צו צונויפנעמען דעם פּרויעקט: quartus_sh –flow compile blinking_led –c blinking_led_default
- איבערחזרן סטעפּס 4 ביז 11 צו צוגרייטן און צונויפנעמען די ימפּלאַמענטיישאַן ריוויזשאַנז פון blinking_led_slow און blinking_led_empty.
1.5.8. שריט 8: טוישן די SUPR לאָגיק
צו טוישן די פאַנגקשאַנאַליטי פון די לאָגיק אין די SUPR צעטיילונג, איר מוזן טוישן די מקור פון די SUPR צעטיילונג. פאַרענדיקן די פאלגענדע סטעפּס צו פאַרבייַטן די u_top_counter בייַשפּיל אין די SUPR צעטיילונג מיט די top_counter_fast ענטיטי.
- צו שטעלן די SUPR ימפּלאַמענטיישאַן רעוויזיע ווי קראַנט, גיט Project ➤ Revisions און שטעלן impl_blinking_led_supr_new ווי די קראַנט רעוויזיע, אָדער סעלעקטירן דעם
רעוויזיע אויף די Intel Quartus Prime הויפּט מכשיר. - צו באַשטעטיקן די ריכטיק מקור file פֿאַר די ימפּלאַמענטיישאַן רעוויזיע, גיט Project ➤
לייג / אַראָפּנעמען files אין פּראָיעקט, און באַשטעטיקן אַז top_counter_fast.sv איז דער מקור פֿאַר די ימפּל_בלינקינג_לעד_סופּר_נייַ ימפּלאַמענטיישאַן רעוויזיע. אויב פאָרשטעלן, אַראָפּנעמען top_counter.sv פון דער רשימה פון פּרויעקט files. - צו ספּעציפיצירן די .qdb file פֿאַרבונדן מיט דער וואָרצל צעטיילונג, גיט אַסיינמאַנץ ➤ פּלאַן פּאַרטישאַנז פֿענצטער, און דאַן טאָפּל גיט די צעטיילונג דאַטאַבאַסע File צעל צו ספּעציפיצירן blinking_led_static.qdb.
אַלטערנאַטיוועלי, נוצן די פאלגענדע באַפֿעל צו באַשטימען דעם file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to | - אין די ענטיטי רי-ביינדינג צעל פֿאַר pr_partition, ספּעציפיצירן די צונעמען ענטיטי נאָמען. פֿאַר דעם עקסample, ספּעציפיצירן די blinking_led_empty ענטיטי. אין דעם פאַל, איר אָווועררייטינג די u_blinking_led בייַשפּיל פֿון די באַזע רעוויזיע צונויפשטעלנ זיך מיט די נייַע ענטיטי linking_led_empty. די פאלגענדע שורה איז איצט אין די .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -נאָמען ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - אין די ענטיטי רי-ביינדינג צעל פֿאַר supr_partition, ספּעציפיצירן די top_counter_fast ענטיטי. top_counter_fast איז דער נאָמען פון די סטאַטיק ענטיטי וואָס ריפּלייסיז u_top_counter ווען איר פאַרענדיקן די SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -נאָמען ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- צו זאַמלען די פּלאַן, גיט פּראַסעסינג ➤ אָנהייב קאָמפּילאַטיאָן. אַלטערנאַטיוועלי, נוצן די פאלגענדע באַפֿעל צו צונויפנעמען דעם פּרויעקט רעוויזיע: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new
1.5.9. שריט 9: פּראָגראַם די באָרד
גיי די סטעפּס צו פאַרבינדן און פּראָגראַם די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט.
- פאַרבינדן די מאַכט צושטעלן צו די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט.
- פאַרבינדן אַ וסב קאַבלע צווישן דיין פּיסי וסב פּאָרט און די וסב פּראָגראַממינג ייַזנוואַרג אויף די אַנטוויקלונג ברעט.
- עפֿן די Intel Quartus Prime ווייכווארג, און דעמאָלט גיט מכשירים ➤ פּראָגראַמיסט. אָפּשיקן צו פּראָגראַממינג אַ אנטוויקלונג באָרד.
- אין די פּראָגראַמיסט, גיט האַרדוואַרע סעטאַפּ, און דעמאָלט אויסקלייַבן וסב-בלאַסטער.
- דריקט אַוטאָ דעטעקט, און סעלעקטירן דעם AGFB014R24B מיטל.
- דריקט OK. די Intel Quartus Prime ווייכווארג דיטעקץ און דערהייַנטיקט די פּראָגראַמיסט מיט די דריי FPGA דעוויסעס אויף דעם ברעט.
- אויסקלייַבן די AGFB014R24B מיטל, גיט טוישן File, און לאָדן די blinking_led_default.sof file.
- געבן פּראָגראַם / קאַנפיגיער פֿאַר די blinking_led_default.sof file.
- דריקט אָנהייב און וואַרטן ביז די פּראָגרעס באַר צו דערגרייכן 100%.
- אָבסערווירן די לעדס אויף די ברעט בלינקינג.
- צו פּראָגראַם בלויז די פּר געגנט, רעכט גיט די blinking_led_default.sof file אין די פּראָגראַמיסט און גיט לייג פּר פּראָגראַממינג File. אויסקלייַבן די blinking_led_slow.pr_partition.rbf file.
- דיסייבאַל פּראָגראַם / קאַנפיגיער פֿאַר די blinking_led_default.sof file.
- געבן פּראָגראַם / קאַנפיגיער פֿאַר די blinking_led_slow.pr_partition.rbf file, און דעמאָלט גיט אָנהייב. אויף די ברעט, אָבסערווירן די LED [0] און געפירט [1] פאָרזעצן צו פּינטלען. ווען די פּראָגרעס באַר ריטשאַז 100%, געפירט [2] און געפירט [3] בלינק סלאָוער.
- צו שייַעך-פּראָגראַם די פּר געגנט, רעכט גיט די .רבף file אין די פּראָגראַמיסט, און דעמאָלט גיט טוישן פּר פּראָגראַממינג File.
- אויסקלייַבן די .rbf fileס פֿאַר די אנדערע צוויי פּערסאָנאַז צו אָבסערווירן די נאַטור אויף דעם ברעט. לאָודינג די blinking_led_default.pr_partition.rbf file ז די לעדס צו בלינקען אין דער אָריגינעל אָפטקייַט, און לאָודינג די blinking_led_empty.pr_partition.rbf file ז די לעדס צו בלייַבן אויף. 17. צו טוישן די SUPR לאָגיק, איבערחזרן שריט 7 אויבן צו אויסקלייַבן די impl_blinking_led_supr_new.sof. נאָך טשאַנגינג דעם file, געפירט [0:1] יעצט בלינקען מיט א שנעלער ראטע ווי פריער. די אנדערע פּר .רבף fileס זענען אויך קאַמפּאַטאַבאַל מיט די נייַ .סאָף.
באַמערקונג: דער אַסעמבלער דזשענערייץ אַ .רבף file פֿאַר די SUPR געגנט. אָבער, איר זאָל נישט נוצן דעם file צו ריפּראָגראַם די FPGA ביי רונטימע ווייַל די SUPR צעטיילונג טוט נישט ינסטאַנטייט די פרירן בריק, פּר געגנט קאָנטראָללער און אנדערע לאָגיק אין די קוילעלדיק סיסטעם. ווען איר מאַכן ענדערונגען צו די SUPR צעטיילונג לאָגיק, איר מוזן ריפּראָוגראַם די פול .סאָף file פון די SUPR ימפּלאַמענטיישאַן רעוויזיע זאַמלונג.
פיגורע 12. פּראָגראַממינג אַ אנטוויקלונג באָרד
1.5.9.1. טראָובלעשאָאָטינג פּר פּראָגראַממינג ערראָרס
ינשורינג די געהעריק סעטאַפּ פון די Intel Quartus Prime פּראָגראַמיסט און פארבונדן ייַזנוואַרג העלפּס צו ויסמיידן קיין ערראָרס בעשאַס פּר פּראָגראַממינג.
אויב איר האָבן קיין פּר פּראָגראַממינג ערראָרס, אָפּשיקן צו "טראָובלעשאָאָטינג פּר פּראָגראַממינג ערראָרס" אין די Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration פֿאַר שריט-דורך-שריט טראָובלעשאָאָטינג עצות.
פֿאַרבונדענע אינפֿאָרמאַציע
טראָובלעשאָאָטינג פּר פּראָגראַממינג ערראָרס
1.5.10. מאָדיפיצירן די SUPR צעטיילונג
איר קענען מאָדיפיצירן אַ יגזיסטינג SUPR צעטיילונג. נאָך מאַדאַפייינג די SUPR צעטיילונג, איר מוזן צונויפנעמען עס, דזשענערייט די .סאָף file, און פּראָגראַם די ברעט, אָן קאַמפּיילינג די אנדערע פּערסאָנאַז. פֿאַר עקסampליי, נאָכגיין די סטעפּס צו טוישן די top_counter_fast.sv מאָדולע צו ציילן פאַסטער:
- שטעלן impl_blinking_led_supr_new ווי די קראַנט רעוויזיע.
- אין די top_counter_fast.sv file, פאַרבייַטן די count_d + 2 ויסזאָגונג מיט count_d + 4.
- לויפן די פאלגענדע קאַמאַנדז צו שייַעך-סינטאַסייז די SUPR בלאָק און דזשענערייט די נייַ .סאָף file: quartus_sh –flow קאַמפּיילינג blinking_led \ -c impl_blinking_led_supr_new
די ריזאַלטינג .סאָף איצט כּולל די נייַע SUPR געגנט, און ניצט blinking_led פֿאַר די פעליקייַט (מאַכט-אויף) פּערסאָנאַ.
1.6. דאָקומענט רעוויזיע געשיכטע פון אַן 987: סטאַטיק דערהייַנטיקן פּאַרטיייש רעקאָנפיגוראַטיאָן טוטאָריאַל רעוויזיע געשיכטע
דאָקומענט ווערסיע | Intel Quartus Prime ווערסיע | ענדערונגען |
2022.10.24 | 22 . | ערשט מעלדונג פון דעם דאָקומענט. |
דערהייַנטיקט פֿאַר Intel® Quartus® Prime Design Suite: 22.3
ענטפֿערס צו די מערסט פאָלקס שאלות:
שיקן באַמערקונגען
ק וואָס איז סטאַטיק דערהייַנטיקן פּאַרטיייש ריקאַנפיגיעריישאַן
א סטאַטיק אַפּדייט פּאַרטיייש רעקאָנפיגוראַטיאָן אויף בלאַט 3
ק וואָס טאָן איך דאַרפֿן פֿאַר דעם טוטאָריאַל?
א טוטאָריאַל רעקווירעמענץ אויף בלאַט 3
ק ווו קען איך באַקומען די רעפֿערענץ פּלאַן?
א אראפקאפיע רעפערענץ פּלאַן Files אויף בלאַט 5
ק ווי טאָן איך מאַכן אַ SUPR פּלאַן?
א רעפערענץ פּלאַן וואַלקטראָוגה אויף בלאַט 6
ק וואָס איז אַ PR פּערסאָנאַ?
דעפינירן פּערסאָנאַז אויף בלאַט 10
ק ווי טאָן איך טוישן SUPR לאָגיק? א טוישן די SUPR לאָגיק אויף בלאַט 16
א טוישן די SUPR לאָגיק אויף בלאַט 16
Q ווי טאָן איך פּראָגראַם די ברעט?
א פּראָגראַם די באָרד אויף בלאַט 18
ק וואָס זענען די PR באַוווסט ישוז און לימיטיישאַנז?
א Intel FPGA שטיצן גרופּעס: PR
אָנליין ווערסיע
שיקן באַמערקונגען
שייַן: 749443
AN-987
ווערסיע: 2022.10.24
דאָקומענטן / רעסאָורסעס
![]() |
Intel Agilex F-Series FPGA אנטוויקלונג באָרד [pdfבאַניצער גייד Agilex F-Series, Agilex F-Series FPGA אנטוויקלונג באָרד, FPGA אנטוויקלונג באָרד, אנטוויקלונג באָרד, באָרד |